You are on page 1of 42

SWAMI VIVEKANAND COLLEGE OF ENGINEERING

A literature survey on-

MICROPROCESSOR
design using VLSI technology

Presented by group members-


Shyam Kumar [0822ec071099]
Uddhav Shendurnikar [0822ec071112]
Upkar Chauhan [0822ec071113]
Vishakha Nigam [0822ec071118]
INTRODUCTION

§ It is the heart of a microcomputer system.


§ It is a programmable integrated device that has computing & decision making
capability similar to CPU.
§ It comprises of three parts- ALU, control unit, register file.
§ These are connected with data bus, control bus & internal bus
§ It communicates &operates in binary nos. 0 & 1 called bits.
§ Each μP has a fixed set of instructions in form of binary patterns called
machine language.
§ Binary nos are given abbreviated names for interpreting human beings called
mnemonics.
§ It can be instructed to perform given tasks within its capability. A programmer
can select instructions & ask the μP to perform various tasks on a given set
of data
§
§
§
A MICROPROCESSOR-BASED system can be represented with four components -

Memory

Micro
Input
Processor

Output
Components of microprocessor

Ctr

Ctrl unit l
bus

Register
ALU
Internal
bus
Data bus
Control Unit
The control unit, as described above, reads the instructions, and generates the
necessary digital signals to operate the other components.

Arithmetic Logic Unit


ALU is the part of the microprocessor that performs arithmetic operations. ALUs can
typically add, subtract, divide, multiply, and perform logical operations of two numbers
like AND, OR, X-OR.

Registers
Anything that can be used to store bits of information, in a way that all the bits of the
register can be written to or read out simultaneously.
The registers are the fastest accessible memory locations, and because they are so
fast, there are typically very few of them. In most processors, there are fewer than 32
registers.
The size of the registers defines the size of the computer.
Types of Processors
ØThe vast majority of microprocessors are embedded microcontrollers. The second
most common type of processors are common desktop processors, such as Intel's
Pentium or AMD's Athlon.
Ø
ØMicroprocessors come in "standard sizes" of 8 bits, 16 bits, 32 bits, and 64 bits.
Some microprocessors come in other "non-standard" sizes such as 4 bits, 12 bits,
18 bits &24bits
Ø
ØThe number of bits represent how much physical memory can be directly addressed
by the CPU & amount of bits that can be read by one read/write operation.
Ø
Øfor ex- 8 bit processors can read/write 1 byte at a time and can directly address 256
bytes
Ø
Ø
Ø
Ø
Ø
Ø
Ø
μp applications are classified primarily in two categories :

Reprogrammable Embedded
systems systems

qIn reprogrammable systems microprocessor is used for computing & data


processing. such as disks , CD-ROMs, printers
q
qIn embedded systems, microprocessor is a part of a final product & not
available for reprogramming to end user such as photo copy machine
General Purpose Versus Specific Use
vMicroprocessors that are capable of performing a wide range of tasks are called
general purpose microprocessors. These are typically the kind of CPUs found in
desktop computer systems.
v
vDSP (digital signal microprocessor) is a chip that is specifically designed for fast
arithmetic operations, especially addition and multiplication. These chips are
designed with processing speed in mind.
v
v"microcontrollers" are microprocessors with additional hardware integrated into a
single chip. Many microcontrollers have RAM, ROM, A/D and D/A converters,
interrupt controllers, timers and even oscillators built into the chip itself.
v
vComputer graphics are so complicated that functions to process the visuals of video
and game applications have been offloaded to a special type of processor.
Types of Use
Signal Processing
Signal processing is an area that demands high performance from microcontroller
chips to perform complex mathematical tasks. Ex- decoding of digital television and
radio signals.

Real Time Applications


Some tasks need to be performed so quickly that even the slightest delay or
inefficiency can be detrimental. These applications are known as "real time systems“.
Ex- anti-lock braking system (ABS) controller in modern automobiles.
Throughput and Routing

It uses where data is moved from one particular input


to an output, without necessarily requiring any processing.
An example is an internet router, that reads in data
packets and sends them out on a different port.

Sensor monitoring

Many processors, especially small embedded processors


are used to monitor sensors. The microprocessor will either
digitize and filter the sensor signals, or it will read the signals
&produce status outputs.

Graphics

Processing of digital graphics is an area where specialized


processor units are frequently employed. With the advent of
digital television, graphics processors are becoming more
common. In digital video, a million pixels or more need to be
processed for every single frame
Abstraction Layers

qComputer systems are developed in layers known as layers of abstraction.

qIt allow people to develop computer components (hardware and software) without
having to worry about the internal design of the other layers in the system.

qAt the highest level are the user-interface programs that people use on their
computers.

qAt the lowest level are the transistor layouts of the individual computer
components.
Moore's Law
•A common law that governs the world of microprocessors is Moore's Law.
•It states that the number of transistors on a single chip at the same price will double
every 18 to 24 months.
•Current microprocessor chips contain millions of transistors and the number is
growing rapidly.
Clock Rates
ØMicroprocessors are typically discussed in terms of their clock speed.
ØIt is measured in hertz (or MHz or GHz). A hertz is a "cycle per second".
Øclock rate is speed at which microprocessor executes instructions
ØFaster the clock more instructions the CPU can execute per second
Ø

Von Neumann Architecture


Ø Early computer programs were hard wired. To reprogram a computer meant
changing the hardware switches manually, that took a long time with potential
errors. Computer memory was only used for storing data.
Ø John von Neumann suggested that data and programs should be stored together
in memory, it is now called Von Neumann architecture.
Ø
A Von Neumann microprocessor is a processor that follows this pattern:
HARVARD ARCHITECTURE

The Harvard architecture is a computer architecture with physically separate


storage and signal pathways for instructions and data. The term originated from
the harvard mark-1 relay-based computer
Contrast with von Neumann architectures

üUnder pure VON NEUMAN architecture the CPU can be either reading an
instruction or reading/writing data from/to the memory. Both cannot occur at the
same time since the instructions and data use the same bus system.
üIn a computer using the Harvard architecture, the CPU can both read an
instruction and perform a data memory access at the same time, even without a
cache.
üA Harvard architecture computer can thus be faster for a given circuit complexity
Contrast with Modified Harvard architecture

§A modified harvard architecture machine is very much like a Harvard


architecture machine

§The most common modification includes separate instruction and data caches
backed by a common address space.

§While the CPU executes from cache, it acts as a pure Harvard machine, When
accessing backing memory, it acts like a von Neumann machine

§This modification is widespread in modern processors such as the ARM


architecture and X86 processors. It is sometimes loosely called a Harvard
architecture, overlooking the fact that it is actually "modified".
Microprocessor selection
SELECTION CRITERIA

• Speed compatibility of the microprocessor with peripherals.


• The time-critical behaviour of the application.
• The size of the program required to implement certain functions.


FACTORS TO BE CONSIDERD WHILE SELECTING
THE MICROPROCESSOR

• Price
• Power consumption
• Performance
• Availability
• Software support
• Code density
RISC PROCESSOR
§It is an acronym for “Reduced Instruction Set Computer”, emphasizes simplicity &
efficiency
§Objective is to maximize speed by reducing clock cycle per instruction
§Considering any assembly level language program it uses MOV instruction more
frequently than MUL instruction than there is gain in speed
§Ex- SPARC, IBM, motorola’s power PCs & ARM based processors
§
Salient features of a RISC processor are as follows-

üThese are designed using hard-wired ctrl. For ex- one bit dedicated for one
instruction
üAll RISC instructions have fixed formats, no microcode is required
üIt executes most of the instructions in a single clock cycle
üIt includes only register to register load & store
üIt has several general purpose registers &/ large cache memories, which supports
fast access of data
üS/W can take advantage of more concurrency
Advantages of RISC

Advantages of a reduced instruction set machine:


§Faster
§Simple hardware
§Shorter design cycle due to simpler hardware

Disadvantages of RISC

Drawbacks of a reduced instruction set computer include


ØProgrammer must pay close attention to instruction scheduling so that the
processor does not spend a large amount of time waiting for an instruction to
execute
ØDebugging can be difficult due to the instruction scheduling Require very fast
memory systems to feed them instructions
CISC PROCESSOR
vit is an acronym for Complex Instruction Set Computer
vEmphasis is to build complex instruction directly into hardware
vEach instruction can execute several low level instructions
vCompiler has to do a very little work to translate high level into assembly
vDue to length of the code is short, very little RAM is required to store instructions
vEx-CDC 6600, system/360, VAX, PDP-11, INTEL & AMD
v
Advantages of complex instruction set machines (CISC)

vLess expensive due to the use of microcode; no need to hardwire a control unit
vFewer instructions could be used to implement a given task, allowing for more
efficient use of memory
vSimplified compiler, because the micro program instruction sets could be written to
match the constructs of high-level languages
vMore instructions can fit into the cache, since the instructions are not a fixed size
Disadvantages of CISC

vAlthough the CISC philosophy did much to improve computer performance,


it still had its drawbacks:
vInstruction sets and chip hardware became more complex with each
generation of computers, since earlier generations of a processor family
were contained as a subset in every new version
vDifferent instructions take different amount of time to execute due to their
variable-length
vMany instructions are not used frequently; Approximately 20% of the
available instructions are used in a typical program
The design description for an IC described in terms of 3 domains –

qBehavioral domain- one is free to choose a sequential or parallel algorithm


which is available

qStructural domain- the decision about particular logic family , clocking strategy
or ckt style to use is initially unbound

qPhysical domain- it provides how the ckt is implemented in terms of chips,


boards & cabinets to designer

These domains are hierarchically divided into levels of design abstraction-

qArchitectural or functional level


qRegister-transfer level (RTL)
qLogic level
qCircuit level
process
or

application

program

statement

instruction

chip
cell

board
module

transistor

gate

ALU

processor
Y-CHART
Design capture tool

qHDL Design
qSchematic design
qLayout design
qFloor Planning
qChip Composition
HDL Design
Wide variety of languages include:
Hardware description language (HDL)
VHDL, ELLA, Verilog
Popular HDL catering for hardware notion, such as bit vector,
signals, and time within the native language
Runtime operating support, include compilers, debuggers and
simulators
Modified high level language- C, Pascal & Lisp
Elements of modern computer language, e.g. structure,
parametization, conditionals, looping and hierarchy
Schematic Design
Traditional method to capture a digital system
Easy to understand but HDL are more easily modified
Layout Design
Layout can be captured via code or interactive graphics editors

Good color editor is a strong requirement

Layout editor:

interface to Design Rule Checking (DRC) program to allow interactive

checking of DRC error

layout extraction program to examine circuit connectivity issues


Floor Planning

Exercise of blocks arranging of layout


within a chip to minimize area or
maximize speed
Floor planning editor provide:
graphical feedback about the size
& placement of modules without
showing internal layout details
Connectivity info between
modules in the form of “rat’s nest”
wiring diagram
Relative density of wiring
Color display of routing density
that allows designer to replace
and re-route to achieve better
placement and routing
Structural to layout synthesis
§A network of logic gates and registers is available it automatically converted
into layout
§Gate arrays & standard cell design use this approach
§There are two main phases- Placement & Routing

PLACEMENT
§It is a task of placing modules together to minimize area or cycle time
§Two main algorithms are there-
Min-cut algorithm- it takes blocks at top level of chip to be placed and find
equal area grouping with minimum signal interconnection.it is very fast &gives
good placement
Thermal annealing- another technique in which the movement of modules
is likened to thermal annealing also used. As the layout “cools” the routing & timing
improves. To avoid minima the melt is heated & then re-cooled base on annealing
schedule

ROUTING
§It takes a module placement and list of connections and connects modules with
wires
§Types of routers include channel router, switch box router & maze router
§Technology is mature
Chip Composition A B C

Similar to structural synthesis,


where wiring is applied on the top D
modules in a design

Mainly of routing modules E F


together and placing a pad ring
around the completed chip core

A B C

E F
Design Verification Tools
Simulation:
It is used to predict and verify the performance of the ckt
It is used to model real time systems
Logic simulator can be easily expressed or characterized in terms of
functional blocks
Timing simulator allow down to a transistor level for the most digital ckt
Since there are no. of transistor on the ckt so complexity is increased though
the ckt simulator will simulate in short period of time

It can be done in four levels-


Circuit Level
Timing
Logic level
Switch Level
Design Economics
IC design must able to predict the cost and
time to design a particular IC
Guide for estimating IC selling price:
STOTAL = CTOTAL / (1 –m)
where CTOTAL is manufacturing cost of a single IC
and m is desire profit margin
Cost to produce IC generally divide into:
Non-Recurring costs (NREs)
Recurring cost
Fixed cost
Design Economics

NRE includes engineering design cost + prototype manufacturing


cost
Recurring Costs:
Cprocess + Cpackage + Ctest
Cprocess = (W + P) / (NYw Ypa Yft )
Where W is wafer cost
P is processing cost
N is gross die per wafer
Yw is die yield per wafer
Ypa is packaging yield
Yft is final test yield
Fixed Costs:
Support cost
Cost of Sales
ALU is a building block of several circuits.
Design consists of different kinds of logic… Look Ahead Carry Generator
logic, Full adder, Subtractor, Transfer Data, DFF, Super Buffer, MUX,
Transmission gate, Decoders, Inv, Nand, Nor, Ex-Or, etc.

Block Diagram

Decoder Supe Carry Subtract Adde

T - gate Based MUX


r Generator or &
Bank of 9 DFFs

Bank of 5 DFFs
r
Buff Transfer
er Arithmetic Unit

XOR AND OR INV MUX - 2

Logical Unit

36
Schematic of alu

37
Arithmetic Unit

38
Logical Unit

39
Layout

40
References :-
§wikipedia.org
§
§8085 - Ramesh Gaonkar
§
§CMOS VLSI Design - Neil H.E. Weste
§
§wikibooks.org
§
§Microprocessor by A.K. maini
§
§Lecture notes by Manish Kumar IIS’c Banglore.
§
e n d u r a n c e !
f o r y o u r
Than k y o u

You might also like