You are on page 1of 116

FSTS GE/GM S2

La logique squentielle

A. Rizki

Logique combinatoire logique squentielle


Logique combinatoire:
En logique combinatoire, pour une des combinaisons d'entres, il existe UNE ET UNE SEULE combinaison de la sortie (0 ou 1) qui est toujours la mme. Une mme action sur les entres entraine le mme rsultat sur la sortie.

Le nombre de combinaisons de sorties est directement lie au nombre de variable d'entres: - 2 variables d'entre: 22 = 4 combinaisons, - 3 variables d'entre: 23 = 8 combinaisons, - n variables d'entre: 2n combinaisons...
2

logique squentielle
Dans un systme squentiel, l'tat des sorties dpend en plus de l'histoire (de l'tat prcdent): la correspondance entre l'ensemble des entres et l'tat des sorties est variable.

Soient - S(t) la valeur de la sortie du systme a l'instant t - S(t+1) la valeur de la sortie du systme a l'instant t+1 Alors S(t+1) = f[e,S(t)] : la sortie a l'instant t+1 dpend de l'entre e et de la sortie l'instant t

Exemple On veut commander la mise en marche et l'arrt d'une perceuse. On dispose de deux boutons poussoirs : "m" : marche et "a" : arrt

Chronogramme de fonctionnement :

Table de vrit
Actions chronologiques
1.

"a"
0

"m"
0 0

Etat Moteur
Le moteur ne tourne pas

On branche l'appareil

1.

Appui sur "marche"

Le moteur dmarre et tourne

3.
3. 3.

Relche "marche"
Appui sur "arrt" Relche "arrt"

0
1 0

0
0 0

1
0 0

Le moteur tourne
Le moteur s'arrte Le moteur est toujours arrt.

Remarques : Pour deux etats identiques des variables "m" et "a", on a Moteur = 0 ou = 1.
Dans la logique squentielle, on tient compte de la combinaison des variables d'entres et de la succession de celles-ci. Dans cet exemple, on a ralise la fonction mmoire.

2. Conception technologique de la fonction mmoire


2.1. Mmoire relais lectromagntique:
On ralise la commande du moteur M de la perceuse par un relais X qui possde 2 contacts a fermeture x1 et x2.
Lorsque la bobine du relais X est alimente, le relais X s'enclenche. On ralise la commande du moteur M de la perceuse par un relais X qui possde 2 contacts fermeture x1 et x2. Lorsque le relais est enclench, il ferme simultanment les contacts x1 et x2. Inversement, si la bobine du relais X n'est pas alimente, les contacts x1 et x2 s'ouvrent

Equation du circuit : X = ( m + x1).a et M = x2 Remarque : Le montage est appel autoalimentation : Il se souvient du dernier contact appuy.

10

11

Dans la logique squentielle Il faut toujours prendre en compte les squences d'entre et de sortie du systme que l'on veut analyser.

Cet tat de fait rend l'analyse et la synthse de systmes squentiels plus pointue,

12

2. SYSTMES SYNCHRONES ET ASYNCHRONES


- Systme asynchrone : pour lesquels il n'existe pas de rfrence de temps, c'est dire que l'action des entres est prise en compte ds leur changement d'tat, - Systme synchrone : Dans ce cas, une horloge lectronique cadence la marche du systme, et on connat les instants o l'on peut lire les sorties, on connat le temps de rponse d'un systme.
13

Systme asynchrone : pour lesquels il n'existe pas de rfrence de temps, c'est dire que l'action des entres est prise en compte ds leur changement d'tat, Systme synchrone : pour lesquels les entres sont insensibles aux signaux qui leur sont appliqus sauf pendant un court intervalle de temps dtermin par un signal spcial que l'on appelle une horloge.
14

EXEMPLE DE SYSTME SQUENTIEL SYNCHRONE


Dans le systme schmatis ci-dessous, un verrou d'entre bloque les donnes binaires en entre. Ces donnes sont traites par un dcodeur travaillant de manire asynchrone et combinatoire. Les sorties asynchrones du dcodeur sont finalement resynchronises sur l'horloge par le verrou de sortie.

15

Chronogramme

16

Bascules R.S - R.S.H - D - J.K


Une bascule est un circuit bistable pouvant p rendre deux tats logiques : 0 et 1. L'tat de la bascule peut-tre modifi en agissant sur une ou plusieurs entres.

Le nouvel tat de la bascule dpend non seulement des combinaisons des entres mais
galement de l'tat prcdent : la bascule la capacit de conserver son tat (tat des sorties) : elle est utilise comme mmoire. - La bascule est l'lment de base de la logique squentielle
17

1. BASCULE R-S (BASCULE ASYNCHRONE) Asynchrone : l'tat de la bascule peut changer a n'importe quel instant (des que le changement des variables d'entres influent sur le changement de l'tat de la sortie) 1.1 Symbole:

18

19

20

21

22

23

24

25

26

Bascule T

(T comme Toggle ou Bascule)

Si T = 0 la sortie est gele Si T = 1 la sortie est inverse chaque front actif (diviseur de frquence par deux)
T Q

H Q

Lun des intrts principaux des bascules de type T est quelles permettent de gnrer de faon extrmement simple des compteurs binaires synchrones

27

Bascule D (Delay) ou Latch ou Mmoire


partir d'une bascule R-S-H, On commande les entres par le mme signal en utilisant un inverseur
D H R /Q S

Si H=0, les sorties Q et /Q restent dans l'tat precdent Si H passe de 0 1 la valeur de D passe la sortie Q. C'est le composant de base d'une mmoire d'ordinateur: est mis 1 ou 0 au moment voulu et fig le reste du temps.
28

Bascule J-K (Bascule synchrone)


C'est une bascule issue d'une association de deux bascules RSH en montage matre esclave laquelle on a reli en croix les sorties aux portes d'entres: Symbole: J H K Table de vrit: J: Jack (Valet) K: King (Roi) J 0 0 1 K 0 1 0 Qt Qt-1 0 1 Remaques Aucun changement: La bascule reste dans l'tat Mise 0 de la sortie Q Mise 1 de la sortie Q /Q Q

/Qt-1

Comlment de la sortie
29

30

10

11

31

32

33

34

35

36

37

38

39

40

41

42

43

Passage en valeurs
Q(t-1)
0

Q(t)
J=0 K=0 J=0 et K=X J=0 K=1

J=1

K=1
J=1 et K=X K=0 K=1 J=X et K=1 K=1 K=0 J=X et K=0 K=0

J=1 J=1

J=0 J=0

J=1

Compteur synchrone Modulo 6


22<6<23 Donc ce compteur ncessite 3 bascules JK Table de vrit:
N 0 1 2 3 4 5 6 Q2 0 0 0 0 1 1 0 Q1 0 0 1 1 0 0 0 Q0 0 1 0 1 0 1 0
45

J2 0 0 0 1 X X

K2 X X X X 0 1

J1 0 1 X X 0 0

K1 X X 0 1 X X

J0 1 X 1 X 1 X

K0 X 1 X 1 X 1

J2

Q 1 Q0

K2 00 0 01 0 11 1 10 0

Q1 Q0

Q2

Q2

00

01

11

10

0
1 K1

X
0

x
1 K2=Q0

X
J2=Q0Q1

J1

Q1 Q0

Q1 Q0

Q2

00 0

01 1

11 x

10 x

Q2

00 X X

01 X X

11 1

10 0

0 1

J1=Q0.2 J0 K0
Q1 Q0

K1=Q0

Q1 Q0

Q2

00

01

11

10

Q2

00 X X

01 1 1

11 1

10 X
46

0
1

1
1

X
X J0=1

0 1

K0=1

A Refaire

47

Passage en valeurs
Q(t-1)
0

Q(t)
J=0 K=0 J=0 et K=X J=0 K=1

J=1

K=1
J=1 et K=X K=0 K=1 J=X et K=1 K=1 K=0 J=X et K=0 K=0

J=1 J=1

J=0 J=0

J=1

Compt. Synch. Mod. 13 en Binaire Rflchi


N 0 1 2 Q3 0 0 0 Q2 0 0 0 Q1 0 0 1 Q0 0 1 1

J3
0 0 0

K3
X X X

J2
0 0 0

K2
X X X

J1
0 1 X

K1
X X 0

J0
1 X X

K0
X 0 1

3
4 5 6

0
0 0 0

0
1 1 1

1
1 1 0

0
0 1 1

0
0 0 0

X
X X X

1
X X X

X
0 0 0

X
X X 0

0
0 1 X

0
1 X X

X
X 0 1

7
8 9 10

0
1 1 1

1
1 1 1

0
0 0 1

0
0 1 1

1
X X X

X
0 0 0

X
X X X

0
0 0 0

0
0 1 X

X
X X 0

0
1 X X

X
X 0 1

11
12 13

1
1 0

1
0 0

1
1 0

0
0 0

X
X

0
1

X
0

1
X

X
X

0
1

0
0

X
X
49

J0

Q1 Q0 00 Q3 Q2 00 01 11 10 1 0 1

K0 01 X X X 11 X X X 10 0 1 0 0

Q1 Q0 00 Q3 Q2 00 X 01 11 X X

01
0 1 0

11
1 0 1

10
X X X

10

J0=1Q3+1 2+Q1Q23 J1 Q1 Q0 00 Q 3 Q2 00 0 01 0 K1 01 1 0 11 X X 10 X X

K0=2Q1+Q3Q1+1Q2 3 Q1 Q0 00 Q3 Q2 00 X 01 X 11 10 X

01 X X X

11 0 1 0

10 0 0 0 1

11
10

X
X

J1= 3 Q0+Q2Q0

K1= 3 Q2Q0+2Q3
50

J3

Q1 Q0 00 Q3 Q2 00 01 11 10 0 1 X

K3 01 0 0 X 11 0 0 X 10 0 0 X X

Q1 Q0 00 Q3 Q2 00 X 01 11 X 0

01
X X 0

11
X X 0

10
X X 0

10

J3=0 1Q2 J2 Q1 Q0 00 Q3 Q 2 00 0 01 X K2 01 0 X 11 0 X 10 1 X Q1 Q0 00 Q3 Q2 00 01 11 10 X 0 0

K3=2

01 X 0 0

11 X 0 0

10 X 0 1 X

11
10

X
0

J2=0 Q12

K2=0 Q1Q3
51

52

53

54

Compteur Synchrone base de bascule RS

55

Passage en valeurs
Q(t-1)
0

Q(t)
R=0 S=0 R=X et S=0 R=1 S=0

R=0

S=1

R=0 et S=1

R=1

S=0

R=1 et S=0

R=0

S=0 R=0 et S=X S=1

R=0

Compteur synchrone Modulo 6


22<6<23 Donc ce compteur ncessite 3 bascules RS Table de vrit:
N 0 1 2 3 4 5 6 Q2 0 0 0 0 1 1 0 Q1 0 0 1 1 0 0 0 Q0 0 1 0 1 0 1 0
57

R2 X X X 0 0 1

S2 0 0 0 1 X 0

R1 X 0 0 1 X X

S1 0 1 X 0 0 0

R0 0 1 0 1 0 1

S0 1 0 1 0 1 0

R2

Q 1 Q0

S2 00 X 01 X 11 0 10 X

Q1 Q0

Q2

Q2

00

01

11

10

0
1 S1

0
X

0
0

R2=Q0/Q1 R1
Q2
Q1 Q0
Q1 Q0

S2=Q0Q1 11 1 10 0
Q2

00 0 X

01 0

00 0 1 0 0

01 1 0

11 0

10 X

X
R1=Q0Q1

S1=Q0/Q1/Q2 S0
Q1 Q0

R0

Q1 Q0

Q2

00

01

11

10

Q2

00 0 1 1 1

01 0 0

11 0

10 1
58

0
1

0
0

1
1 R0=Q0

S0=/Q0

59

Les registres

60

1. Dfinition
Une bascule est llment de base de la logique squentielle. Une bascule permet de mmoriser un seul bit. Un registre est un ensemble ordonn de n bascules. Un registre permet de mmoriser ( sauvegarder) une information sur n bits.

Exemple :

61

2. Type de registres
Il existe plusieurs types de registres : Registre entres parallles et sorties parallles (Registre chargement parallle ). Registre entre srie et sortie srie Registre entre srie et sortie parallle. Registre entre parallle et sortie srie. Registre dcalage circulaire.

62

2.1 Registre entres parallles et sorties parallles (Registre chargement parallle ).


Il peut charger une information sur N bits en mme temps. Les n bascules changement dtats en mme temps. Chaque bascule Bi prend la valeur de linformation i. Il possde une entre de chargement chg ( chg=0 tat mmoire, chg=1 chargement )

63

2.2 Registre entre srie et sortie srie


Linformation est introduite bit par bit ( en srie). L'ensemble du registre est dcal d'une position ( Bi, Bi+1) et la bascule B0 reoit une nouvelle entre ES. Un tel registre est appel registre entre srie gauche et sortie srie droite.

64

2.3 registre entre srie droite et sortie srie gauche.

65

2.4 Registre entre srie et sortie parallle.

66

2.5 Registre entre parallle Ou Srie et sortie srie.

67

2.6 Registre dcalage circulaire


C'est un registre qui effectue un dcalage vers la gauche en rpercutant la sortie de la dernire bascule vers l'entre de la premire bascule. Le dcalage peut tre un dcalage droite ( circulaire droite) ou gauche ( circulaire gauche)

68

Exercice

69

Exercice 2

70

Questions

71

2.7 Les Registres programmables


Il existe des registres qui permettent : le dcalage droite ( ou circulaire droite) Le dcalage gauche (ou circulaire gauche) Chargement parallle.

72

Registre programmable ( table de vrit)

h X

S0 0 0 1 1

S1 0 1 0 1

QA QA Eg QB A

QB QB QA QC B

QC QC QB QD C

QD QD QC Ed D

. Mmoire Dcalage droite Dcalage gauche Chargement Synchrone

73

Exercice

74

Solution les entres asynchrones


Raz 1 0 Chg X 1 Dg X X Dd X X h X X Q3 0 E3 Q2 0 E2 Q1 0 E1 Q0 0 E0 Pri 1 Cli 0 Ei

Ei

Pr i Raz.Chg.Ei Pr i Raz.Chg.Ei Cli Raz.Chg Raz.Chg.Ei Raz.Chg Pr i Raz.Chg. Pr i


75

Les entres synchrones


Raz 0 Chg 0 Dg 1 Dd X h Q3 Q2 Q2 Q1 Q1 Q0 Q0 SL D3 D2 D1 Q0 D0 SL

Q2 Q1

0
0

0
0

0
0

1
0 x

SR
Q3

Q3
Q2

Q2
Q1

Q1
Q0

SR Q3
Q3 Q2

Q2
Q1

Q1
Q0

D3 Dg.Q 2 Dg.Dd .SR Dg.Dd .Q3 D 2 Dg.Q1 Dg.Dd .Q3 Dg.Dd .Q 2 D1 Dg.Q 0 Dg.Dd .Q 2 Dg.Dd .Q1 D 0 Dg.SL Dg.Dd .Q1 Dg.Dd .Q0
76

3. Les Bus
Un bus est un ensemble de lignes utilises pour interconnecter des lments d'un ordinateur (registres, mmoires, ...). Son rle est d'assurer le transport de l'information d'un lment l'autre.
Un bus Additionneur

registre

Comparateur
77

Reprsentation simplifi dun bus exemple : sur 8 bits

78

3.1 Bus Unidirectionnel et Bidirectionnel


Bus Unidirectionnel : Ce type de bus assurent un transport de l'information dans un seul sens. Le bus bidirectionnel : Le bus bidirectionnel assure le transfert de l'information dans les deux sens.

Bus Unidirectionnel

Le bus bidirectionnel
79

Application des Bus : interconnections des registres

80

3.2 Le tampon trois tats( Tristate )


Cest un circuit qui possde trois tats : Haut (H : 1), Bas (B : 0) et Haute Impdance (Z).

Un tampon trois tats est un circuit qui sert pour contrler le passage d'un signal logique entre une entre et une sortie.
81

3.3 Registre trois tats


Les sorties sont dots de tampons trois tats. Si S=0 alors les Qi sont dans ltat haute impdance.

Les entres aussi peuvent avoir des tampons trois tats


82

Les compteurs

83

1. Examinons la table de transition suivante


Q2 0 0 0 0 Q1 0 0 1 1 Q0 0 1 0 1 Q2+ Q1+ 0 0 0 1 0 1 1 0 Q0+ 1 0 7 1 0 2 6 5 0 1

1
1 1

0
0 1

0
1 0

1
1 1

0
1 1

1
0 1

3 4

Un cycle ( succession des tats)


84

1.1. cest quoi un compteur ?


Un compteur est un circuit squentiel qui possde N tats ( E0,E1,..,En-1). chaque top dhorloge, il passe de ltat Ei ltat Ei+1. Il revient toujours ltat initiale E0 : Un compteur possde un cycle ( une squence dtats). Un compteur est constitu de n bascules . Le nombre dtats dun compteur est infrieurs ou gale 2n. Il existe deux types de compteurs : les compteurs synchrones et asynchrones.
les bascules qui constituent un compteur synchrone possdent la mme horloge. les bascules qui constituent un compteur asynchrone possdent des horloges diffrentes.
85

2.Types de compteurs
Les compteurs modulo 2n ( cycle complet): n=2 : 0 ,1,2,3,0 modulo 4 n=3 : 0,1,2,3,4,5,6,7,0 modulo 8 n=4 : 0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,0 modulo 16 Les compteurs modulo N ( cycle incomplet ) Pour N=5 : 0,1,2,3,4,0 modulo 5 Pour N= 10 : 0,1,2,3,4,5,6,7,8,9,0 modulo 10 Les compteurs cycle quelconque : 0,2,5,6,7,8,10,0

86

3.1. tude des compteurs modulo 2n exemple Modulo 8 ( bascules JK)


Q2
0 0

Q1
0 0

Q0
0 1

Q2 + Q1+
0 0 0 1

Q0+
1 0

On remarque que Q0 passe chaque fois de 0 1 et de 1 0 : un basculement J0=K0=1. On remarque que Q1 passe de 1 0 et passe de 1 0 chaque fois que Q0 passe de 1 0 Q0 est utilise comme une horloge pour Q1 avec J1=K1=1 pour avoir un basculement.

0
0 1 1 1 1

1
1 0 0 1 1

0
1 0 1 0 1

0
1 1 1 1 0

1
0 0 1 1 0

1
0 1 0 1 0

On remarque que Q2 passe de 1 0 et passe de 1 0 chaque fois que Q1 passe de 1 0 Q1 est utilise comme une horloge pour Q2 avec J2=K2=1 pour avoir un basculement.
87

Ce compteur est appel un compteur ASYNCHRONE : chaque bascule possde sa propre horloge.

Chronogramme dun compteur asynchrone modulo 8

h 0 0

Q0

Q1

Q2

0
88

Schma dun compteur asynchrone modulo 8


5V 5V 5V

J0

Q0

Q1
J1

Q2
J2

pr cl

pr cl

pr cl

K0

K1

K2

5V 5V
Q0

5V 5V
Q1

5V 5V
Q2

89

3.2. tudes des Compteurs modulo N exemple modulo 6


Q2 Q1 Q0 0 0 0 0 0 1 Q2+ Q1+ Q0+ 0 0 0 1 1 0 Pri Cli 1 1 1 1
0 1 2

0
0 1

1
1 0

0
1 0

0
1 1

1
0 0

1
0 1

1
1 1

1
1 1

5 4

1
1 1

0
1 1

1
0 1

1
0 0

1
0 0

0
0 0

1
1 1

1
0 1

Cli Q 2.Q1.Q 0 Cli Q 2.Q1.Q 0


tat inaccessible

Dtection de ltat 110 et remise zero asynchrone : Cli=0 et Pri=1

90

Chronogramme dun compteur modulo 6 asynchrone

h 0

Q0

Q1

Q2

Cli

Dtection de ltat 110 et remise zero des bascules

91

Schma dun compteur modulo 6 asynchrone


5V 5V 5V
Q0

5V 5V
Q1

5V
J0 J1

Q2
J2

h
K0

pr cl

pr cl

pr cl

K1

K2

92

Exercice
Raliser un compteur asynchrone dcimale ( modulo 10) ?
0 1

9 8

6
5

4
93

4.1.1.tude des compteurs synchrones modulo 2n exemple Modulo 8 (bascule JK)


Toutes les bascules possdent la mme horloge.
Pour raliser le compteur il faut dterminer les quations des Ji et Ki ?
Q2 0 0 Q1 0 0 Q0 0 1 Q2+ 0 0 Q1+ 0 1 Q0+ 1 0 J2 0 0 K2 X X J1 0 1 K1 X X J0 1 X K0 X 1

0
0 1

1
1 0

0
1 0

0
1 1

1
0 0

1
0 1

0
1 X

X
X 0

X
X 0

0
1 X

1
X 1

X
1 X

1
1 1

0
1 1

1
0 1

1
1 0

1
1 0

0
1 0

X
X X

0
0 1

1
X X

X
0 1

X
1 X

1
X 1
94

Schma dun compteur modulo 8 synchrone


Daprs la table de vrit on trouve :
J0=K0=1
5V
Q0
J0 K0 J1 K1 K2

, J1=K1=Q0

, J2=K2=Q0.Q1

Q1

Q2
J2

h
95

4.1.2.tude des compteurs synchrones modulo 2n exemple Modulo 8 (bascule T)


Une bascule T possde deux tats : mmoire si T=0 et basculement si T=1.
Q2 0 0 Q1 0 0 Q0 0 1 Q2+ 0 0 Q1+ 0 1 Q0+ 1 0 T2 0 0 T1 0 1 T0 1 1

0
0 1

1
1 0

0
1 0

0
1 1

1
0 0

1
0 1

0
1 0

0
1 0

1
1 1

T0=1 T1=Q0 T2=Q0.Q1

1
1 1

0
1 1

1
0 1

1
1 0

1
1 0

0
1 0

0
0 1

1
0 1

1
1 1
96

4.1.3.tude des compteurs synchrones modulo 2n exemple Modulo 8 ( bascule D)


Q2 0 0 0 0 Q1 0 0 1 1 Q0 0 1 0 1 Q2+ Q1+ 0 0 0 1 0 1 1 0 Q0+ 1 0 1 0 D2 D1 0 0 0 1 0 1 1 0 D0 1 0 1 0

D0 Q0 D1 Q1 Q 0 D 2 Q 2 (Q1.Q 0)

1
1 1 1

0
0 1 1

0
1 0 1

1
1 1 0

0
1 1 0

1
0 1 0

1
1 1 0

0
1 1 0

1
0 1 0

97

Schma dun compteur modulo 8 synchrone avec des bascules D

98

4.2.tude des compteurs synchrones modulo N exemple Modulo 6 (bascule JK)


Q2
0 0 0 0 1

Q1
0 0 1 1 0

Q0
0 1 0 1 0

Q2+
0 0 0 1 1

Q1+
0 1 1 0 0

Q0+
1 0 1 0 1

J2
0 0 0 1 X

K2
X X X X 0

J1
0 1 X X 0

K1
X X 0 1 X

J0
1 X 1 X 1

K0
X 1 X 1 X

1
1 1

0
1 1

1
0 1

0
X X

0
X X

0
X X

X
X X

1
X X

0
X X

X
X X

X
X X

1
X X

Dtecter ltat 101 et mise zro synchrone ( agir sur Ji et Ki)

99

Chronogramme dun compteur modulo 6 synchrone

h 0

Q0

Q1

Q2

Dtection de ltat 110 et remise zero synchrone des bascules


100

Exercice 1
Raliser un compteur synchrone dcimale ( modulo 10) ?
9 0 1 2 3 6 5 4

8
7

Utiliser ce compteur et des portes logiques pour raliser un compteur modulo 100 ( 0,1,2,.,98,99,0) ? Gnraliser la solution pour raliser un compteur modulo 1000 ( 0,1,.,998,999) ?
101

Exercice 2
Raliser un compteur synchrone modulo 10 qui possde une entre de validation V. tel que si V=0 alors le compteur est dans un tat mmoire , si V=1 alors validation du comptage.

H V

Compteur dcimale

Q3 Q2

Q1

Q0
C
102

5.1 Les compteurs asynchrones cycle quelconque

Soit le compteur ayant le cycle suivant


0 2 7 3 4 1 2

Dtecter le 1 et forcer 2

0 6

3
Dtecter le 7 et forcer 0

Dtecter le 4 et forcer 6

Pour forcer le compteur dun tat un autre il faut agir sur les entres asynchrone Cli et Pri des bascules.
103

Chronogramme dun compteur asynchrone cycle quelconque

h 0

Q0

Q1

Q2

0
104

Les compteurs asynchrones cycle quelconque


Q2 0 0 0 0 1 1 1 Q1 0 0 1 1 0 1 1 Q0 0 1 0 1 0 0 1 Q2+ Q1+ 0 0 0 1 1 1 0 0 1 1 0 1 1 0 Q0+ 1 0 1 0 0 1 0 Pr2 1 1 1 1 1 1 1 Cl2 1 1 1 1 1 1 0 Pr1 1 0 1 1 0 1 1 Cl1 1 1 1 1 1 1 0 Pr0 1 1 1 1 1 1 1 Cl0 1 0 1 1 1 1 0

Pr 2 1 Cl 2 Q2.Q1.Q0

Pr 1 Q 2.Q1.Q 0 Q 2.Q1.Q 0 Cl1 Q 2.Q1.Q 0.

Pr 0 1 Cl 0 Q2.Q1.Q0 Q2.Q1.Q0
105

5.2 Les compteurs synchrones cycle quelconque

Soit le compteur ayant le cycle suivant

0 2 3

Pour forcer le compteur dun tat un autre il faut agir sur les entres synchrones Ji et Ki Pour les tats qui nappartiennent pas au cycle du compteur il faut les considrer comme tant des tats indtermins ( Ji=X et Ki=X)

106

Chronogramme dun compteur synchrone cycle quelconque

h 0

Q0

Q1

Q2

0
107

5.2.1 Ralisation dun compteur cycle quelconque cas synchrone avec des bascules J.K
Q2 0 0 Q1 0 1 Q0 0 0 Q2+ 0 0 Q1+ 1 1 Q0+ 0 1 J2 0 0 K2 X X J1 1 X K1 X 0 J0 0 1 K0 X X

0
1 0

1
1 0

1
0 1

1
0 X

1
0 X

0
0 X

1
X X

X
1 X

X
X X

0
1 X

X
0 X

1
X X

1
1 1

0
0 1

0
1 1

X
X X

X
X X

X
X X

X
X X

X
X X

X
X X

X
X X

X
X X

X
X X

J 2 K 2 Q1.(Q 2 Q0) J 1 K1 Q0.(Q 2 Q1) J 0 K 0 Q 2.Q1


108

5.2.2. Ralisation dun compteur synchrone cycle quelconque avec des bascules T

Q2

Q1

Q0

Q2+

Q1+

Q0+

T2

T1

T0

0
0 0 1 0

0
1 1 1 0

0
0 1 0 1

0
0 1 0 X

1
1 1 0 X

0
1 0 0 X

0
0 1 1 X

1
0 0 1 X

0
1 1 0 X

T 2 Q1.(Q 2 Q0) T 1 Q0.(Q 2 Q1) T 0 Q 2.Q1

1
1 1

0
0 1

0
1 1

X
X X

X
X X

X
X X

X
X X

X
X X

X
X X

109

Exercice 1

Trouver le cycle ralis par ce compteur asynchrone ? Le compteur est initialis par la valeur 0

110

Rponse
Pour Front actif :front montant Le cycle en dcimal est: 7,4,3,0

111

6. Les dcompteurs
Q2 1 1 1 1 Q1 1 1 0 0 Q0 1 0 1 0 Q2+ Q1+ 1 1 1 0 1 0 0 1 Q0+ 0 1 0 1 1 4 0 5 7 6

0
0 0

1
1 0

1
0 1

0
0 0

1
0 0

0
1 0

ltudes des decompteurs se fait exactement de la mme manire que ltude des compteurs.
112

Exemple dun dcompteur synchrone


Q2 Q1 Q0 1 1 1 1 1 0 T2 0 0 T1 0 1 T0 1 1

T0 J0 K0 1 T 1 J 1 K1 Q0 T 2 J 2 K 2 Q 0.Q1

1
1 0 0 0

0
0 1 1 0

1
0 1 0 1

0
1 0 0 0

0
1 0 1 0

1
1 1 1 1

1
113

7. Les compteurs/decompteurs
Le mme circuit peut offrir lopration de comptage et dcomptage Rajouter une entre C , si C=0 alors comptage , si C=1 alors dcomptage

H C

Compteur/decompteur

Q3 Q2

Q1

Q0

114

C 0 0 0 0 0 0 0 0

Q2 0 0 0 0 1 1 1 1

Q1 0 0 1 1 0 0 1 1

Q0 0 1 0 1 0 1 0 1

T2 0 0 0 1 0 0 0 1

T1 0 1 0 1 0 1 0 1

T0 1 1 1 1 1 1 1 1

Exemple dun compteur/dcompteur

T0 J0 K0 1 T 1 J 1 K1 C.Q0 C.Q0 T 2 J 2 K 2 C.Q0.Q1 C.Q0.Q1

1
1 1 1 1 1 1 1

1
1 1 1 0 0 0 0

1
1 0 0 1 1 0 0

1
0 1 0 1 0 1 0

0
0 0 1 0 0 0 1

0
1 0 1 0 1 0 1

1
1 1 1 1 1 1 1

115

Exercice Raliser un compteur/decompteur dcimale dfinit par la

table de fonctionnement suivante.


V
0 1 1

C
X 0 1

tat
tat mmoire comptage dcomptage
Q3 Q2 Q1 Q0
H V C Compteur/dcompteur

Si le circuit a atteint la valeur Min alors la sortie Min=1 Si le circuit atteint la valeur Max alors la sortie Max=1

Min Max

116

You might also like