You are on page 1of 7

Como Crear un Programa en VHDL Para programar un FPGA

Martin Ramrez Maldonado

Pgina 1

1.- Primero se crea un nuevo proyecto. 2.- Se especifica el nombre y la ruta donde se guardara la carpeta que contendr todos los archivos relacionados con el proyecto (Fig. 1).

Figura 1 3.- Despus se especifican las caractersticas del dispositivo. (Esto ya esta configurado as que no se hace nada)

Figura 2

Martin Ramrez Maldonado

Pgina 2

4.- Se seleccin el proyecto y se le agrega una nueva fuente (Fig. 3).

Figura 3 5.- Se selecciona modulo de VHDL y se le da un nombre a la nueva fuente (Fig. 4).

Figura 4

Martin Ramrez Maldonado

Pgina 3

5.- En la siguiente ventana se le indica el nombre de la entidad, de la arquitectura y cuales sern las variables de entrada y salida que usara nuestro programa, si se selecciona el cuadro que dice bus se le indica que la salida ser un vector y se deber poner cual es el bit mas y menos significativos, si se deja la casilla LSB en 0 entonces la casilla MSB indicara el tamao de la palabra menos uno, por ejemplo si se desea un vector de 8 bits se llenara la casilla MSB con 7 y la casilla LSB con 0.

Figura 5

6.- Le damos finalizar y veremos que ya nos construyo la estructura bsica de un programa en VHDL colocndonos la librera principal y declaro los puertos de entrada y salida, ahora procedemos a realizar nuestro programa. 7.- Una vez terminado nuestro programa seleccionamos en la ventana de procesos la opcin que dice Synthesize - XST para que nos compruebe la sintaxis del programa. Si todo esta bien entonces nos saldr una palomita verde del lado izquierdo (Figura 6). 8.- Ahora seleccionamos la opcin de Implement Design y esperamos a que el programa termine de realizar su trabajo, si todo sali bien veremos una flechita verde del lado izquierdo (Figura 6).

Martin Ramrez Maldonado

Pgina 4

Figura 6 9.- Ahora damos click en el signo de + de la opcin User Constraints y damos doble click en I/O Pin Planning (Plan Ahead Post-Synthesis) (Fig. 7). Esta opcin nos abrir un nuevo programa donde le indicaremos que pines del FPGA se van a usar.

Figura 7

Martin Ramrez Maldonado

Pgina 5

10.- En Plan Ahead le indicaremos el nombre del pin de cada una de nuestras variables (Fig. 8) basandonos en la guia de especificaciones del FPGA y le damos guardar, esto nos generara un archivo de extencion ucf donde se encontrara la informacion de los pines.

Figura 8

11.- Ahora de regreso a xilinx volvemos a correr Implement Design en la ventana de procesos, una vez que acabe volver a poner la palomita de color verde y ahora corremos la opcin Generate Programming File (Fig. 9), cuando termine pondr una paloma verde del lado izquierdo y nos habr generado un archivo de extensin .bit en la carpeta del proyecto y este archivo es con el que se programara la tarjeta.

Martin Ramrez Maldonado

Pgina 6

Figura 9

Martin Ramrez Maldonado

Pgina 7

You might also like