You are on page 1of 92

2010

ASPIRE INVENT ACHIEVE

ANNUAL REPORT

ANNUAL REPORT

TaBLe of contents
05 imec at a

GLance

06 Imec highlights 10 Luc Van den hove: Helping you create solutions for a sustainable future

13 imec r&D - sHaPinG

tHe future

64 wHat imec

can Do for you

14 16 30 40 46 52 58

Strategy Technology for future chips and systems Energy Electronics for healthcare and life sciences Sustainable wireless communication Imaging and future 3D visualization Sensor systems for industrial applications

67 Joint research and development 73 Services and development platforms 79 People and campus

84 2010 Annual accounts 86 Organization 87 Addresses

ANNUAL REPORT 2010 / 03

04 / ANNUAL REPORT 2010

imec aT a gLaNCe
Imec is a world-leading R&D lab for nano-electronics.
In our research labs, imec scientists and engineers collaborate with experts from our partners top companies, research institutes and universities in ICT, healthcare, communi cation and energy. The goal: creating the solutions and buil ding blocks for a better life in a sustainable environment through innovations in nano electronics. Imec is headquartered in Leuven, Belgium, and has offices in the Netherlands, China, Japan, Taiwan and the US. Its staff of 1,895 include more than 500 industrial residents and guest researchers.

ANNUAL REPORT 2010 / 05

HiGHLiGHts

ImeC

01 imecs staff
End of 2010, 1,895 people worked at imec. These included 336 residents visiting researchers from partner companies and institutes and 208 PhD researchers. Imecs employees represent 66 nationalities. The average age of our staff is 37 years.

02 Key contribution to ieDm & isscc


Imec was the most important contributor to the 2010 IEDM conference, presenting a total of 18 papers. IEDM is the worlds main forum for reporting breakthroughs in technology, design, manufacturing, physics and the modeling of semiconductors and other electronic devices. The imec contributions showed promising advances in scaling logic, DRAM, and non-volatile memory. Imec also made an important contribution to the 2010 and 2011 ISSCC conferences. ISSCC is the premier forum for presenting advances in solid-state circuits and systems-on-a-chip. At ISSCC 2010, we presented 10 papers in the domains of wireless communication, organic electronics, and electronics for a more efficient healthcare. We also contributed to tutorials and workshops.

131 imec researchers worked at Holst Centre end of 2010. Holst Centre is an
open-innovation initiative of imec and TNO, founded in 2005 with support from the Dutch government.

06 / ANNUAL REPORT 2010

HIGHLIGHTS imec

03 articLes, awarDs, Patents, sPinoffs


In 2010, imec published 1,763 articles and conference contributions. 26 prizes were awarded to imecs researchers for their research papers, posters, or articles. In 2010, 143 imec patents were awarded. A further 139 patents were submitted. Imec collaborates with over 600 companies and 175 universities worldwide. Since imecs start in 1984, it helped start 35 spinoff companies.

04 new exascience Lab oPens


Imec, Intel Corporation, and 5 Flemish universities opened the ExaScience Lab. The lab will develop software to run the future exascale computers, which will have 1 to 10 million cores and deliver 1,000 times the performance of todays fastest supercomputers. This will require breakthroughs in power reduction and reliability. Exascale computing will constitute a paradigm-shift in our ability to simulate very complex systems, such as the earths climate. Therefore, the Flanders ExaScience Lab will focus on scientific applications of exascale computing. An example is the simulation and prediction of space weather, i.e. the electromagnetic activity around the earths atmosphere. The ExaScience Lab is part of Intels European research network Intel Labs Europe.

ANNUAL REPORT 2010 / 07

HiGHLiGHts

ImeC

05 revenue anD Grants


2010, imecs revenue was 285 million euro. The grant from the Flemish government amounted to 42.8 million euro. And Holst Centre received a grant from 6 million euro from the Dutch government.

06 imec taiwan starts r&D activities


In 2010, Imec Taiwan established two years ago kickstarted its R&D activities under the name Imec Taiwan Innovation Centre (ITIC). ITICs goal is to run applied research projects with industry and academia. The new R&D centre will focus on a variety of innovative applications in bioelectronics, MEMS and green electronics that are enabled through 3D system-package codesign and system-level evaluation. ITIC will intensify the collaboration between imec and the Taiwanese industry and academia. Its business plan forecasts a research staff of over 40 people in 3 years' time. ITIC is co-funded by the Taiwanese Ministry of Economic Affairs as a Multinational Innovative R&D Centre.

08 / ANNUAL REPORT 2010

HIGHLIGHTS imec

07 imec reaDy for 450mm wafers


In 2010, imec opened the extension of its state-of-the-art cleanroom at its campus in Leuven, Belgium. With the extra space, imecs total cleanroom facilities now approach 10,000m. The new section of the cleanroom is built so that it can house the tools that will process 450mm wafers. That makes imec the first European site that is ready for 450mm. In parallel with building the cleanroom, imec also started equipping new lab space to extend imecs advanced research on silicon and organic solar cells and on biomedical electronics. These labs take up another 1,600m, including lab space for the NERF (Neuroelectronics Research Flanders) initiative.

08 imec cHina inauGurateD


In 2010, imec China was established in the Zhangjiang High-tech Park in Shanghai. Imec China will facilitate the collaboration between imec and Chinese semiconductor and system companies, and with universities and research institutes. Its main activities will be in technology transfer, licensing, joint development, and training. Imec China kicked off with the signing of a joint development project on advanced chip process technology with the semiconductor company Huali. Depending on future collaboration agreements, imec China will attract more researchers to collaborate with local companies on joint development projects.

ANNUAL REPORT 2010 / 09

Luc van Den Hove


president and Chief executive officer

INTERVIEW WITH Luc VaN dEN HoVE imec

HeLpINg yoU CreaTe SoLUTIoNS for a sustainabLe future


O
ne of the main drivers of our research has always been to help you, our partners. To provide you with the solutions and expertise you need to build excellent solutions and products. To make this possible, through the years, we have deepened our knowledge and extended the domains in which we can provide meaningful solutions. Starting out with shared innovation, mainly in CMOS scaling, we now also have successful collaborations with companies and research institutes active in the domains of energy, healthcare, wireless communication, or visualization systems. 2011 and beyond, we want to continue on the same path: offering valuable solutions through shared innovation, deepening our expertise, and extending the scope of our research. In addition, we want to make a leap into the future. Working on new ideas, topics that are still very exploratory, working on challenges that are years ahead of mainstream R&D. Challenges that have to do with keeping our future sustainable, looking for solutions for sustainable healthcare, energy consumption, or communication. One way to make such breakthrough leaps is to actively exploit connections and leverages between our expertise domains. Because real innovation often happens at the borderline between two disciplines. In this report, youll find many examples of these connections. Weve been able, for example, to improve solar cells with techniques that we developed in IC processing. And were working on revolutionary visualization systems based on our microfabrication expertise. Imec has the ambition to be a pioneer in sustainable innovation, in innovation for a green economy. An economy aimed at tackling the grand challenges the world is facing. Providing answers for an aging society, for the increasing number of people suffering from chronic diseases, for affordable healthcare solutions in developing countries, for safer and better mobility, solving the huge traffic congestions and unsustainable urbanization. Im convinced that a major part of these answers will come from technology. Technology for a sustainable world will drive innovation and secure our future. This annual report highlights our key achievements of 2010, in the various domains that we work on. And to keep up the tradition of previous reports, we also include interviews with some of our staff. They talk about their domain of expertise, the progress made in imec, and their outlook for 2011 and beyond. These people havent achieved their results alone. They represent our teams, making progress only through working together, with passion and commitment. We couldnt have accomplished what we have without the help of our partners. Through the discussions and meetings that we have, we learn about your visions, the markets you operate in, and about the products and services that you would want to develop. Together, we can tackle the technological challenges to make that vision come true. This way, we focus our research on your needs and the needs of your customers. Excellence, Passion, Integrity, Connectedness these are our core values. Values that guide our work at imec, the way we do research and development. But also values that guide our commitment to you, our partners and stakeholders. I am confident in our ability to shape the future and to make the most of the many opportunities and challenges that lie ahead. I look forward to making 2011 a rewarding, innovative year and I invite you to join us.

ANNUAL REPORT 2010 / 11

12 / ANNUAL REPORT 2010

imec r&D SHapINg THe fUTUre


Imecs R&D is based on finding solutions with nanoelectronics for the challenges faced by society in the coming decennium. These challenges include generating sustainable en ergy, better and more efficient healthcare, and ubiquitous communication. Imec focuses on those domains where it sees a growth poten tial, and where it can differentiate itself from other research institutes. a cornerstone of imecs strategy is creating innovative leverages between its research domains, optimally using its extensive infrastructure and expertise. This report gives an overview of the six research domains that imec is working on:
Technology energy electronics

for future chips and systems

for healthcare and life sciences wireless communication Imaging and future 3D visualization Sensor systems for industrial applications
Sustainable

ANNUAL REPORT 2010 / 13

ImeC reSearCH strateGy


vision
Imec aims to shape the future. With our global re search partners, we will lead the development of nanoenabled solutions that allow people to have a better life in a sustainable society.

researcH Domains
our research covers the following 6 research domains. Next to this, we actively exploit cross domain connections and leverages, using for ex ample our expertise in materials to create bio nano interfaces for life science applications.
Technology for future chips and systems imec

Sustainable wireless communication (Green

mission
Imec performs worldleading research in nanoelec tronics. We leverage our scientific knowledge with the innovative power of our global partnerships in ICT, healthcare and energy. We deliver industryrel evant technology solutions. In a unique hightech environment, our international toptalent is com mitted to providing the building blocks for a better life in a sustainable society. pioneers new processing technologies, materi als, transistor types, and integration and design methods to create future chips and systems. Energy imec focuses on improving some of the key technologies that are essential to make future energy use sustainable. Electronics for healthcare and life sciences (HUMAN++) imec develops costeffective and reliable healthcare solutions and tools for the life sciences industry.

radios) imec engineers solutions for future wireless communication that increase the per formance and possibilities while drastically de creasing the cost and power consumption. Imaging and future 3D visualization (NVISION) imec develops advanced systems by codesign ing software and hardware into optimal imaging solutions. Sensor systems for industrial applications imec works on ultrasmall wireless and autono mous sensor systems for the future intelligent environment. The r&D in these domains is supported by imecs Cmore development platform, which turns con cepts into heterogeneous ICs, including integrated sensor and actuator functionality.

14 / ANNUAL REPORT 2010

enerGy
SoLar eNergy SWITCHINg

Human++
BoDy area NeTWorkS (BaN) LIfe SCIeNCeS

Green raDios
CogNITIve reCoNfIgUraBLe raDIoS 60gHz raDIoS

nvision
SmarT LeNSeS HyperSpeCTraL ImagINg

sensor systems
mICropoWer geNeraTIoN aND STorage SIgNaL proCeSSINg

SavINg ULTraLoWpoWer raDIoS STorage

HoLograpHIC DISpLayS WIreLeSS CommUNICaTIoN BaCkSIDeILLUmINaTeD ImagerS SeNSorS aND aCTUaTorS aNaLog IC DeSIgN

insite

core cmos
LitHoGraPHy
193nm exTeNSIoNS eUv LITHograpHy

cmore
feoL cLuster
LogIC memory

siLicon PHotonics

interconnects
NaNoINTerCoNNeCTS 3D ICS

memS SeNSorS pHoToNICS BiCmoS gaN TeCHNoLogy

systemson-foiL

suPPortinG exPertise centers

PacKaGinG

tecHnoLoGy for future cHiPs anD systems


ANNUAL REPORT 2010 / 15

TeCHNoLogy for future cHiPs anD systems


future cHiPs anD systems wiLL be abLe to comPute mucH faster anD store many times more Data tHan toDays systems, usinG Less enerGy. all this thanks to
a relentless effort of the IC industry to make ICs smaller and more powerful. as part of that effort, imec and its partners pioneer the use of new processing technologies, materials, transistor types, and integration and design methods. In our process technology r&D, we develop new technologies that can be used in highvolume manufacturing of future logic and memory ICs. With our INSITe offer, we help fabless and fablite companies anticipate new technologies, so that they may design more advanced products and get them on the market faster. Using our platform for heterogeneous integration, we design and engineer ICs that combine logic and memory with mechanical, chemical, and optical functions. We also develop the technology to create systems on foil, printing electronics on large areas of flexible substrates.

core cmos & cmore


BooSTINg CHIp aND SySTem performaNCe

ANNUAL REPORT 2010 / 17

HiGHLiGHts

TeCHNoLogy for fUTUre CHIpS aND SySTemS

01 recorD 22nm sram ceLL witH finfets


In 2010, imec fabricated a second generation of its record 22nm CMOS SRAM cells. The key highlights are a 10% smaller size (0.089m) and a significantly higher yield. These fully-functioning circuits integrate the latest developments from imecs various programs, demonstrating their use in industrial processes. The SRAM cells were fabricated in imecs high-k/metal-gate FinFET platform. The front-end layers were printed using immersion lithography; the contact and metal1 layers using EUV lithography. For this demonstrator, we optimized the fin and gate processing, resulting in a significantly better yield. The gate patterning, for example, was improved by introducing double patterning lithography and optimized resists. As a next step, weve started work on a 15nm SRAM cell, in which we plan to pattern all critical layers with EUV lithography.

02 breaKtHrouGH transistor witH imPLant-free siGe cHanneL


Further scaling of CMOS to the 11nm node will require us to boost the transistor performance and lower the supply voltage. This can be done with innovative device architectures and high-mobility channels. In 2010, imec presented a breakthrough pFET transistor with implant-free SiGe (silicon germanium) quantum wells. The device has a high-mobility SiGe channel with raised SiGe source and drains, and is fabricated on bulk-Si substrate. This high-electron mobility transistor has an effective oxide thickness (EOT) of 0.85nm. It achieves a 50% higher saturation drive current compared to pFETs with a silicon-only channel. This device is a first-ever demonstration of implant-free SiGe devices. As a followup, we will now increase the germanium content of the channel, and examine if this concept can be ported to a FinFET architecture.

18 / ANNUAL REPORT 2010

HIGHLIGHTS tecHnoLoGy for future cHiPs anD systems

Logic IC stacked on DRAM IC, connected using TSVs and microbumps

Wafer-level polySiGe-based thin-film packaging demonstrated on SOI-based MEMS resonator

03 imec Demonstrates functionaL 3D-tsv tecHnoLoGy


2010, imec and its 3D integration partners have taped-out a functional packaged 3D chip that integrates a logic IC on top of a commercial DRAM IC. The logic IC is thinned down to 25m, and is connected to the DRAM IC using TSVs (throughsilicon vias) and microbumps. The finished stack has been flip-chip mounted in a BGA package. Also 2010, we completed a process development for 50m deep TSVs with a diameter of 5m on imecs 300mm processing line. In 2011, we will finalize the backside and stacking process on 300mm, again proving the industrial validity with advanced demonstrators. And we also look to scale the TSV diameters to smaller dimensions and thicker substrates.

04 recorD Q factor for tHin-fiLm PacKaGeD mems resonator


At the 2010 IEDM conference, imec and Panasonic presented an innovative silicongermanium (SiGe) thin-film packaged SOI-based MEMS (micro-electromechanical systems) resonator with an industry-record Q factor. A higher Q factor points to lower energy losses in the resonator; the oscillations die out more slowly. The record Q factor was realized by operating the resonator in a torsional vibration mode, and by encapsulating it in vacuum with a thin-film package. This resonator clears the way for better timing devices, smaller and using less energy, for a variety of applications such as consumer and automotive electronics. The SiGe thin-film packaged MEMS resonator was realized as part of imecs CMORE service which offers heterogeneous integration services to the industry, including development-on-demand, prototyping, and low-volume production.

ANNUAL REPORT 2010 / 19

tHomas Hoffmann
Director feoL LogIC Devices program

INTERVIEW WITH THomaS HoffmaNN tecHnoLoGy for future cHiPs anD systems

PioneerinG NeW DevICeS aND maTerIaLS for fUTUre ICS


T
he computation and storage capabilities that will be expected from future ICs and systems require that we go on scaling. But because we are closing in on the physical limits of IC scaling, we have to push the technology to the extreme. That is why imec is developing new transistor architectures and introducing new materials and processing techniques. competing processing options gatefirst and gate-last looking for the best trade-off between performance and integration complexity. As a result of this research, we can give our partners recommendations on how to best set up their IC manufacturing processes. Our main effort, however, is on technology for ICs that will be produced in 2015 and later, ICs with smallest dimensions of less than 15 nanometer. From the 15nm node on, it is expected that we will need a new transistor architecture. One of the most likely candidates is the FinFET architecture, on which we have been working since 2004. The distinguishing characteristic of these devices is that the conducting channel is wrapped by a thin silicon fin, which forms the gate of the device. We are currently scaling our SRAM-cell FinFET demonstrator, first to the 15nm technology, but already with an eye on 11nm. Were also working on some of the remaining challenges, some of which have to do with the 3D structure of FinFETs, e.g. etching the 3D fin or integrating stressors. Were also doing a lot of exploratory research to assess the viability of more disruptive, less established technologies. Examples are the use of germanium or III-V channel materials, the use of TunnelFET devices, and the introduction of graphene and nanowires in IC processing. Further developing the FinFET concept, were looking to boost its performance by incorporating new materials. Weve started work on a germanium-based high-mobility FinFET, which well further develop this year. And were also planning to incorporate III-V materials in the FinFET channels. One of the architectures that might succeed FinFETs are TunnelFETs. These are based on semiconducting nanowires and allow an optimal electrostatic control of the transistor channel. TunnelFETs are switched on by exploiting quantum-mechanical band-to-band tunneling. They promise to work with a supply voltage below 0.5V, and will achieve much steeper turn-on characteristics than is possible with even FinFETs. We also know that they will benefit from integrating germanium and III-V materials. So all our current experience with those materials can be carried over to TunnelFETs. A lot of the work we do is limited by the possibilities of patterning. If EUV lithography continues to make progress and evolves towards what is theoretically possible, then there would be no problem to pattern even 7nm devices. But at that scale, the complexity of designing and processing ICs will be such that for some applications, another road will probably be followed: i.e. 3D stacking of ICs. To prepare for that possibility, were also looking into the combination of FinFET ICs and 3D stacking with through-silicon vias. Because we have the processing capabilities for FinFETs and for 3D stacking, we can make working prototypes. These allow our partners to make assessments of the costs of such ICs and of the systems that can be built with them. This, in its turn, gives us valuable feedback on the challenges that we still have to solve.

Our goal is to develop processes that can be used in high-volume manufacturing of future logic and memory ICs. In our R&D into logic ICs and peripheral DRAM, we follow two tracks. One refining established technologies for the next generation of ICs, and a second exploring and developing the options for further generations. The first track is concerned with the 22nm and 15nm technologies, for the generations of ICs that will be produced starting this year and starting 2013 respectively. Weve looked, for example, at the possibilities and issues to scale high-k/metal gates, which were introduced at the 32nm node, beyond the 22nm node. Also, weve examined two

ANNUAL REPORT 2010 / 21

DieDeriK verKest
Director INSITe program

INTERVIEW WITH dIEdERIk VERkEST tecHnoLoGy for future cHiPs anD systems

insite DeSIgNINg WITH fUTUre TeCHNoLogIeS


f you are a designer of future electronic applications, the number of options to choose from can be overwhelming. At every level of your design, be it on the system level, in the physical design, or in the choice of underlying technology, you may choose from a myriad of possibilities. And each choice you make will have consequences for your application, for its possibilities, its power consumption, performance, cost, and time-to-market. Also, if your company wants to be the first to bring a new application to market, you have to make an early start with a design exploration using imminent, emerging, or even exploratory technologies. Technologies that you dont have direct access to if you are a fabless or fablite company. Thats where imec comes in. With our INSITE program, we open up advanced technology to the designers of leading semiconductor companies. Allowing them to base design choices on hard, quantitative data from our labs. Especially fabless and fablite companies will

profit, as they have no direct access to technology R&D. Imec has a unique body of quantitative information on tomorrows technologies. Thats because we are developing technologies that are 1 to 3 generations ahead. Think of 3D TSV (through-silicon via) technology, devices for 22nm and 15nm technology, or advanced immersion and EUV lithography. With INSITE, we make this information available to the designer community, in standard formats and flows. The use of 3D TSV technology is a case in point. 3D TSV chips are stacked ICs connected with microsized vias that go through the silicon. The consensus is that this technology offers great opportunities to build innovative products. But the use of 3D TSVs requires completely new designs. And to be able to do that work, you need quantitative data. What are the characteristics of the TSVs? How many can you use? How close can they come to the actual circuits? And what is the thermal behavior of the 3D stack? You can of course wait

until that information becomes available publicly, but any company that has the information earlier on will have its products ready sooner. Imec has been pioneering 3D TSV technology, and we arguably have the largest body of information available. Through INSITE, imecs partners get access to that information. What we offer are pathfinding PDKs (process design kit) and pathfinding flows. A foundry PDK is a dataset that specifies a specific technology at a certain foundry. It allows designing an IC that is ready to be processed at that foundry. What we offer are pathfinding PDKs, PDKs that incorporate data about future technologies (device data, design rules, types and layout of cells ). Where options havent been decided or standardized, our pathfinding PDK leaves the option open. If for a 22nm technology, 2 transistor types are possible, then well have a pathfinding PDK with 2 flavors corresponding to those 2 types. The designers can then make their early designs trying out the two options.

These design PDKs are based on the most accurate information that comes from our technology research. And as more information becomes available, and options are narrowed down, we refine the PDKs and our partners get updates. We also provide pathfinding flows. These offer a fast way to find out what the impact of various options will be on a design. Going from a concept to a design is expensive and time-consuming, and doesnt leave much room for errors or experiments. With imecs pathfinding flows, its possible to iterate over options early on, and get an idea of the values that you are interested in (cost, time to market, complexity). This allows choosing the best fit of options, before you start making the real design. With this offering, we help companies anticipate new technologies, so that they may design more advanced systems and applications, and get them on the market faster.

ANNUAL REPORT 2010 / 23

ann witvrouw
principal Scientist memS

INTERVIEW WITH aNN WITVRouW tecHnoLoGy for future cHiPs anD systems

siGe mems aDDINg SeNSeS aND LImBS To ICS


EMS and NEMS (micro- and nano-electromechanical systems) are ultrasmall chips with mechanical, electronic, and sometimes also chemical functions. The mechanical components are fabricated on the same scale as the electronics, with the same processes and tools. Such systems form the senses and limbs of many modern appliances; they measure the world around the appliance and interact with it. Youll find them as pressure sensors in blood pressure monitors, or as altitude sensors in watches and gps systems. There are MEMS micropumps in inkjet printers and in medical implants. And in modern-day cars, a MEMS gyroscope helps to stabilize driving, and MEMS accelerometers will detect a crash and activate the airbags. Traditionally, MEMS are fabricated as separate, mechanical ICs. But that puts a limit on the efficiency, reliability, and miniaturization of the systems that can be made with MEMS. For one, you will always have to interconnect and package the MEMS with other components, for example a logic IC that collects and analyses the sensing data.

As a road to new applications and further miniaturization, imec has developed a way to fabricate the MEMS/NEMS and the IC functionality on one die. We start from a fully processed wafer with logic ICs, and we add extra MEMS layers on top. For example, we cover each IC with a carpet of millions of small micromirrors, which can all be tilted individually, and which are driven by the underlying logic. But to process layers on top of a finished CMOS chip without damaging it, we have to work with materials that we can process on relatively low temperatures, e.g. 450C or lower. That is why we have chosen SiGe (silicon-germanium) as material of choice. SiGe is as reliable as silicon, but it can be processed at much lower temperatures. MEMS made from SiGe are extremely reliable and they can be driven very precisely. They show little creep, especially compared to other materials, such as aluminum. A close integration of electronic and mechanical microcomponents has considerable advantages. The resulting systems are smaller and more reliable, with less parasitic effects, using less energy. And it is

possible to make much denser arrays of MEMS components, because the interconnections between the driving logic and the mechanical components are much shorter and more compact. This enables new possibilities: it would for example be impossible to implement offchip wiring between 10 million micromirrors and a logic chip. We have integrated this innovative way to make MEMS into our CMORE platform, opening up this technology to the industry. The services we offer include development-on-demand, prototyping, and lowvolume production. In 2010, together with project partners and on this platform, weve made some breakthrough designs. One example is a thin-film packaged accelerometer made in collaboration with the University of Leuven, where the above-CMOS integration is expected to result in an improved noise performance compared to conventional state-of-the-art accelerometers. In the same project, with the University of Ghent, weve also made a micromirror MEMS with an innovative actuation mechanism, which allows displaying a

large range of grey-scale values. And as a last example, weve fabricated a SiGe thin-film packaged SOI-based MEMS resonator with record Q-factor, which we made in collaboration with Panasonic. In 2011, as a logical evolution, were looking for further miniaturization. Moving from MEMS into NEMS. One advantage is of course that the packaged devices can become even smaller, using even less energy. And with the smaller mechanical components, we can also make designs that are more sensitive and precise. These are a few of the MEMS challenges that we have set ourselves for 2011 and beyond: building arrays of sub-m mirrors, using single wires of carbon-nanotubes as mechanical components for NEMS, and building NEMS-based biosensors. These sensors would be based on NEMS resonators that are sensitive to added mass. These resonators can be coated with a surface that binds to a specific type of biomolecule. An array of such resonators would be able to detect thousands of molecules. And thanks to an easy, immediate electronic readout, they could be used for point-of-care applications.

ANNUAL REPORT 2010 / 25

siLicon PHotonics

Silicon photonics is a technology that employs silicon as base material to fabricate optical components, such as filters, modulators, or lasers. A major advantage of this technology is that it allows electronic and optical components to be integrated on the same silicon IC, using the same fabrication processes and tools. Future systems will become increasingly dependent on ultra-fast data transfer. With optical components, it is possible to build interconnects that are much faster than metallic interconnects and that consume less power. So silicon photonics is considered as the prime candidate technology for the future interconnects between ICs, between cores on an IC, and eventually even within ICs. Next to optical interconnects, silicon photonics technology is also used in sensors and healthcare devices. It can be used to monitor strain, temperature, gas presence, or the composition of chemical compounds. And in biotech, label-free silicon photonics sensors are used for highly multiplexed biomolecule screening. Photonics systems may also be used in medical diagnostic tools. They can be integrated in robust, miniature systems, ideal for portable point-of-care devices or implants. During the past ten years, imec and its associated lab at the University of Ghent have pioneered silicon photonics, building components and demonstrating their outstanding performance for high-speed data transmission. This has resulted in numerous publications, awards, and prototypes.

Silicon photonics wafer

26 / ANNUAL REPORT 2010

HIGHLIGHTS SILIcoN pHoToNIcS tecHnoLoGy for future cHiPs anD systems

Vertical fiber coupling to silicon photonics chip

01 imec LauncHes muLtiPartner ProGram on siLicon PHotonics for oPticaL inPut/outPut


Imec launches a new industrial affiliation program (IIAP) on high-bandwidth optical input/output. The program is part of imec's research on deep submicron CMOS scaling. Its objective is to engineer optical solutions for high-bandwidth communication between CMOS chips. In the program, we will model the full electrical-to-optical-to-electrical path for various technologies. This will be benchmarked against what is required by applications, and against other solutions. The goal is to optimize the bandwidth density, power consumption, thermal robustness, and cost. Second, we will demonstrate the full optical link, in silicon and including optical modulators, germanium-based photodetectors, and thermally robust optical multiplexers, as well as their CMOS-based driving and receiving circuits.

02 HiGH-efficiency couPLinG between oPticaL fibers anD siLicon PHotonics cHiPs


One of the key challenges in integrating photonics with CMOS chips is making an efficient coupling of light between an optical fiber and a silicon-based optical chip. As a solution, we have pioneered the approach of vertical coupling through grating couplers. This approach allows for alignment-tolerant coupling, wafer-level testing, and polarization management. In 2010, we have introduced a new generation of silicon-on-insulator fiber-to-chip grating couplers. These use a silicon overlay to enhance the directionality and thereby the coupling efficiency. We have fabricated devices on 200mm wafers in the CMOS pilot line by means of 193nm deep UV lithography. The fabricated fiber couplers show a coupling efficiency of 70% and a 3dB bandwidth of 80nm.

ANNUAL REPORT 2010 / 27

systems on foiL

Mobile phones, smart phones, mp3 players they become ever smaller, with ever smaller screens and keyboards. What we really need is an interface that is lightweight, so that we can carry it around, but that is large enough to match our senses and fingers. For example a pliable or rollable interface. Imec and Holst Centre develop thin-film electronic devices on flexible plastic foil. These transistors are the building blocks for flexible plastic displays and computing devices. These can be used for a wide range of applications, think of rollable displays for tablet computers or phones, electronics printed on toys, intelligent food packaging, or paper with integrated electronics. In our research, we want to improve the performance of the transistors that we process on foil. We make those transistors using state-of-the-art semiconductor materials that can be processed at low temperatures. Secondly, we look into techniques to integrate the transistors into increasingly complex circuitry. The active materials for the plastic electronics are organic molecules and oxide semiconductors. Wherever possible, we use low-cost patterning techniques, to make sure this technology is commercially viable. The research program has 5 modules: technology for oxide transistors on foil, technology for organic transistors on foil, technology for flexible display backplanes, nonvolatile memory on foil and finally, thin-film circuits on foil. We carry out this research in collaboration with Holst Centre. This allows us to leverage other research programs for technologies on plastic foils carried out at Holst Centre, in particular the research on patterning technologies on foil, on moisture barriers, and on OLEDs.

28 / ANNUAL REPORT 2010

HIGHLIGHTS SySTEmS oN foIL tecHnoLoGy for future cHiPs anD systems

Backplane for a rollable display laminated on a silicon wafer during processing

8-bit organic microprocessor on foil

01 roLLabLe anD fLexibLe DisPLays


With thin-film transistors on foil, it is possible to make backplanes for flexible displays. Flexible displays could be used, for example, to make mobile phones with an extendable, rollable display to view movies. 2010, we have successfully developed the technology for a rollable backplane for an OLED-based organic display. Our backplane is based on pentacene semiconductor thin-film transistors, and includes the interlayer dielectric between transistors and OLEDs and the reflective anodes for the OLEDs. We tested this backplane using OLEDs from Fraunhofer IPMS, and demonstrated fully functional high-brightness pixels. In 2011, we will make complete flexible OLED displays with 85ppi resolution based on this technology. Well also move towards oxide semiconductor backplanes, leading to displays with a higher brightness and better resolution (towards 120ppi).

02 worLDs first PLastic microProcessor


In 2010, imec and Holst Centre made the worlds first 8-bit all-purpose plastic microprocessors. The flexible chips are made directly on plastic foil, using the plastic electronic technology of Polymer Vision. The 8-bit processor can execute userdefined instructions, at a still limited 40 instructions per second, using only 100W of power. The new plastic microprocessors are mechanically flexible and almost fully transparent. The simple process to make them is compatible with mass-manufacturable thin-film transistor backplane technology, promising an ultralow cost per unit area. These features make them attractive for integration in mass consumer products, such as food packaging, toys, stickers Think of a calculator sticker, or a cereal box with a game, intelligent banknotes, or paper with a printed clock and calendar.

ANNUAL REPORT 2010 / 29

enerGy
imecs enerGy researcH focuses on some of tHe Key tecHnoLoGies for tHe future GriD, a grid in which energy
will be generated, stored, transported, and consumed in an intelligent and sustainable way. Imec has chosen the following 4 domains that are essential to make the future grid sustainable:
Solar energy generation. In the field of photovoltaics, imec

improves the stateoftheart efficiency and manufacturability of a number of key technologies, most notably siliconbased solar cells, organic solar cells, highefficiency cells based on IIIv materials, and printed inorganic cells based on nanoparticle inks.
Switching energy. Imec is developing components for power electronic systems, such as power transistors. The technology of choice is galliumnitrideonsilicon (gaNonSi). Storing energy. In the field of energy storage, we are looking for new solutions for highly efficient supercapacitors and batteries. Saving energy. one way to reduce the use of energy is to increase

the lighting efficiency. at imec, we focus on improving galliumnitride highbrightness LeDs.

enerGy
poWerINg a SUSTaINaBLe WorLD

ANNUAL REPORT 2010 / 31

HiGHLiGHts

eNergy

Copper-plated large-area silicon solar cell with 19.4% efficiency

Epitaxial thin-film silicon solar cells

01 HiGH-efficiency LarGe-area siLicon soLar ceLLs


In 2010, imec presented several large-area silicon solar cells with conversion efficiencies above 19%. The cells are 148cm large and 170m thick. They include rearside passivation, laser ablation, local aluminum back-surface field, and screenprinted contacts or copper-plated contacts on advanced emitter schemes. All the processes used are industrially applicable. The use of copper-plated contacts resulted in a cell with an efficiency of 19.4%. Using copper-based front-side metallization is a step towards more sustainable products with a lower cost. Copper is expected to replace silver in the future industrial production of solar cells. These results were achieved within imecs silicon solar cell industrial affiliation program (IIAP), imecs multi-partner R&D program to improve crystalline silicon solar cells.

02 recorD efficiencies for LarGe-area ePitaxiaL tHin-fiLm ceLLs


In its solar cell IIAP, imec also develops epitaxial thin-film (<20m) silicon solar cells grown on low-cost multi-crystalline Si carriers. In 2010, imec realized large-area cells (70cm), with 20m thick high-quality epitaxial silicon stacks. As substrates, we used both a highly-doped high-quality substrate and a low-cost, UMG-type (upgraded metallurgic grade) substrate. To improve the confinement of the light in the active part of the cell, we developed a buried porous silicon reflector. The efficiencies measured were 16.9% on small-area (4cm2) high-quality substrates and 14.7% on large-area low-cost substrates. This demonstrates that industrial-level efficiencies are within reach for this technology if suitable Si-substrates and high-throughput epitaxial growth are available.

32 / ANNUAL REPORT 2010

HIGHLIGHTS enerGy

Germanium-based thermophotovoltaic cells

Tandem organic solar cells

03 imec reDuces cost of GermaniumbaseD tHermoPHotovoLtaic ceLLs


In 2010, imec has invented an improved processing technique for germanium-based thermophotovoltaic (TPV) cells. Such cells convert radiation from heat sources with a lower temperature than the suns. Applications are mostly industrial, e.g. generating electricity from heat released during steel production. Imecs newly developed TPV cells are fabricated on germanium substrates with a surface specifically optimized for TPV applications. We also used proprietary surface passivation techniques, novel contacting technologies, and our material expertise to improve the quantum efficiency of our TPV cells. The result is a significant reduction of the cell cost, which is essential to develop a market for TPV applications.

04 HiGHer efficiencies witH tanDem orGanic ceLLs


To realize organic solar cells with higher efficiencies, imec is working on tandem cells, i.e. stacking single cells on top of each other. In 2010, imec successfully stacked two planar heterojunction devices, each with a high open-circuit voltage, into a tandem solar cell with a power conversion efficiency of 5.15%, a 40% improvement over single devices. An innovative feature of this cell is the all-evaporated interconnection scheme based on an electron-transport layer, metal nanoparticles and a hole-transport layer. For 2011, we are screening candidate materials with absorption spectra at even higher wavelengths. The goal is to combine these new materials in the current tandem, creating a stack of 3 or more cells, eventually targeting efficiencies over 10%.

ANNUAL REPORT 2010 / 33

freDeric Dross
principal researcher photovoltaics

INTERVIEW WITH fREdERIc dRoSS enerGy

ImprovINg THe enerGy yieLD of SoLar moDULeS


O
f all sources of renewable energy, the sun has by far the largest potential. But to use that potential, and to increase the share of energy generated from the sun, the cost of energy generated by photovoltaic (PV) systems will have to come down. This is where imec wants to play an important role. Our main effort is on crystalline-silicon technology, which we believe will be the dominant PV technology for at least the next decade. But next to silicon, we are also working on high-efficiency cells based on III-V materials, as well as on a range of inorganic and organic materials deposited with high-throughput methods. In 2010, for instance, we have started R&D on printed CIGS-based absorber layers and we are looking to develop indium-free alternative materials. There are a number of ways in which we try to improve the yield of PV systems, bringing down the cost per generated kilowatt-hour. One is to improve the efficiency of the cells. Another is to use less base materials. The silicon wafers, for example, account for one-third of the cost of standard solar modules. So reducing the amount of silicon will have an important leveraging effect on the energy price. A third way is to increase the lifetime of the solar modules. And last but not least, when a standard module is shaded, even only partly, the efficiency of the whole module decreases. If we can avoid these losses, restricting them to the shaded area, the energy yield of a module or a system will increase. To do so, the modules will have to become smarter, dynamically putting cells in the most favorable configuration so that the energy delivered is continuously optimized. This is very much a future technology, and we aspire to help develop it. In our labs, we work on a wide array of PV technologies, from the most mature to the very exploratory. In our mature research, we want to take the industrially-proven bulk crystalline silicon technology as far as possible. One of the challenges we currently work on is copper metallization. Today, silver is used as metal of choice for the top electrodes of the solar cells. Silver, however, is an expensive and scarce material. Copper-plating is a potential alternative, but is more challenging to implement. Our solutions are based on the expertise we gained in IC processing, where copper was introduced to replace aluminum for metal layers and interconnects. A second challenge is to improve the electronic passivation of the rear side of the cells. There we can use atomiclayer deposition of aluminum oxide. This is also a process that was developed for microelectronics, and which we have carried over to PV processing. As for our exploratory research, we believe that in the future, the cell and module processing will be integrated. Therefore, we are preparing a smooth transfer from the dominant cell-based technology to an integrated fabrication of smart modules. Such a module will be a glass panel carpeted with silicon foils, which will be embedded in silicone. The silicon foils will be processed into solar cells directly on the module level. This will remove the need to handle and process each cell individually. The target thickness of the silicon in these modules is 50m, which is an optimal thickness considering the passivation levels that are technologically reachable. The use of silicone as embedding material will also allow embedding the additional electronics and intelligent features needed to make the solar modules smart. With this portfolio of mature and exploratory research, imec aspires to keep feeding the industry with innovative, advanced processes, eventually resulting in the solar module of the future.

ANNUAL REPORT 2010 / 35

tom aernouts
r&D Team Leader organic photovoltaics

INTERVIEW WITH Tom aERNouTS enerGy

orGanic SoLar CeLLS CLoSINg IN oN CommerCIaL reqUIremeNTS


O
rganic solar cells have photoactive layers made from organic, i.e. carbon-based, molecules. These may either be organic polymers or smaller organic molecules, such as C60. cells. The consensus is that there is still much room for further improvement, and that the threshold for widespread, commercial use will be reached in the coming years. Our work at imec aims to improve the cell efficiency, lifetime, and processing. Improving the cell efficiency has a lot to do with finding the right materials, the polymers or small organic molecules, among the thousands of possible candidates. So organic solar technology is very much material-driven; we constantly screen new materials for their efficiency in generating electricity in organic solar cells. To be able to do this more efficiently, we have set up the organic photovoltaics processing line, a dedicated infrastructure to produce and test organic solar cells. Also, as another way to improve the cells efficiency, weve worked on alternative architectures, most notably the tandem cell, which is a stack of single organic solar cells with complementary absorption spectra. Another important requirement of organic solar cells is their lifetime. Here we look into new techniques of encapsulating the cells, protecting them from degrading influences such as humidity. But we also try to understand the fundamental mechanisms behind the degradation and to develop accelerated test methods. The most important factor of degradation seems to be the electrodes and their interfaces with the organic material. Through careful interface tuning, we succeed in improving the cells lifetime to a continuous operational lifetime of over 1,000 hours. Also for this work, our organic photovoltaics processing line has all state-of-the-art tools we need, such as dedicated processing chambers and monitoring tools. A third aspect is the cell processing. Our focus is on spraycoating the cells layers. Weve started with spraycoating the photoactive layers, and now were also looking to use the technique for the contact layers. We want to master all aspects of the process, for example how to spraycoat the various layers on top of each other. On these three levels, and together with our partners, we want to improve organic solar cells up to the level that they could be used in commercial applications. Within two to three years, we hope to reach conversion efficiencies of up to 10% by using tandem multijunction cells. In that same timeframe, it should be possible to improve the lifetime of cells up to 10 years. And in the longer term, say seven years, lifetimes of 20 years should be possible. Our research and development, and the encouraging results contribute to a slow but steadfast improvement of organic photovoltaic technology, bringing its commercial uptake closer. Organic solar cells might not outperform silicon cells in performance and lifetime, but their low cost, ease of processing, flexibility, and usability under varying lighting conditions will earn organic solar technology a rightful place in the photovoltaics market.

These cells are potentially very interesting, for a number of reasons. First there is the prospect that they can be fabricated much cheaper than other types of solar cells, for example cells made from silicon. Also, they can be made to cover large areas, by means of low-cost printing and coating techniques that pattern the active materials on flexible substrates. Moreover, they would be lightweight, flexible, easy to process, easy to work with, and usable under highly varying lighting conditions. That would make them suited for such applications as lightweight building elements and smart textiles. But organic solar cells cannot yet be made with the efficiencies, lifetime, and cost that are required for large-scale commercial use. During the past decade, imec and other labs around the world have slowly but steadily improved these

ANNUAL REPORT 2010 / 37

Gan tecHnoLoGy

Imec explores the use of III-nitride materials of which GaN (galliumnitride) is best known for use in two technologies: power electronics and lightemitting diodes (LED). Both technologies are of key importance for a more sustainable use of energy. Power electronic components convert electric power; they are used wherever the voltage, current, or frequency of electricity has to be converted. An example are solar cell panels, which generate a DC current that must be converted to AC before it can be used in the grid or in home appliances. GaN is a well-suited material to make components for power electronics: it has an electrical breakdown voltage that is 10 times higher than that of Si, and it has excellent transport properties. Imec works on developing the technology needed to process GaN components. One challenge is to create the large-area wafers needed for costeffective processing. We propose an approach where GaN is deposited on a silicon wafer (GaN-onSi). A second challenge is to make GaN processing compatible with CMOS processing, which would allow a better industrial uptake, through existing fabs looking for new business. As a second focus, we work on improving LED technology, making it more cost-effective by introducing GaN processing on large-area wafers. Next to that, we also look at improving the light efficiency, generating more light for the same amount of energy.

GaN-on-Si wafer with Au-free MOSHEMTs

38 / ANNUAL REPORT 2010

HIGHLIGHTS GaN TEcHNoLoGy enerGy

Au-free Si-compatible GaN power device development die

Visual inspection of a GaN layer on a 100mm silicon wafer

01 GoLD-free Gan Power Devices, comPatibLe witH cmos ProcessinG


In 2010, imec and its partners have successfully fabricated GaN power devices that are gold-free. Gold is conventionally used for ohmic contacts and gate structures in power devices, but it makes GaN processing incompatible with conventional CMOS processing. The devices, processed on 6-inch wafers, are a milestone in imecs multi-partner R&D program on GaN. In this program, cost reduction is one of the key objectives. And one way to do this, is making sure that devices can be processed in highthroughput high-capacity 200mm CMOS processing fabs. Also in 2010, 3 new partners joined the GaN multipartner program: Micron Technology, Applied Materials, and Ultratech.

02 PioneerinG LeD tecHnoLoGy on siLicon wafers


LED technology today is still too expensive, with at least a factor 10. Also here, we look to introduce GaN processing on large-area wafers. In 2010, we demonstrated high internal quantum efficiencies on 4-inch silicon wafers that are comparable to those on the more expensive sapphire wafers. We also worked on optimizing the material stack on the wafers needed for LED production. This enabled us to make our first fully-processed LEDs on 4-inch silicon wafers, demonstrating suitable threshold voltages and external quantum efficiencies. Following, we were also successful in growing the first complete material stacks on 8-inch silicon wafers. Building on these results, in 2011, we now target processing high-efficiency LEDs on 8-inch silicon wafers.

ANNUAL REPORT 2010 / 39

eLeCTroNICS for HeaLtHcare anD Life sciences


imec DeveLoPs soLutions for cost-effective anD reLiabLe HeaLtHcare. We work on
advanced microsystems for diagnosis and longterm therapy, such as labonchip solutions and brain implants. We also build platforms to support pharmaceutical research, platforms that allow intelligent, highcontent screening of cells, cell cultures, and tissue. at the heart of these systems are bionano interfaces, interfaces between biological material and electronics that allow a finegrained twoway communication. Imec and Holst Centre collaborate on technology for wearable and implantable body area networks. These include sensors that continuously register and interpret health parameters, and actuators that e.g. administer drugs. Such networks have to be wirelessly connected, extremely reliable, lowpower, and comfortable to wear.

Human++
pIoNeerINg effICIeNT HeaLTHCare

ANNUAL REPORT 2010 / 41

HiGHLiGHts

eLeCTroNICS for HeaLTHCare aND LIfe SCIeNCeS


Catholic University of Leuven - Artesis University College of Antwerp Prototype of a comfortable headset for EEG monitoring ECG signals wirelessly transmitted to a mobile phone

01 minD sPeLLer write witH your brain


In 2010, imec, Holst Centre, and the lab of Neuro- and Psychophysiology at the University of Leuven presented Mind Speller. Mind Speller is a portable, easy-towear, intelligent application that allows people with motor disabilities to communicate, e.g. when they suffer from brain paralysis or severe speech disorders. Mind Speller is EEG-based (electro-encephalogram). When a person recognizes characters on a display, he fires characteristic brain potentials, which are picked up by Mind Speller. Mind Speller is a portable device, not larger than a matchbox, connected to a cap that contains electrodes located at specific positions on the head to capture the relevant signals.

02 monitor your Heart witH a mobiLe PHone


Imec and Holst Centre, together with TASS software professionals have developed a mobile heart monitoring system that allows viewing your ECG (electrocardiogram) on an Android mobile phone. This application includes an innovative low-power interface that transmits signals from a wireless ECG sensor system to the mobile phone. This is a first demonstration of a complete body area network connected to a mobile phone. Such systems enable reliable long-term ambulatory monitoring of various health parameters such as cardiac performance (ECG), brain activity (EEG), or muscle activity (EMG).

42 / ANNUAL REPORT 2010

HIGHLIGHTS eLectronics for HeaLtHcare anD Life sciences

(Left) 8mm 3D electronic depth control probe; (Right) Minimally invasive 1cm brain probe for recording and stimulation

CMOS chip with micronail electrode array for single cell stimulation and recording

03 imec DeveLoPs new Generation of brain Probe


In 2010, we further developed our prototype brain probes, with more and smaller contacts. The result is a programmable low-noise multi-channel neural interface that can be used for the recording of neural activity in in-vitro and in-vivo experiments. In our prototype architecture, our main focus was on flexibility and performancepower scaling. Each channel can be programmed individually to address different neural signals and electrode characteristics. We fabricated the 16-channel fullydifferential system in a 0.35m CMOS technology, with each channel consisting of AC-coupled low-power, low-noise programmable amplification (100 - 6000V/V) and programmable band-pass filtering (1 - 6000Hz). With this system, we have successfully performed simultaneous recordings of action potential signals in in-vitro experiments, and are now experimenting with in-vivo recordings.

04 PLatform for bio interfacinG


Imec has developed a CMOS-based platform for the in-vitro screening of biological material, from single cells to tissue slices. The platform is suited for twoway electrical communication, both recording activity from the biological material and stimulating it. The heart of the platform is a device with a matrix of micronails with various dimensions, which allows addressing single-cells individually. Starting from a custom CMOS design in TSMC 0.18m technology, we postprocessed the chip resulting in more than 16,000 individually-addressable micronails. The chip includes a digital microcontroller interface, in-situ amplifiers and on-chip stimulation and impedance measurement circuitry.

ANNUAL REPORT 2010 / 43

woLfGanG eberLe
program manager Cell Interfacing Technology

INTERVIEW WITH WoLfGaNG EbERLE eLectronics for HeaLtHcare anD Life sciences

eLeCTroNICS for a BeTTer HeaLtHcare


O
ur vision on future healthcare is one of high-quality diagnosis and treatment, accessible to everyone, at an acceptable cost. We want to advance that vision by providing innovative instruments for diagnosis, treatment, and drug discovery. Our instruments are electronic in nature. To be able to reach out to biological entities, and to measure and to communicate with those instruments, we develop bionano interfaces, interfaces between the electronics and the biological world. And we integrate those interfaces into electronic healthcare solutions. One example platform we have developed is a chip with a surface on which cells and even tissues can grow. The cells make close contact with microelectrodes on the chip surface, which allows us to measure electrical signals in the cells, or to stimulate them. more effective, and cheaper. We want to do that by building platforms for intelligent, high-content screening. Platforms, for example, that can test the effect of molecules on living tissue or cell cultures. Testing more molecules, in less time, collecting more information. What makes imec unique is that we have all the capabilities to integrate functionality in working microelectronic devices. One notable example is our smart invitro single-well plate setup with chips analyzing the effect of Alzheimer on brain cells. We build working prototypes, which are then used by our own research teams and those of our partners. Prototypes that demonstrate what is possible and that form the basis for new generations of instruments. for those pathologies that may require a lifelong, sustained therapy, and that cannot be solved through administering fixed doses of simple drugs. Some examples are Parkinsons disease, obsessive compulsive disorder, anorexia nervosa, or severe depression. The problem here is often that it is difficult to administer the right amount of medicines at the right moment, or to get the medicines at the right place without negative effects in other places. This is especially challenging for therapies that involve the brain, as medicines have to cross the blood-brain barrier, which is a defense barrier that protects the brain. To reach the brain, to be able to measure and stimulate the activity of brain cells, and to deliver medicines to the exact right spot, you need devices that are implanted. A first generation of such instruments are the brain probes that we develop. The principle of these implants is radically different from those of classical implants, say a hip replacement, where you expect a fast and complete encapsulation. In contrast, electronic brain implants should offer as many contacts as possible with the living tissue. This is where bio-nano interfaces and microfabrication come in. We envisage future implants with tens of thousands of electrodes. Electrodes which can each measure and stimulate individual cells. These implants will form a closed feedback loop, allowing for exactly the right amount of stimulation at the right place and time. The convergence of biology and engineering is turning healthcare into an information industry, a change that will be disruptive, but also hugely beneficial for patients. There is a growing hope that, with the help of electronics, we will be able to treat more people than we can today, at a lower price per person, and for a wider range of conditions.

aDvancinG tHe searcH for new tHeraPies


One of our goals is to advance the process of drug discovery, making it faster,

LonG-term monitorinG anD tHeraPy


Bio-nano interfaces can also be used to build electronic devices for therapy. One of our focuses is finding solutions

ANNUAL REPORT 2010 / 45

SUSTaINaBLe wireLess CommUNICaTIoN


imec DesiGns innovative soLutions for tomorrows wireLess communication, exPLoitinG tHe newest tecHnoLoGies. We engineer
fullyintegrated energyefficient solutions, which increase the performance and possibilities and at the same time drastically reduce the power consumption and cost.

ImeCS r&D foCUSeS oN:


cognitive reconfigurable radioS flexible radio chips that can switch between communication standards, looking for the best tradeoff in each situation. These will be used in intelligent, multistandard terminals, such as the smartphones of the future. We realize analog frontends, baseband platforms, and spectrum sensing solutions. mm-wave (60ghz) radioS radio solutions that exploit the huge capacity available at 60gHz for shortrange gigabitpersecond communication. ultralow-power radioS radios that can run on a power supply that is 10100 times lower than conventional lowpower systems. These will be a key enabler for tomorrows wireless transducer systems, such as wireless sensors in intelligent buildings, machinery, or body area networks.

Green raDios
opeNINg NeW HorIzoNS IN WIreLeSS CommUNICaTIoN

ANNUAL REPORT 2010 / 47

HiGHLiGHts

SUSTaINaBLe WIreLeSS CommUNICaTIoN

Flexible multistandard transceiver in 40nm CMOS technology

Digital front-end for low-cost and low-power spectrum sensing

01 breaKtHrouGH transceiver for fuLLy reconfiGurabLe raDios


Future mobile devices will have flexible radio chips that automatically switch standards, frequencies, or bandwidths depending on the circumstances and needs. Imec is designing solutions, further building on its pioneering reconfigurable transceiver in 40nm IC technology. Early 2011, imec presented a highly-linear reconfigurable transceiver that eliminates the need of surface acoustic wave filters. The approach is a breakthrough because it relaxes the requirements of the antenna filters. Up to now, these antenna filters could not be made more flexible due to the high filtering specs. Imecs reconfigurable receiver and transmitter technology is suitable for mobile handsets and all kinds of battery-powered wireless connectivity devices, as well as for base-stations for small cells, and can be programmed to meet the requirements for many standards and dedicated needs.

02 DiGitaL front-enD enabLes Lowcost, Low-Power sPectrum sensinG


Todays radio architectures are designed to receive data through a predefined channel. But a more dynamic access to the spectrum would significantly increase the efficiency of wireless communication. To enable such dynamic access, radios should have the ability to scan the spectrum for non-used bandwidth. Early 2011, imec unveiled a digital frontend that supports the concurrent synchronization and sensing of high-throughput wireless connectivity. This component was designed as a versatile digital engine to meet a wide variety of use cases, at low cost and with low power overhead. The chip includes a dedicated ASIP and performs both flexible synchronization and spectrum sensing for WLAN (802.11a-n), cellular standards (including the recent 3GPP-LTE), and digital broadcasting.

48 / ANNUAL REPORT 2010

HIGHLIGHTS sustainabLe wireLess communication

60GHz transceiver module

ECG necklace with ultralow-power radio

03 enerGy-efficient soLutions for HiGH-tHrouGHPut communication


With the explosive growth of handheld devices and flat screen displays comes a massive demand for wireless connectivity, including short-range links with bit rates of several gigabits-per-second. Imec develops 60GHz radio transceiver solutions that can deliver these high data rates over short distances. In 2010, imec has successfully developed a prototype of a low-power 60GHz phased-array transceiver in 40nm low-power digital CMOS using analog baseband beamforming. This transceiver has been integrated with an antenna array, and validated for the 60GHz IEEE802.15.3c standard. The target of the imec 60GHz radio R&D is to reduce the size and power consumption compared to existing solutions and to enable gigabits-per-second communication links in portable devices such as smart phones.

04 imProveD uLtraLow-Power raDio for sensor noDes


Imec and Holst Centre examine techniques to drastically reduce the power consumption of radios for use in wireless sensor networks. In 2010, imec developed a new version of its 2.4GHz radio architecture for wireless body area networks (WBAN). The peak power consumption of this device is 2.5mW for the transmitter and 0.78mW for the receiver. This radio was integrated in a demonstrator electrocardiography (ECG) necklace. WBANs are used for communication among sensor nodes operating on in, or around the human body, e.g. for healthcare purposes. To reach energy autonomy, the total energy consumption of the sensor nodes should be minimized.

ANNUAL REPORT 2010 / 49

cHarLotte soens
manager mmwave Communication program

INTERVIEW WITH cHaRLoTTE SoENS sustainabLe wireLess communication

eNergyeffICIeNT HIgHTHroUgHpUT CommUNICaTIoN aT 60GHz


mec pioneers design solutions for tomorrows wireless communication. By exploiting the newest technologies, we want to reduce the power consumption and cost drastically. We partner with market leaders, who give us the input we need to design best-in-class solutions fit for their future products. Leveraging on our technology partnerships, we get early access to advanced CMOS technologies, so that we can explore the use of 40nm technology, and in the near future even 28nm technology. Our multi-disciplinary approach including IC, antenna, and algorithm design is definitively an asset. It allows us to prototype mm-wave modules that show the validity and consistency of our solutions. In addition, we participate in standardization efforts and give presentations in many top conferences on solid-state circuits and telecommunications. We believe this is crucial to ensure both the industrial relevance and academic excellence of our results. More and more of todays consumer products include data-intensive applica-

tions. Think of the popular smartphones, mp3 players, or tablet devices, which all come with tens of gigabytes of memory. If we could add wireless links with bit rates of several gigabits-per-second, users would be able to exchange the contents of these devices in only a few seconds. For example with todays 54Mbps WLAN (wireless local area network) technology, it still takes you ten minutes to download a 120-minute classic DVD of 4.2GB. With a multi-gigabit-per-second link it would only take you a few seconds. As frequencies below 10GHz are getting completely congested, bandwidth for these wireless gigabit-per-second links has to be sought at higher frequencies. To this end, worldwide regulation bodies have assigned a 7GHz wide license-free band at 60GHz. In terms of bandwidth, this is a great opportunity since conventional radios operating below 10GHz have only bandwidths available of up to 100MHz. Today, there are already a few commercial 60GHz radio solutions, but these are very expensive and power-hungry. Wide-

spread deployment of 60GHz radios requires more integrated solutions with drastically improved energy efficiency, certainly if they are to be used in batterypowered and mobile devices. In imecs R&D program on mm-wave communication, we develop wireless communication links at 60GHz that are able to transfer data at rates of several gigabits-per-second, over short distances ranging from centimeters up to 10-20 meters. Next to the data exchange between handheld devices, imecs technology will also enable other often-cited 60GHz applications in consumer electronics, such as wireless high-definition TV streaming. In addition, our team is also actively looking at new applications. In the medical domain, for example for endoscopic operations, mm-waves can offer highly reliable, clean and convenient wireless connections with physically guaranteed privacy. For chip-to-chip communication, mm-waves can provide the multi-gigabitper-second rates that will be required by future systems wirelessly. And in the industrial domain, the technologys tremendous capacity can radically change

the scene for machine-to-machine applications, whereas its short wavelength can enable low-cost, high-resolution sensing. Our driver is to work towards a proofof-concept for the complete system, compliant with the applicable standards. When we realize prototypes we sometimes still have to tackle theoretically unpredicted obstacles. But when finished, our prototypes prove that all our solutions work. And that is essential to our partners. We have a cross-disciplinary team with very diverse expertise, including specialists in mm-wave system design, IC design, antenna design, and system integration. In our team, senior researchers work closely together with PhD researchers, who develop the more exploratory ideas. We have researchers from many nationalities with sometimes different approaches to R&D. The rich diversity not only colors our life at work; I believe the various backgrounds and cultures also inspire us, leading to better results.

ANNUAL REPORT 2010 / 51

ImagINg aND fUTUre 3D visuaLization


imecs nvision ProGram develops advanced visualization and imaging systems by
combining software and technology into an optimal solution for a given market.

THe program HaS foUr appLICaTIoN DrIverS:


Smart lenSeS imec pioneers the miniaturization of conventional camera systems, working on replacing the bulky and expensive elements of lens systems. hyperSpectral imaging imec develops miniaturized modules that will be the heart of fast, compact, and lowcost hyperspectral cameras. Such cameras will replace todays large and complex systems, making hyperspectral imaging available for many new applications. backSide-illuminated imagerS imec works on innovative CmoS imagers that use a novel arrangement of the imaging elements to increase the amount of light that is captured. holographic diSplayS imec designs a highdefinition holographic display, a natural 3D experience for multiple viewers, without the undesirable side effects of current 3D stereoscopic visualization.

nvision
ImagINg aND fUTUre 3D vISUaLIzaTIoN

ANNUAL REPORT 2010 / 53

HiGHLiGHts

ImagINg aND fUTUre 3D vISUaLIzaTIoN

Micromirror test structures, with different fill factor, tilt angles, and micromirror sizes

Hyperspectral filter structures in imecs cleanroom

01 buiLDinG smart Lenses for mobiLe PHones anD ProfessionaL cameras


Imec works on the next generation of lens systems. These will be based on arrays of micromirrors that are specially designed to operate as an optical zoom element with variable focal length. Using these polar grid arrays, a lens system can zoom in by changing the tilting angles of the individual micromirrors. In 2010, using an optical simulation tool, weve successfully modeled the required micromirror arrays. With this model, weve simulated micromirror-based lenses with variable focal-length. In addition, weve drawn up the specifications for micromirror arrays optimized for mobile phones and professional cameras. Last, weve also tested micromirror arrays with various hinge designs, looking for an optimal technology for the smart lenses. In 2011, well fabricate micromirror prototypes and build a system demonstrator for zooming.

02 DesiGninG tHe oPtimaL HarDwaresoftware for HyPersPectraL cameras


In 2010, imec presented a flow for the co-design of a hyperspectral camera and matching application software. The goal is to arrive at the best possible hardwaresoftware match for particular applications, making precise trade-offs for resolution, speed, spectral range ... in the early stages of the design. In the flow, a first version of the hyperspectral camera is designed based on the requirements of a specific application. This camera hardware design is then emulated and its performance is evaluated for the targeted application. If needed, the camera design is adapted for a next iteration. Next, going from emulation to hardware, the optimized design is monolithically integrated using imecs CMORE technology platform, combining a hyperspectral filter structure with a CMOS image sensor into a single hyperspectral module.

54 / ANNUAL REPORT 2010

HIGHLIGHTS imaGinG anD future 3D visuaLization

Set-up for holographic display technology

Backside-illuminated hybrid imager

03 PrototyPinG a breaKtHrouGH HoLoGraPHic DisPLay


Building a high-quality, real-time holographic display requires several breakthroughs from todays prototype holography systems. The challenges are threefold. To achieve high image quality, millions of light-diffracting elements are needed. These must all be individually controlled. Second, to achieve a wide viewing angle, the light-diffracting elements should be sub-micron sized, close to the wavelength of the used light. Last, to achieve real-time imaging, massive computing power is needed. In 2010, weve started designing a prototype display, based on imec SiGe NEMS (nano-electromechanical system) technology. Weve successfully concluded a feasibility study for the required architecture of the NEMS and the NEMS driver IC. And weve built a first demonstration test chip for a reflective holographic system.

04 reDucinG cross-taLK in bacKsiDeiLLuminateD imaGers


In conventional frontside-illuminated CMOS image sensors the light passes through the metal interconnect structure of the IC. Therefore there is an increasing interest in manufacturing backside-illuminated imagers, in which light is captured by the complete pixel area, resulting in an improved light sensitivity. In 2010, imec processed a second generation of hybrid backside-illuminated imagers for space applications, with an array size of 1024x1024 and a pixel pitch of 22.5m. The focus of this development was on reducing the crosstalk, for which we followed two paths. One was to reduce the total thickness of the imager to approximately 12m and to use an epi-layer with a specially designed graded dopant profile. A second method was to use fully depleted photodiodes, i.e. collecting the photo-generated charges by a large electric field.

ANNUAL REPORT 2010 / 55

francesco PessoLano
r&D Team Leader NvISIoN

INTERVIEW WITH fRaNcESco pESSoLaNo imaGinG anD future 3D visuaLization

DeSIgNINg TomorroWS visuaLization SySTemS


W
e live in an age that is dominated by visual information. Therefore applications that record, analyze, and present visual information have a great impact. There are visual applications to amuse and educate, but also to help people lead healthier and safer lives. Think of the phones with camera that every teenager uses to record moments of their life, or industrial machinery performing precision mechanical measurements. Think of intelligent surveillance cameras in high risk areas, or endoscopy systems that identify cancerous cells at an early stage. The numbers of such applications using advanced imaging are overwhelming, and the possibilities keep growing by the day. Each imaging application is part hardware, part software. Hardware to view, manipulate and record a stream of visual information. Software to extract and present useful information from that data. Conventionally, the hardware and software are developed independently. But this causes many systems to be large, relatively expensive and not able to fully exploit their potential. At imec, we have chosen to focus on codeveloping software and hardware into optimal solutions for a given market. We can do so because we have a leading expertise in application software for vision systems, in MEMS (micro-electromechanical) design and fabrication, and in complex and heterogeneous systems design and integration. As drivers for NVISION, our R&D program on vision systems, we have chosen to develop relevant applications, for which there is a real demand, and which will have a direct impact on our lives. With our smart lenses R&D, we want to pioneer the miniaturization of traditional image and video acquisition systems. Current camera systems still need bulky and expensive glass elements for their lenses, with macroscopic moving elements. Imec works on the next generation of lens systems. These will offer a high-quality experience in an extremely compact, lightweight, and responsive package. The lenses will be based on micromirrors with full electronic control and no mechanical movements. Our first target applications are zoom lenses for mobile phones and digital reflex cameras. Our second focus is on hyperspectral imaging. A hyperspectral camera captures the information contained in all the light reflected from an object, not only the light visible to the human eye. With that information, it is e.g. possible to determine which materials make up the object, or to identify contamination in medicines, identify cancerous cells, determine the rate of wound healing, calculate the water needs for a crop, and so on. Todays hyperspectral systems use expensive, slow, complex cameras. They are research tools rather than professional or consumer electronics. In imec we are co-designing the hardware and software needed to realize compact, fast and simple hyperspectral systems. Holographic displays promise to create a true 3D visual experience. Todays 3D television sets are based on auto stereoscopic vision: each eye receives a slightly different image, from which the brain constructs a feeling of depth. But these displays only offer viewers one focus point; the images are the same for all viewers, and they do not change if the viewers move. This causes an artificial, sometimes even painful, 3D experience. Holographic displays, on the other hand, project all the information our eyes normally receive in the space before the viewers. With changing viewpoints when we move, and with different views for different viewers. In 2010, weve started designing a prototype holographic display, based on imec NEMS (nanoelectromechanical system) technology using sub-micron mirror devices. Our longerterm goal is to create a display for computer-generated holography with up to 900 million diffractive elements, with a 60-degree diffraction angle, and a highdefinition visual experience.

ANNUAL REPORT 2010 / 57

sensor systems for INDUSTrIaL appLICaTIoNS


imec anD HoLst centre DeveLoP uLtrasmaLL wireLess anD autonomous sensor systems. These will be the building blocks of future intelligent sensor networks that
monitor traffic, industrial processes, smart buildings, large constructions, or the environment. We envision such future microsensors to operate autonomously for long periods. They will be hidden, sometimes even embedded in constructions. and they will communicate wirelessly. a key factor for the success of these sensor systems will be their low power consumption, defining the range of applications and functionalities that they can be used for. So our focus is on developing ultralowpower sensors and actuators, wireless communication architectures, and digital signal processing. In addition, to power these systems, and let them operate autonomously over long periods, we work on micropower generators that harvest energy from the environment, e.g. from the vibrations produced by machines. These generators can convert energy in an efficient way into electricity, which can then be stored in microbatteries integrated in the sensor nodes.

sensor systems
makINg SySTemS SeNSe, INTeraCT, reaCT

ANNUAL REPORT 2010 / 59

SeNSor SySTemS for INDUSTrIaL appLICaTIoNS

HiGHLiGHts

Vacuum-packaged piezoelectric harvesters

Demonstrator illustrating the feasibility of energy-autonomous smart windows (Source: Philips Research)

01 recorD Power outPut for PiezoeLectric Harvester


2010, imec and Holst Centre further increased the power output of their piezoelectric harvester. This MEMS-based device now generates a record 236W from vibration energy. This output energy peaks at a resonance frequency of 930Hz. At its heart, the harvester has a cantilever, consisting of a piezoelectric layer sandwiched between metallic electrodes, forming a capacitor. At its tip, the cantilever has a mass attached, which will translate the macroscopic vibration into a vertical movement putting strain on the piezoelectric layer and generating a voltage across the capacitor. The total package weighs just over 30mg. Harvesters like this one could be used, for example, to drive a sensor that intermittently measures the temperature or some other machine parameter and sends it wirelessly to a base station.

02 e-sKin PowereD by rf enerGy HarvestinG


2010, Philips Research, imec and Holst Centre have demonstrated Philips e-Skin powered by a mobile phone, illustrating the capability of RF harvesting to drive low-power devices. Philips e-Skin is a low-power display targeted at green applications such as smart windows or building surfaces that change color to reflect or absorb sunlight. Thanks to an RF energy harvester developed by Holst Centre and imec, the e-Skin device could be switched from black to transparent and vice-versa with a mobile phone. To extend the usability of our RF energy harvester technology, we are also working on antennas for large-area RF harvesting with an estimated operating range of up to 10m. One possibility is to manufacture these antennas on flexible foils so that they can be concealed in walls, ceilings, and windows.

60 / ANNUAL REPORT 2010

HIGHLIGHTS sensor systems for inDustriaL aPPLications

Package with resonant MEMS sensing elements and oscillator-based read-out

Glasses with low-power EOG sensor, for gaming applications

03 Gas sensor cHiP PavinG tHe way for autonomous e-nose


In 2010, imec and Holst Centre presented a very sensitive sensor chip for gas detection. The device has a dense array of polymer-coated microbridge resonators. Using onchip integrated read-out techniques, it can detect parts-per-million concentrations of gasses. The device has an ultralow-power consumption (<1W/bridge) and a small form factor. The sensor is designed so that the microbridges can each be coated individually with a chemical coating that is sensitive to a particular gas. As these coatings absorb the gas molecules, the modes of vibration (resonances) of the bridges change, which can be monitored. Wireless sensor nodes that chemically detect gaseous compounds are rapidly gaining interest in markets such as food monitoring, healthcare, and safety.

04 Demonstrator GLasses witH inteGrateD eoG sensor


In 2010, imec and Holst Centre have developed prototype glasses with integrated wireless EOG sensor (electro-oculograph). The sensor tracks the movements of the wearers eye. The glasses could be used in gaming, adapting and steering the game depending on the eye movements. This system uses only 295W and integrates imecs state-of-the-art in low-power design, low-power sensing, and energy harvesting. At the heart of the system are two bio-potential sensor and read-out units. These electronics are driven by two different batteries. One, with a lower voltage (3.4V), drives most of the electronics. This battery is charged by a small photovoltaics system, generating 150W. The other battery (4.2V) drives the EOG readout, and is designed so that it also serves as the antenna for the wireless link.

ANNUAL REPORT 2010 / 61

ruuD vuLLers
principal researcher micropower program

INTERVIEW WITH Ruud VuLLERS sensor systems for inDustriaL aPPLications

HarveSTINg THe poWer for autonomous sensor NeTWorkS


uture skyscrapers, bridges and airplanes will have embedded wireless sensors that guard the integrity of the construction. And future smart buildings will use sensors to automatically adjust heating and lighting when people are present. But how will these sensors be powered? Batteries are not practical as a sole power source, for a number of reasons. First, some of the sensors will be placed in places that are hard to reach, sometimes even integrated in the construction material. Second, the sensor operation should be ultra-reliable and permanent, not depending on battery replacement. The solution is to use energy harvesters: devices that tap into the environment and generate enough energy to power the sensors. The sensor networks that we envision possibly consisting of thousands of microsized embedded sensors call for cheap and mass-produced energy harvesters, for example using MEMS (micro-electromechanical system) technology.

In the past 5 years, our team at imec and Holst centre that specializes in micropower harvesting has gained an expertise that is recognized worldwide. We look into techniques to harvest energy from vibrations, heat, light, and RF energy. First, electricity can be harvested from movements and vibrations. An example application would be an autonomous tire pressure sensor, running on the energy harvested from the wheels vibrations. In the United States, such sensors are already obligatory for all new cars. But the available systems operate on batteries, which limits their lifetime and usefulness. An autonomous system with a harvester would function for as long as the car lasts. It could be embedded in the tire material, together with other smart electronics that measure e.g. the road condition. We work on two techniques for vibration harvesting: electrostatic and piezoelectric harvesting. With the first technique, electricity is generated from two surfaces of a capacitor moving relative to each other. And with piezoelectric generation, the vibrations strain a piezoelectric

material, which generates an electric current. Our MEMS that use this piezoelectric technique can already harvest over 200W, enough to power a simple sensor node and wireless radio. Another possible source of energy is a temperature gradient. Examples are the temperature difference between components of a machine, or between the human body and the environment. A harvester to tap into this energy a thermoelectric generator is made from two different thermoelectric materials; the temperature difference across the two materials will result in electric power. Such harvesters are suited for industrial equipment that generates lots of heat. Worn on the human body, they will generate much less electricity: the temperature gradient is much smaller, and the wearers comfort requires that not too much heat is extracted from the body. Third, we can harvest light. Outdoor sensor applications in regions with guaranteed sunshine can use traditional solar panels. But photovoltaic cells can also capture indoor, artificial light. These cells, however, are not the ordinary run-off-

the-mill solar cells. They have to capture light with a lower intensity and a different spectrum than the suns. And there is only a limited space available inside to install them. Therefore, for indoor applications using light, we are looking into the possibilities of organic photovoltaics, which can be made to cover surfaces such as office walls. A fourth source of energy that can be harvested is ambient RF energy (e.g. from mobile phones or WiFi antennas). Harvesting RF energy is a challenge because you need large areas to generate even 100W. Moreover, if you capture energy from mobile phones with a system that is not very well designed, you may severely attenuate or even block the phone signals. An interesting application involves capturing the energy of WiFi antennas at the windows of a building. This has an added security bonus, as you block the signals from leaving the building. In addition, at imec and Holst Centre, were also focusing on using RF energy for energy transmission. One or a few dedicated RF antennas in a building, for example, could drive RF-sensitive sensors that adjust the lighting or temperature.

ANNUAL REPORT 2010 / 63

64 / ANNUAL REPORT 2010

WHaT ImeC CaN Do for you


If you are a looking for an R&D partner with a broad expertise and excellent track record, imec is the place to be.
Together with industrial partners, universities and research institutes worldwide, we do r&D in advanced micro and nanoelectronics. Large international semiconductor companies, system houses, and equipment and material suppliers are partners of imec. But also medical, pharma ceutical and energy companies work with imec in joint r&D programs.

If you are looking to buy a solution or a service, imec has a wide offering.
Next to our ongoing r&D programs, we are also a solution provider, using our expertise and network to look for solutions with advanced electronics. We organize technology and Ip transfer. We also offer training, and we have a full range of aSIC services.

If you are a researcher, looking for a stimulating environment, you may want to come and talk with us.
at imec, people collaborate and do research in one of the worlds most advanced research facilities.

ANNUAL REPORT 2010 / 65

Joint reSearCH aND DeveLopmeNT


imec is a Lab for researcH anD DeveLoPment in aDvanceD eLectronics anD nanotecHnoLoGy. We do r&D with major IDms, tool manufacturers and material suppliers, but also with companies working on solutions for healthcare, energy, communication, or advanced imaging. and we invite others to come and discuss their needs, and to join us. In 2010, we saw a growing interest from fabless and fablite companies. for these companies, we have an offer to help them anticipate new technologies, so that they may design more advanced products and get them on the market faster. Next to our collaboration with the industry, we work together extensively with hundreds of universities and research institutes from all over the world. In flanders, we have associated labs at the universities of ghent and Hasselt, each worldclass in their fields of expertise. Last, imec coordinates and takes part in a number of european research programs. Together with top institutes and companies, we do longterm research, research that benefits our r&D programs.

ANNUAL REPORT 2010 / 67

HiGHLiGHts

JoINT reSearCH aND DeveLopmeNT

01 reacHinG out to inDustry DiscussinG resuLts anD future innovations


Imec has collaborations with key companies, forming one of the largest R&D ecosystems worldwide. We regularly reach out to this community, discussing visions and results. For our partners in the core CMOS, photovoltaics, and medical R&D, we organize partner weeks where results are discussed. In 2010, at our fall core CMOS partner week, we welcomed over 300 specialists from our partners worldwide. The discussions were constructive and helped everyone to make the most of the results, and to steer the upcoming R&D. In 2010, we organized our flagship ITF conference, as well as seminars in Japan, Taiwan, and China. The seminars were open to company executives and specialists from partners and other interested companies. Imecs experts explained the stateof-the-art in ICT research and shared their vision on future developments.

02 r&D witH universities anD researcH institutes


Imec collaborates with many universities and research institutes through joint research projects. In Flanders, imec has 2 associated labs. Imecs associated lab at the University of Ghent specializes in photonics, system integration, and communication technology. In photonics, the lab works towards silicon-based photonic ICs for high-speed computation and communication. Backed by this expertise, beginning of 2011, imec launched a multipartner research program on silicon photonics. Imecs associated lab at Hasselt University is a materials research lab. Examples of its expertise include wide-bandgap materials, organic materials, nanomaterials, and material characterization. 2010 saw the 15th edition of the International Hasselt Diamond Workshop. The emphasis was on the growth and properties of high quality epitaxial diamond, nanocrystalline diamond and nanostructured carbon materials.

68 / ANNUAL REPORT 2010

HIGHLIGHTS Joint researcH anD DeveLoPment

Advanced optical transceiver based on a silicon photonics chip (Caliopa)

03 breeDinG GrounD for sPin-off comPanies


Based on imec IP and backed by imec knowhow and support, since 1984, 35 companies have been started. 2010 saw 2 new initiatives come to fruition EpiGaN, and Caliopa. In addition, the incubation project Pepric moved to spinoff status. EpiGaN provides GaN-on-Si material solutions for top-performance devices used in hybrid electric vehicles, solar inverters, power supplies for consumables, RF power for base stations, smart grids ... Caliopa, a spin-off of imec and Ghent University, develops advanced optical transceivers for fiber-to-the-home and other telecommunication products. Pepric develops scanners for in-vivo molecular and functional imaging based on magnetic nanoparticles. The system allows for the real-time study of slow biological processes.

04 euroPean researcH initiatives anD ProGrams


Imec has an active role in the EU Joint Technology Initiatives ENIAC (process technology) and ARTEMIS (embedded systems). We also participate in a number of EU technology platforms, such as EPoSS (micro systems), Photonics21 (light-emitting semiconductors), and Photovoltaics (solar cells). Further, we are coordinator or partner in numerous EU-funded projects. These are some we started working on in 2010: SmartFiber will develop systems for continuous health monitoring. SUGAR studies the integrated production of PV modules based on ultra-thin crystalline silicium. NEXPRESSO makes pre-competitive photonic components and systems accessible to universities and industry. IMPROV engineers a fibre-integrated short-pulse mid-infrared laser source. PRIMA improves the efficiency and cost of solar cells through the use of metallic nanostructures.

ANNUAL REPORT 2010 / 69

LoDe Lauwers
Senior Director Business Development and Strategic partnerships

INTERVIEW WITH LodE LauWERS Joint researcH anD DeveLoPment

BeTTer SoLUTIoNS THroUgH Joint r&D


ince its start, 26 years ago, imec has become one of the leading R&D centers in nano-electronics. We have contributed to countless electronic solutions and applications. And this in the many domains we are active in, such as CMOS process technology, energy, healthcare, or wireless technology. In process technology, we have built a unique infrastructure, we have a vast body of knowhow in many domains, and we have gathered one of the largest collaboration networks in the industry. Needless to say then: if your business is developing solutions with next-generation electronics, imec may be a valuable R&D partner. A partner that helps you to develop better systems, and to get them to the market faster.

grams (IIAPs), doing precompetitive R&D together with a group of other technology leaders. These programs are a great way to share the risk and cost of advanced research. If you join in our R&D programs, we can also agree to do part of the work bilateral, involving just your company and imec. That way, we can focus on one or more specific aspects, working on a dedicated solution. Your collaboration with imec can be made to fit your timeline. You can engage very early in the research, taking the long view. You will then profit from a deep knowledge of the technology and a close contact with the people involved. On the other side of the scala, you can go for a short engagement, trying to solve a technical issue or looking for a custom development. You may also decide to license certain results from our research; we have an extensive library of IP, knowhow that we can help transfer or tune to your needs in a development project. Any combination you make, you get access to the valuable knowhow and to the extensive network that imec has built over the years.

fabLess anD fabLite comPanies


These last years have witnessed important changes in the semiconductor industry. For one, many companies maybe yours included have changed their business model, investing less in fabs and fab technology. Or you have decided from day one not to invest in process technology, but your products depend on it. Fablite and fabless companies concentrate on designing solutions and applications, and less on process technology development. Still, when their designers go to the drawing board, they need to know which technologies will become available, and how they can use them to their advantage. They have to choose between all the available technical options, aiming to gain the maximum benefit of next generation technologies. And each choice they make will have consequences for their application, for its functions, its power consumption, performance, cost, and time-to-market. We make this information available, in standard formats and flows that designers are used to work with. We have a

unique body of quantitative information on tomorrows technologies. Thats because imec is developing technologies that are 1 to 3 generations ahead think of 3D IC technology or devices for 22nm and 15nm technology. Next to these design kits, we also offer pathfinding flows, which are a fast and cost-effective way to find out what the impact of various options will be on a design. Together, we can do system level assessments for certain technologies, analyzing their impact on your future products. With such information, designers in fablite and fabless companies can justify design choices based on hard, quantitative data from our labs. So whatever your needs are, we can discuss and compose an R&D package that brings you value. Starting from your needs and the markets you operate in. Taking into account your interests and timelines. And always including the expertise, knowhow, and IP of imec.

a DeDicateD r&D PacKaGe


We offer you a dedicated R&D package, fitting the short- and longer-term goals of your company, and geared towards the markets you are active in. If your scope of interest is broad, you may join imecs industrial affiliation pro-

ANNUAL REPORT 2010 / 71

services aND DeveLopmeNT pLaTformS


imec offers worLD-cLass services in tHe fieLD of microeLectronics. Because of the scale and complexity of todays IC industry, universities and starting companies no longer have access to all the leading tools, technologies, and players. We close that gap, pooling resources and offering state oftheart services.
With our ASIC services we will help you to tapeout your aSICs. our services range from logic syn

thesis and physical design to prototyping and lowvolume fabrication in advanced technologies from worldleading foundries.
We organize training for industry and academia on advanced concepts and technologies. We offer a unique set of reliability and characterization services. and, in flanders, our home region, we stimulate innovation through networking, technology com

munities, and creating spinoffs. comPLementary to tHese services, we Have set uP a uniQue DesiGn anD fabrication PLatform for HeteroGeneous inteGration, where companies can have their heterogeneous designs prototyped and fabricated. This Cmore platform includes imecs leading Sige memS and pho tonics technologies.

ANNUAL REPORT 2010 / 73

HiGHLiGHts

ServICeS aND DeveLopmeNT pLaTformS

MEMS wafer from project Gemini, made by imec, University of Leuven, and University of Ghent

Scanning transmission electron microscope with energy-dispersive X-ray detection

01 cmore PLatform for HeteroGeneous inteGration


Imec turns your ideas and concepts into heterogeneous ICs, including integrated sensor and actuator functionality. Example ICs are smart sensors or micromirror arrays with integrated logic. Our CMORE platform uses advanced CMOS processes, to which we have added unique SiGe MEMS capabilities. Designs can be optimized for power efficiency, operating voltages, or drive current. Or they can include above-IC thin-film technology for integrated passives, MEMS, and other analog functionalities. In 2011, we will also add photonics capabilities. In 2010, with CMORE, imec and Panasonic made an innovative SiGe thin-film packaged SOI-based MEMS resonator featuring an industry-record Q factor combined with a low bias voltage.

02 reLiabiLity anD cHaracterization services


IMOMEC, imec's associated laboratory at the University of Hasselt, offers reliability and testing services to help companies, consultancy houses, and government agencies with their R&D. IMOMEC has an analytical and electrical testing lab with a wide variety of state-of-the-art scientific equipment. It provides high-quality problem solving services through the skills of its expert physicists and chemists. In 2010, IMOMEC streamlined, extended, and documented its offer, which can be consulted at www.uhasselt.be/UH/IMO/Services.html

74 / ANNUAL REPORT 2010

HIGHLIGHTS services anD DeveLoPment PLatforms

03 imec acaDemy traininG in aDvanceD tecHnoLoGies


Imec academy offers a portfolio of standard and custom advanced training seminars and courses. We give training to academia and industry, both local and international. Our teachers are genuine experts in their field. In 2010, we organized 138,000 training contact hours, of which live streaming and streaming on demand represented 22%. More than 500 titles are available on-line, as well as 100+ hours of web-based training. Imec academy also offers distance learning with one-to-one, face-to-face interaction over the internet, for hands-on exercises. In 2011, one of our highlight courses will be 'Advanced Process Technology'. This is a course for PhDs and for staff working in fabs or with equipment manufacturers.

04 stimuLate innovation in fLanDers


In our home region Flanders, imec fosters innovation. One way we do that, is by setting up communities around multidisciplinary research topics. We have, for example, successful communities working on multimedia and wireless communication. These communities stimulate networking, knowledge dissemination, collaborative projects, and technology transfer from imec to the local industry and research centers. As one of the highlights of 2010, we started the COHESI platform and community. COHESI gathers all expertise in heterogeneous design and fabrication. It looks to stimulate and help companies make heterogeneous design, and products. As another highlight, we also organized a demonstration event on how to test and design electronics for reliability.

ANNUAL REPORT 2010 / 75

carL Das
Director aSIC Services

INTERVIEW WITH caRL daS services anD DeveLoPment PLatforms

asic services We LayoUT, proToType, aND faBrICaTe yoUr aSIC


t imec, we have set up a host of services to help you layout, prototype, fabricate, and test your ASIC (application-specific integrated circuit). Services that help you concentrate on your core business: making innovative products and getting them to market. Our experience in all stages of ASIC design and fabrication, our broad knowledge, and our contacts with the leading foundries make us an ideal partner to implement your applications and products. We can help you; whether you are a company, a university, or a research center; whether you need an IC in 40nm technology, or a MEMS-above-IC sensor; whether you need a prototype IC or a qualified chip.

however, you can still have your ASICs produced at a top foundry. As a VCA (value chain aggregator) for TSMC, we have an agreement so that companies requiring low-volume manufacturing can be serviced through imec. This gives you the possibility to produce ICs in e.g. TSMC 40nm technology. We have similar agreements with foundries such as OnSemiconductor and UMC. If you design ASICs, we can also help you with the IC layout, bridging the gap between your frontend design and the silicon IC. Starting from your RTL (register transfer level) design or netlist, we make a layout, ready for tapeout. This type of backend design is specialized and costly, because of the expensive tools and highly trained personnel that are needed. We have those advanced tools, and a team specialized in layout. To date, we have helped about 200 startups and established companies with highquality, low-volume ASIC fabrication. We have fabricated ASICs, for example, for medical implants, mobile applications for high-end video and speech broadcasting, communication, power management, and for safe transportation of valuables.

In 2010, we have further extended the technologies we support. Next to the pure CMOS, we have added heterogeneous technologies to our portfolio. These include RF, mixed signal, high-voltage, and MEMS. Also included in our offer is design and fabrication on imecs own CMORE platform. This platform includes a unique, proprietary technology: SiGe MEMS. In 2011, the CMORE platform will be extended with Si photonics capabilities.

nologies. To give them a wider choice of technologies, we have started to offer advanced heterogeneous technologies, for example SiGe MEMS on top of CMOS, based on 0.18m TSMC CMOS technology. In addition, we have agreements with our foundry partners to participate in their multi-project wafer (MPW) runs. These combine designs from several customers on a single mask set, offering them a cost-effective way to fabricate highquality, low-volume ICs. And as an extra service, we check our customers designs for errors, up to a level where we can target a first-time-right fabrication.

for universities anD researcH centers


For universities and research centers, we offer ASIC consulting, access to design software, and prototype fabrication. This service is supported by the EU, and runs under de brand name Europractice. Among our customers, we count 660 European universities and research institutes. For universities, advanced CMOS technology is becoming prohibitively expensive. Today, only the top research institutes can afford to fabricate 65nm or 40nm silicon prototypes for educating students, while the majority of the European academia use cheaper 0.35m and 0.18m tech-

for tHe euroPean sPace inDustry anD researcH


For companies and institutes that need radiation-hardened ASICs, imec supports the DARE libraries (design against radiation effects). These are a family of radiationhardened-by-design libraries that have been developed in ESA-funded projects.

for comPanies
For companies, our services range from logic synthesis and back-end design, over prototype and low-volume fabrication, to testing and packaging. If you need only low-volumes (a few hundred to thousands of wafers), the worlds largest foundries are normally inaccessible, and you would have to do with a less advanced technology. Through imec,

ANNUAL REPORT 2010 / 77

PeoPLe aND CampUS


Passion, exceLLence, inteGrity, connecteDness. These are the core values of imec. The values that drive our people, that have made imec what it is today: a group of dedicated people pursuing solutions through advanced electronics. Scientists and engineers, but also the supporting teams without whose work no breakthroughs would be possible. people working on our sites in Leuven (Belgium), eindhoven (the Netherlands), China, Japan, Taiwan, and the US. our researchers have all the tools and equipment they need to do advanced research in their domains. Imecs research infrastructure can stand the comparison with any, worldwide. 2010 saw the extension of our most advanced cleanroom, and the addition of solar cell labs and biolabs. In 2011, well continue extending our facilities, starting to build an office tower for 400 people and extra space for laboratories.

ANNUAL REPORT 2010 / 79

HiGHLiGHts

peopLe aND CampUS

Imecs new office tower, 14,208m of new offices and lab spaces

Imec showcases sculptures from artist Ann Dieltjens

01 buiLDinG for tHe future


Our campus in Leuven, Belgium, is growing. In 2010 we extended our 300mm cleanroom, enlarging the available space with 50%. We are now ready to install more tools. Larger tools also, ready for the nextgeneration of 450mm wafers. We also opened a new biolab, new solar cell labs, and the Intel ExaScience Lab. And we will not stop at that. 2011 we start building a new office tower, with spacious offices for 400 people and additional labs. The office tower will be a landmark for Leuven and its high-tech community.

02 science for tHe PeoPLe


Scientific literacy and popular scientific involvement are essential to solve many of the challenges in todays society. Therefore, imecs outreach group and RVO-Society aim to improve the interaction between the scientific community and the society at large. RVO Society was set up in memory of imecs founder Professor Baron Roger Van Overstraeten. Its goal is to bring young people into contact with science and technology. 2010 was RVO Societys 10th birthday. The festive year was opened with a public science event in Leuvens RVO square. Imecs outreach group sets up projects and interdisciplinary collaborations. Some examples from the 2010 activities were a student exchange project with Olin College (Boston, US), evening visits to imec, and collaboration with artists and designers interested in energy, nanobio, visualization, and self-assembly.

80 / ANNUAL REPORT 2010

HIGHLIGHTS PeoPLe anD camPus

03 tHrivinG on our comPany vaLues


Imecs success through the years has been based on an implicit set of values. Values that our employees hold high, and that allow them to thrive in a very demanding R&D environment. In 2010, and with the participation of imec employees from all departments, we did the exercise to define these values explicitly. The result is summed up in these 4 words: Passion, Excellence, Integrity, Connectedness. 2011 and beyond, we will define actions to further nurture these values, both inside imec and in our relations with our partners.

04 maKinG our resiDents feeL at Home


Over 300 of imecs people are residents. They have been sent by their companies or research institutes for an extended stay at imec. We go to great lengths to let these people and their families feel at home. We have, for example, a team dedicated to taking care of all residents issues around relocation and administration. In 2010, we again organized a residents day. We treated our residents to an eventful afternoon adventure, canoeing on the nearby river Dijle. This was followed by a barbeque where they could get to know each other and share experiences.

ANNUAL REPORT 2010 / 81

Hubert De neve
executive vicepresident Human resources

INTERVIEW WITH HubERT dE NEVE PeoPLe anD camPus

LooKinG for THe BeST peopLe, aND for THe BeST IN peopLe
mec is not an ordinary company. We are on a constant quest to overcome limits. Limits to what is possible with electronics, with materials, with energy. With the goal of finding solutions for the challenges of this century: creating a sustainable planet, a long and healthy life for everyone, with unlimited mobility and communication. We do that with a team of 1,895 passionate people, of over 66 nationalities. Scientists, engineers, administrative and technical personnel. People who are recognized as experts in their domains, but also young scientists who have just started on their path to obtain a Ph.D. R&D experts who have been sent by their companies for an extended stay at imec, but also technical wizards who operate our advanced tools 24/24. We have a lot to offer to our staff, whether they come from the immediate neighborhood or from the other side of the planet.

Imec is a stable research environment. We keep growing, like we have done for the past 26 years. So we can offer our people a long-term perspective. And were active and well-known throughout the world, which is an important asset for young ambitious researchers. We have an attractive R&D campus, both in Leuven and in Eindhoven, in a hightech environment, and with all the equipment needed to do pioneering research. And we are extending that campus. In 2010 weve enlarged our cleanroom, so that it can house the next-generation of tools. In 2011 we start building a new office tower, which is designed to be a landmark building in Leuvens high-tech environment. We will also start research activities at our sites in China and Taiwan. And, not unimportant: we are successful. We work with the worlds leading companies and research institutes in our sector, and at top conferences our scientists are regularly awarded for their contributions.

To find talented people, and to keep them engaged, is a challenging task. Of course, like any company, we have hard targets and budgets. And we go to great lengths to define these and to make sure that our people can translate them into personal objectives. Goals that offer people the right challenge, without overstretching them. But that alone is not enough to keep our employees satisfied and passionate. Because in the end, everyone also works for their own little enterprise, the Me Inc. Everyone has ambitions and objectives for their own life. And they will, rightfully so, try to balance these with the goals of imec. Its my vision that listening to those personal objectives, and trying to accommodate them in the imec goals, is a powerful tool. We can only get the best of people, if we really listen to what makes them tick. If we heed to their personal wishes

and issues. If we can somehow take care that they have a good feeling about their contribution to imec and about the personal growth of Me Inc. In 2010, many of our actions were centered on this vision. About 70% of our people had a dialogue with their managers, in which they got the explicit opportunity to formulate and discuss personal ambitions and goals. Admittedly, in a research centre where rationality and right-brain thinking is key, this was challenging. Therefore, in our ongoing management training, we put great value on skills such as empathy and active listening. In 2011, further enforcing this awareness both for employees and their managers will again be one of the spearheads of our human resources policy. That way, we not only look for the best people in their domain, but also for the best in people.

ANNUAL REPORT 2010 / 83

aNNuaL accouNTS 2010 imec

aNNUaL aCCoUNTS

01

baLance sHeet 2010 in euro assets LiabiLities

fIxeD aSSeTS
Tangible fixed assets Land and buildings Plant, machinery and equipment Furniture and vehicles Leased assets Assets under construction financial fixed assets Investments accounted for using the equity method Other enterprises Shares held, participations accounts receivable

189,738,422
176,687,333 83,107,581 84,632,107 497,791 2,854,400 5,595,454 13,051,089 5,936,133 7,114,956 5,749,021 1,365,935

CapITaL aND reServeS

145,663,039
116,717,543 596,193 83,152 28,266,152 35,245,977

consolidated reserves Negative consolidated differences Translation differences Investment grants minority intrests

provISIoNS aND DeferreD TaxeS


provisions for liabilities and charges Major repairs and maintenance Other liabilities and charges

3,445,792
3,445,792 2,500,000 945,792

aCCoUNTS payaBLe
accounts payable after one year Leasing debts Credit institutions Trade debts accounts payable within one year Current portion of long term debt Short term financial debts credit institutions Trade debts Suppliers Advances received on contracts in progress Taxes, remunerations and social security Taxes remunerations and social security Other liabilities deferred income

192,041,626
62,841,309 2,155,666 60,685,643 96,404,210 7,736,577 29,101,486 29,101,486 17,757,621 19,858,262 5,371,057 14,487,204 21,950,264 32,796,107

CUrreNT aSSeTS
accounts receivable after one year Accounts receivable, trade debtors Other accounts receivable Investments Other investments cash deferred charges

186,658,013
99,788,288 93,777,444 6,010,845 87,740 87,740 80,962,801 5,819,183

totaL assets

376,396,435

totaL LiabiLities

376,396,435

84 / ANNUAL REPORT 2010

02

income statement 2010 in euro


operaTINg INCome
Revenue from contract research miscellaneous income (charged-on costs, contribution in kind, conferences, ...) Subsidies from the flemish Region Subsidies from the dutch Government

284,960,356
225,673,159 10,305,717 42,780,038 6,201,442

operaTINg CHargeS
Goods for resale, raw materials and consumables Services and other goods Remunerations, social security and pension costs depreciation, write-offs and provisions other operating costs

284,398,678
59,470,959 62,019,464 103,497,140 58,429,000 982,115

operaTINg reSULT
Interest charges other financial charges and income Exceptional charges and income Taxes

561,677
-2,715,786 4,026,201 183,158 -170,465

profIT of THe year INveSTmeNTS

1,884,785 58,979,932

ANNUAL REPORT 2010 / 85

oRGaNIZaTIoN & addRESSES imec

orgaNIzaTIoN

01

boarD of Directors*
Directors
A. De Proft
CHaIrmaN

03

remuneration committee*
Directors
A. De Proft
CHaIrmaN

P. Lagasse
profeSSor ImeCS aSSoCIaTeD LaB aT gHeNT UNIverSITy

05

executive boarD*
L. Van den hove
preSIDeNT & CHIef exeCUTIve offICer

07

senior feLLows*
G. Borghs H. De Man R. Mertens

T. Doyle
pHILIpS reSearCH (THe NeTHerLaNDS)

R. Lauwereins
vICe preSIDeNT, DIreCTor SmarT SySTemS TeCHNoLogy offICe

P. Gargini
INTeL Corp. (U.S.)

A. Oosterlinck
vICe CHaIrmaN

B. Boone G. Declerck T. Leysen K. Maex L. Moens W. Roelandts P. Schelkens P. Stoffels

L. Moens A. Oosterlinck G. Van Acker

L. Deferm
exeCUTIve vICe preSIDeNT

M. Haas
JaNSSeN HeaLTHCare INNovaTIoN (U.S.)

H. Lebon
vICe preSIDeNT faB & proCeSS STep r&D&m TeCHNoLogy

H. De Neve
exeCUTIve vICe preSIDeNT

inviteD

08

R. Khosla
NaTIoNaL SCIeNCe foUNDaTIoN (U.S.)

H. De Neve L. Van den hove

A. Vinck
exeCUTIve vICe preSIDeNT aND CHIef fINaNCIaL offICer

feLLows*
F. Catthoor G. Groeseneken P. Heremans M. Heyns W. Vandervorst

H. Maes
SeNIor vICe preSIDeNT INDUSTrIaLIzaTIoN aND TraININg

L. Kindt
Lk INveSTmeNT (BeLgIUm)

G. Declerck
exeCUTIve offICer aND memBer of THe BoarD of DIreCTorS of ImeC INTerNaTIoNaL INvITeD

04

M. Ogura
paNaSoNIC (JapaN)

secretary
A. Vinck

manaGement team*
S. Biesemans
vICe preSIDeNT proCeSS TeCHNoLogy

R. Mertens
SeNIor vICe preSIDeNT UNIverSITy reLaTIoNS

J. OReilly
CraNfIeLD UNIverSITy (U.k.)

inviteD
J. Cornelis A. Gryffroy L. Van den hove

A. Steegen
SeNIor vICe preSIDeNT proCeSS TeCHNoLogy

06

R. Cartuyvels
vICe preSIDeNT aND geNeraL maNager proCeSS TeCHNoLogy

L. Van den hove


preSIDeNT aND CHIef exeCUTIve offICer

manaGement r&D subsiDiaries*


B. Gyselinckx
geNeraL maNager STICHTINg ImeC NeDerLaND

09

R. Pauwels
BIoCarTIS (SWITzerLaND)

members of tHe scientific aDvisory boarD*


E.H.L. Aarts
pHILIpS reSearCH (THe NeTHerLaNDS)

J. Plummer
STaNforD UNIverSITy (U.S.)

C. Quaeyhaegens
UmICore eLeCTroopTIC maTerIaLS (BeLgIUm)

02

J. De Boeck
SeNIor vICe preSIDeNT SmarT SySTemS aND eNergy TeCHNoLogy

J. Van Helleputte
SeNIor vICe preSIDeNT STraTegIC DeveLopmeNT

auDit committee*
Directors
A. Oosterlinck
CHaIrmaN

T. Gao
geNeraL maNager ImeC mICroeLeCTroNICS (SHaNgaI) LTD. Co

J. Schmitz
NxpTSmC reSearCH CeNTer (BeLgIUm)

P. Vandeloo
vICe preSIDeNT ICT

I. Bolsens
xILINx (U.S.)

K. den Otter
vICe preSIDeNT SaLeS

A. Vinck
exeCUTIve vICe preSIDeNT aND CHIef fINaNCIaL offICer

R. De Keersmaecker
SeNIor vICe preSIDeNT STraTegIC reLaTIoNS

P. Lemmens
geNeraL maNager ImeC TaIWaN Co (aD INTerIm)

J. Willem Brands
BarCo (BeLgIUm)

G. Smeyers
kLaTeNCor (BeLgIUm)

B. Boone A. De Proft G. Van Acker

S. Choi
SamSUNg (korea)

J. Stork
appLIeD maTerIaLS (U.S.)

H. De Neve
exeCUTIve vICe preSIDeNT HUmaN reSoUrCeS

C. Chung
SamSUNg (korea)

T. Van Landegem
aLCaTeLLUCeNT (BeLgIUm)

inviteD
A. Gryffroy L. Van den hove A. Vinck

L. Deferm
exeCUTIve vICe preSIDeNT BUSINeSS DeveLopmeNT

A. Cremonesi
STmICroeLeCTroNICS (fraNCe)

J. Winnerl
INfINeoN TeCHNoLogIeS (germaNy)

* Status Q1 2011

86 / ANNUAL REPORT 2010

aDDreSSeS

01

imec
Kapeldreef 75 B-3001 Leuven Belgium
pHoNe: +32 16 28 18 80

02

imec tHe netHerLanDs HoLst centre


High Tech Campus 31 5656 AE Eindhoven The Netherlands
pHoNe: +31 40 40 20 400

03

imec cHina
Room 701, Building 1, Lane 500, Zhangheng Road, Pudong, Shanghai 201203, P.R. China
pHoNe: +86 21 5017 2918

04

imec taiwan
A6, 1F, No. 1, Li-Hsin 1st Rd. Hsinchu Science Park Hsinchu City 300 Taiwan R.O.C.
pHoNe: +886 3 578 11 15

05

imec office u.s.


2225 E. Bayshore Rd., Suite 209 Palo Alto, CA 94303 California, U.S.
pHoNe: +1 408 551 45 02

06

imec office JaPan


c/o Embassy of Belgium 5-4 Nibancho, Chiyoda-ku Tokyo 102-0084 Japan
pHoNe: +81 90 5795 9108

Katrien.Marent@imec.be

Philippe.Mattelaer@imec-nl.nl

Gao.Teng@imec.be

Peter.Lemmens@imec.be

Raffaella.Borzi@imec.be

Akihiko.Ishitani@imec.be
pHoNe: +81 80 5180 1081

Mitsugu.Yoneyama@imec.be

DISCLaImer
The contents of this annual report are intended exclusively for the personal information of the reader to the exclusion of every other interpretation. Although imec strives to ensure that the information contained herein is meticulous, correct and complete, it must be stated that it cannot give any guarantee as regards the accuracy, precision and/or the completeness of the afore-mentioned information. The information provided in this current annual report is provided AS IS and does not contain a single guarantee, either explicitly or implied, and this in the broadest sense. Moreover, the information cannot be considered in any way as an opinion or a recommendation

from imec. Even more specifically, none of the information contained herein can be used for investment purposes in the broadest sense of the word. Possible expectations and/or projections concerning future events that imec might have included in this annual report are based upon the current insights and assumptions of the imec management regarding known and unknown risks and uncertainties. The actual results, performances or other circumstances can in no small way differ from the stated expectations as a result of modifications in among other things, but not limited to them, (i) the general economic conditions in the sector in which imec operates, (ii) the conditions in among other things the financial markets and sectors and/or in emerging and/or new markets and sectors, (iii) laws and regulations and (iv) the policy of authorities and/or regulators.

Imec, as well as its directors, management, employees and appointees in the broadest sense possible, disclaim any responsibility for any possible damage, loss, costs or expenses that might result from or could come about from the use of this annual report and/or information contained in it. All references contained in this report, pertaining to any kind of publications or web sites from third parties, are purely for informative purposes. The responsibility for their content is the exclusive responsibility of the owner and/or the person responsible for these publications or websites.

Imec is a registered trademark for the activi ties of ImeC International (a legal entity set up under Belgian law as a "stichting van openbaar nut , registered in Belgium under the number of legal entities 0817 807 097), imec Belgium (ImeC vzw supported by the flemish government and registered in Belgium under the number of legal entities 0425 260 668 ), imec the Netherlands (Stichting ImeC Nederland, part of Holst Centre which is supported by the Dutch government and known in the Dutch kamer van koophandel under the number 17179812), imec Taiwan (ImeC Taiwan Co. registered in Taiwan under the busi ness license number 28112596), and imec China (ImeC microelectronics (Shangai) Co. Ltd. regis tered in China under the business license number 310115400259925).

ANNUAL REPORT 2010 / 87

CoLopHoN

This annual report is available in English and Dutch. The accompanying scientic report, in English, contains detailed information about imecs research activities and results. Both reports are also available on imecs website (www.imec.be) and on the CD enclosed with this report.

01

PubLisHer
Prof. Luc Van den hove, President and CEO imec

02

eDitor
Jan Provoost

03
for PaPer coPies, contact: imec Inge Struys Kapeldreef 75 3001 Leuven Belgium Phone: +32 16 28 89 80 Fax: +32 16 28 16 37 Inge.Struys@imec.be

concePt anD DesiGn


Kunstmaan (www.kunstmaan.be)

04

PHotoGraPHy
Fred Loosen & Jan Pollers

05

reaLization
Els Parton, Jan Provoost & Olfa Marzouk

06

externaL communications Director


Katrien Marent

The entire content of this publication is protected by copyright, full details of which are available from imec. All rights reserved. No part of this publication may be reproduced, stored in a retrieval system or transmitted in any form or by any means electronic, mechanical, photocopying, recording or otherwise without the prior permission of the copyright owner. Contact: Katrien Marent (Phone: +32 16 28 18 80)

88 / ANNUAL REPORT 2010

ASPIRE INVENT ACHIEVE

WWW.IMEC.BE

You might also like