Professional Documents
Culture Documents
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
use IEEE.std_logic_ARITH.ALL;
use IEEE.std_logic_UNSIGNED.ALL;
ENTITY adder8bit_adder_test_vhd_tb IS
END adder8bit_adder_test_vhd_tb;
COMPONENT adder8bit
PORT(
a : IN std_logic_vector(7 downto 0);
b : IN std_logic_vector(7 downto 0);
cin : IN std_logic;
sum : OUT std_logic_vector(7 downto 0);
cout : OUT std_logic
);
END COMPONENT;
a<=a+1;
end loop;
b<=b+1;
end loop;
cin<='1';
end loop;
END PROCESS;
END;
Simulation result:-