You are on page 1of 31

SEMINAR REPORT ON MEMS

MAHARISHI MARKANDESHWER UNIVERSITY


MULLANA

SUBMITTED TO:Lect. Vijay Kumar ECE Deptt.

SUBMITTED BY:Ankur Jhanji 11080350 ECE A

LIST OF FIGURES
Page No 2.1 Typical hot-wall LPCVD reactor 2.2 Typical setup for electrodeposition 2.3 Typical cold-wall vapor phase epitaxial reactor 2.4 Typical wafer oxidation furnace 2.5 Typical system for e-beam evaporation of materials 2.6 Typical RF sputtering system 2.7 The spin casting process 8 9 10 11 13 13 14

ii

TABLE OF CONTENTS
Page No. List of Figures CHAPTER- 1 Introduction 1.1 History CHAPTER- 2 Literature Review 2.1 What are MEMS? 2.2 MEMS Description 2.2.1 Silicon 2.2.2 Polymers 2.2.3 Metals 2.3 MEMS Design Process 2.3.1 CVD 2.3.2 PVD 2.4 Lithography 2.5 Fabrication Technologies 2.5.1 IC fabrication 2.5.2 Surface Micromachining 2.5.3 Micro molding 2.6 Current challenges 2.6.1 Limited Options 2.6.2 Packaging 2.6.3 Fabrication knowledge required 2.7 Applications 2.7.1 Pressure Sensors 2.7.2 Accelerometers 2.7.3 Micro engines CHAPTER- 3 Conclusion & Future Scope REFERENCES 23 24 26 28 i 1 2 4 4 6 6 6 6 7 7 12 14 19 20 20 22 22 22 22 23 23 23

iii

1. INTRODUCTION
Microelectromechanical systems (MEMS) are small integrated devices or systems that combine electrical and mechanical components. They range in size from the sub micrometer level to the millimeter level and there can be any number, from a few to millions, in a particular system. MEMS extend the fabrication techniques developed for the integrated circuit industry to add mechanical elements such as beams, gears, diaphragms, and springs to devices. Examples of MEMS device applications include inkjet-printer locks inertial cartridges, sensors

accelerometer,

miniature

robots,

microengines,

microtransmissions, micromirrors, micro actuator (Mechanisms for activating process control equipment by use of pneumatic, hydraulic, or electronic signals) optical scanners, fluid pumps, transducer, pressure and flow sensors. New applications are emerging as the existing technology is applied to the miniaturization and integration of conventional devices. These systems can sense, control, and activate mechanical processes on the micro scale, and function individually or in arrays to generate effects on the macro scale. The micro fabrication technology enables fabrication of large arrays of devices, which individually perform simple tasks, but in combination can accomplish complicated functions. MEMS are not about any one application or device, nor are they defined by a single fabrication process or limited to a few materials. They are a fabrication approach that conveys the advantages of miniaturization, multiple components, and microelectronics to the design and construction of integrated electromechanical systems. MEMS are not only about miniaturization of mechanical systems; they are also a new paradigm for designing mechanical devices and systems. The MEMS industry has an estimated $10 billion market, and with a projected 1020% annual growth rate, it is estimated to have a $34 billion market in 2002. Because of the significant impact that MEMS can have on the commercial and defense markets, industry and the federal government have both taken a special interest in their development.

iv

1.1 History
The invention of the at Bell Telephone Laboratories in 1947 sparked a fast-growing microelectronic technology. Jack Kilby of Texas Instruments built the first Integrated circuit in 1958 using germanium (Ge) devices. It consisted of one transistor, three Resistors, and one Capacitor. The IC was implemented on a sliver of Ge that was glued on a glass slide. Later that same year Robert Noyce of Fairchild Semiconductor announced the development of a Planar double-diffused Si IC. The complete transition from the original Ge transistors with grown and alloyed junctions to silicon (Si) planar double-diffused devices took about 10 years. The success of Si as an electronic material was due partly to its wide availability from silicon dioxide (SiO2sand), resulting in potentially lower material costs relative to other Semiconductors Since 1970, the complexity of ICs has doubled every two to three years. The minimum dimension of manufactured devices and ICs has decreased from 20 microns to the sub micron levels of today. Current ultra-large-scale-integration (ULSI) technology enables the fabrication of more than 10 million transistors and capacitors on a typical chip. IC fabrication is dependent upon sensors to provide input from the surrounding environment, just as control systems need actuators in order to carry out their desired functions. Due to the availability of sand as a material, much effort was put into developing Si processing and characterization tools. These tools are now being used to advance transducer technology. Today's IC technology far outstrips the original sensors and actuators in performance, size, and cost. Attention in this area was first focused on microsensor development. The first microsensor, which has also been the most successful, was the Si pressure sensor. In 1954 it was discovered that the piezoresistive effect in Ge and Si had the potential to produce Ge and Si strain gauges with a gauge factor 10 to 20 times greater than those based on metal films. As a result, Si strain gauges began to be developed commercially in 1958. The first high-volume pressure sensor was marketed by National Semiconductor in 1974. This sensor included a temperature controller for constant-temperature operation. Improvements in this technology since then have

included the utilization of ion implantation for improved control of the piezoresistor fabrication. Si pressure sensors are now a billion-dollar industry. Around 1982, the term micromachining came into use to designate the fabrication of micromechanical parts for Si microsensors. The micromechanical parts were fabricated by selectively etching areas of the Si substrate away in order to leave behind the desired geometries. Isotropic etching of Si was developed in the early 1960s for transistor fabrication. Anisotropic etching of Si then came about in 1967. Various etch-stop techniques were subsequently developed to provide further process flexibility. These techniques also form the basis of the bulk micromachining processing techniques. Bulk micromachining designates the point at which the bulk of the Si substrate is etched away to leave behind the desired micromechanical elements. Bulk micromachining has remained a powerful technique for the fabrication of micromechanical elements. However, the need for flexibility in device design and performance improvement has motivated the development of new concepts and techniques for micromachining. Among these is the sacrificial layer technique, first demonstrated in 1965 by Nathanson and Wickstrom, in which a layer of material is deposited between structural layers for mechanical separation and isolation. This layer is removed during the release etch to free the structural layers and to allow mechanical devices to move relative to the substrate. A layer is releasable when a sacrificial layer separates it from the substrate. The application of the sacrificial layer technique to micromachining in 1985 gave rise to surface micromachining, in which the Si substrate is primarily used as a mechanical support upon which the micromechanical elements are fabricated. Prior to 1987, these micromechanical structures were limited in motion. During 19871988, a turning point was reached in micromachining when, for the first time, techniques for integrated fabrication of mechanisms on Si were demonstrated. During a series of three separate workshops on microdynamics held in 1987, the term MEMS was coined. Equivalent terms for MEMS are microsystems-preferred in Europe and micromachines-preferred in Japan.

vi

2. LITERATURE REVIEW
Micro-Electro-Mechanical Systems (MEMS) is the integration of mechanical elements, sensors, actuators, and electronics on a common silicon substrate through micro fabrication technology. While the electronics are fabricated using integrated circuit (IC) process sequences, the micromechanical components are fabricated using compatible "micromachining" processes that selectively etch away parts of the silicon wafer or add new structural layers to form the mechanical and electromechanical devices. Microelectronic integrated circuits can be thought of as the "brains" of a system and MEMS augments this decision-making capability with "eyes" and "arms", to allow Microsystems to sense and control the environment. Sensors gather information from the environment through measuring mechanical, thermal, biological, chemical, optical, and magnetic phenomena. The electronics then process the information derived from the sensors and through some decision making capability direct the actuators to respond by moving, positioning, regulating, pumping, and filtering, thereby controlling the environment for some desired outcome or purpose. Because MEMS devices are manufactured using batch fabrication techniques similar to those used for integrated circuits, unprecedented levels of functionality, reliability, and sophistication can be placed on a small silicon chip at a relatively low cost.

2.1 What are MEMS ?


MEMS is an abbreviation for Micro Electro Mechanical Systems. This is a rapidly emerging technology combining electrical, electronic, mechanical, optical, material, chemical, and fluids engineering disciplines. As the smallest commercially produced "machines", MEMS devices are similar to traditional sensors and actuators although much, much smaller. E.g. Complete systems are typically a few millimeters across, with individual features devices of the order of 1-100 micrometers across. MEMS devices are manufactured either using processes based on Integrated Circuit fabrication techniques and materials, or using new emerging fabrication technologies such as micro injection molding. These former processes involve building the device up layer by layer, involving several material depositions and etch steps. A typical MEMS fabrication technology may have a 5 step process. Due to the limitations of vii

this "traditional IC" manufacturing process MEMS devices are substantially planar, having very low aspect ratios (typically 5 -10 micro meters thick). It is important to note that there are several evolving fabrication techniques that allow higher aspect ratios such as deep x-ray lithography, electrodeposition, and micro injection molding. MEMS devices are typically fabricated onto a substrate (chip) that may also contain the electronics required to interact with the MEMS device. Due to the small size and mass of the devices, MEMS components can be actuated electrostatically (piezoelectric and bimetallic effects can also be used). The position of MEMS components can also be sensed capacitively. Hence the MEMS electronics include electrostatic drive power supplies, capacitance charge comparators, and signal conditioning circuitry. Connection with the macroscopic world is via wire bonding and encapsulation into familiar BGA, MCM, surface mount, or leaded IC packages. A common MEMS actuator is the "linear comb drive" (shown above) which consists of rows of interlocking teeth; half of the teeth are attached to a fixed "beam", the other half attach to a movable beam assembly. Both assemblies are electrically insulated. By applying the same polarity voltage to both parts the resultant electrostatic force repels the movable beam away from the fixed. Conversely, by applying opposite polarity the parts are attracted. In this manner the comb drive can be moved "in" or "out" and either DC or AC voltages can be applied. The small size of the parts (low inertial mass) means that the drive has a very fast response time compared to its macroscopic counterpart. The magnitude of electrostatic force is multiplied by the voltage or more commonly the surface area and number of teeth. Commercial comb drives have several thousand teeth, each tooth approximately 10 micro meters long. Drive voltages are CMOS levels. The linear push / pull motion of a comb drive can be converted into rotational motion by coupling the drive to push rod and pinion on a wheel. In this manner the comb drive can rotate the wheel in the same way a steam engine functions!

viii

2.2 MEMS Description


MEMS technology can be implemented using a number of different materials and manufacturing techniques; the choice of which will depend on the device being created and the market sector in which it has to operate.

2.2.1 SILICON
The economies of scale, ready availability of cheap high-quality materials and ability to incorporate electronic functionality make silicon attractive for a wide variety of MEMS applications. Silicon also has significant advantages engendered through its material properties. In single crystal form, silicon is an almost perfect Hookean material, meaning that when it is flexed there is virtually no hysteresis and hence almost no energy dissipation. The basic techniques for producing all silicon based MEMS devices are deposition of material layers, patterning of these layers by photolithography and then etching to produce the required shapes.

2.2.2 POLYMERS
Even though the electronics industry provides an economy of scale for the silicon industry, crystalline silicon is still a complex and relatively expensive material to produce. Polymers on the other hand can be produced in huge volumes, with a great variety of material characteristics. MEMS devices can be made from polymers by processes such as injection moulding, embossing or stereolithography and are especially well suited to microfluidic applications such as disposable blood testing cartridges.

2.2.3 METALS
Metals can also be used to create MEMS elements. While metals do not have some of the advantages displayed by silicon in terms of mechanical properties, when used within their limitations, metals can exhibit very high degrees of reliability. Metals can be deposited by electroplating, evaporation, and sputtering processes. Commonly used metals include gold, nickel, aluminum, chromium, titanium, tungsten, platinum, and silver

ix

2.3 MEMS Design Process


There are three basic building blocks in MEMS technology, which are, Deposition Process-the ability to deposit thin films of material on a substrate, Lithography-to apply a patterned mask on top of the films by photolithograpic imaging, and Etchingto etch the films selectively to the mask. A MEMS process is usually a structured sequence of these operations to form actual devices. One of the basic building blocks in MEMS processing is the ability to deposit thin films of material. In this text we assume a thin film to have a thickness anywhere between a few nanometers to about 100 micrometer MEMS deposition technology can be classified in two groups: 1. o o o o Depositions that happen because of a chemical reaction: Chemical Vapor Deposition (CVD) Electrodeposition Epitaxy Thermal oxidation

These processes exploit the creation of solid materials directly from chemical reactions in gas and/or liquid compositions or with the substrate material. The solid material is usually not the only product formed by the reaction. Byproducts can include gases, liquids and even other solids. 2. o o Depositions that happen because of a physical reaction: Physical Vapor Deposition (PVD) Casting

Common for all these processes are that the material deposited is physically moved on to the substrate. In other words, there is no chemical reaction which forms the material on the substrate. This is not completely correct for casting processes, though it is more convenient to think of them that way. This is by no means an exhaustive list since technologies evolve continuously.

2.3.1 Chemical Vapor Deposition (CVD)


In this process, the substrate is placed inside a reactor to which a number of gases are supplied. The fundamental principle of the process is that a chemical reaction takes

place between the source gases. The product of that reaction is a solid material with condenses on all surfaces inside the reactor. The two most important CVD technologies in MEMS are the Low Pressure CVD (LPCVD) and Plasma Enhanced CVD (PECVD). The LPCVD process produces layers with excellent uniformity of thickness and material characteristics. The main problems with the process are the high deposition temperature (higher than 600C) and the relatively slow deposition rate. The PECVD process can operate at lower temperatures (down to 300 C) thanks to the extra energy supplied to the gas molecules by the plasma in the reactor. However, the quality of the films tend to be inferior to processes running at higher temperatures. Secondly, most PECVD deposition systems can only deposit the material on one side of the wafers on 1 to 4 wafers at a time. LPCVD systems deposit films on both sides of at least 25 wafers at a time. A schematic diagram of a typical LPCVD reactor is shown in the figure below.

Figure 1: Typical hot-wall LPCVD reactor. WHEN DO WE WANT TO USE CVD? CVD processes are ideal to use when you want a thin film with good step coverage. A variety of materials can be deposited with this technology; however, some of them are less popular with fabs because of hazardous by-products formed during processing. The quality of the material varies from process to process, however a good rule of thumb is that higher process temperature yields a material with higher quality and less defects.

xi

ELECTRODEPOSITION This process is also known as "electroplating" and is typically restricted to electrically conductive materials. There are basically two technologies for plating: Electroplating and Electroless plating. In the electroplating process the substrate is placed in a liquid solution (electrolyte). When an electrical potential is applied between a conducting area on the substrate and a counter electrode (usually platinum) in the liquid, a chemical redox process takes place resulting in the formation of a layer of material on the substrate and usually some gas generation at the counter electrode. In the electroless plating process a more complex chemical solution is used, in which deposition happens spontaneously on any surface which forms a sufficiently high electrochemical potential with the solution. This process is desirable since it does not require any external electrical potential and contact to the substrate during processing. Unfortunately, it is also more difficult to control with regards to film thickness and uniformity. A schematic diagram of a typical setup for electroplating is shown in the figure below. WHEN DO WE WANT TO USE ELECTRODEPOSITION? The electrodeposition process is well suited to make films of metals such as copper, gold and nickel. The films can be made in any thickness from ~1m to >100m. The deposition is best controlled when used with an external electrical potential, however, it requires electrical contact to the substrate when immersed in the liquid bath. In any process, the surface of the substrate must have an electrically conducting coating before the deposition can be done.

Figure 2: Typical setup for electrodeposition. xii

EPITAXY This technology is quite similar to what happens in CVD processes, however, if the substrate is an ordered semiconductor crystal (i.e. silicon, gallium arsenide), it is possible with this process to continue building on the substrate with the same crystallographic orientation with the substrate acting as a seed for the deposition. If an amorphous/polycrystalline substrate surface is used, the film will also be amorphous or polycrystalline. There are several technologies for creating the conditions inside a reactor needed to support epitaxial growth, of which the most important is Vapor Phase Epitaxy (VPE). In this process, a number of gases are introduced in an induction heated reactor where only the substrate is heated. The temperature of the substrate typically must be at least 50% of the melting point of the material to be deposited. An advantage of epitaxy is the high growth rate of material, which allows the formation of films with considerable thickness (>100m). Epitaxy is a widely used technology for producing silicon on insulator (SOI) substrates. The technology is primarily used for deposition of silicon. A schematic diagram of a typical vapor phase epitaxial reactor is shown in the figure below.

Figure 3: Typical cold-wall vapor phase epitaxial reactor. WHEN DO WE WANT TO USE EPITAXY? This has been and continues to be an emerging process technology in MEMS. The process can be used to form films of silicon with thicknesses of ~1m to >100m.

xiii

Some processes require high temperature exposure of the substrate, whereas others do not require significant heating of the substrate. Some processes can even be used to perform selective deposition, depending on the surface of the substrate. THERMAL OXIDATION This is one of the most basic deposition technologies. It is simply oxidation of the substrate surface in an oxygen rich atmosphere. The temperature is raised to 800 C1100 C to speed up the process. This is also the only deposition technology which actually consumes some of the substrate as it proceeds. The growth of the film is spurned by diffusion of oxygen into the substrate, which means the film growth is actually downwards into the substrate. As the thickness of the oxidized layer increases, the diffusion of oxygen to the substrate becomes more difficult leading to a parabolic relationship between film thickness and oxidation time for films thicker than ~100nm. This process is naturally limited to materials that can be oxidized, and it can only form films that are oxides of that material. This is the classical process used to form silicon dioxide on a silicon substrate. A schematic diagram of a typical wafer oxidation furnace is shown in the figure below. WHEN DO WE WANT TO USE THERMAL OXIDATION? Whenever you can! This is a simple process, which unfortunately produces films with somewhat limited use in MEMS components. It is typically used to form films that are used for electrical insulation or that are used for other process purposes later in a process sequence.

Figure 4: Typical wafer oxidation furnace. xiv

2.3.2 Physical Vapor Deposition (PVD)


PVD covers a number of deposition technologies in which material is released from a source and transferred to the substrate. The two most important technologies are evaporation and sputtering. WHEN DO WE WANT TO USE PVD? PVD comprises the standard technologies for deposition of metals. It is far more common than CVD for metals since it can be performed at lower process risk and cheaper in regards to materials cost. The quality of the films are inferior to CVD, which for metals means higher resistivity and for insulators more defects and traps. The step coverage is also not as good as CVD. The choice of deposition method (i.e. evaporation vs. sputtering) may in many cases be arbitrary, and may depend more on what technology is available for the specific material at the time. EVAPORATION In evaporation the substrate is placed inside a vacuum chamber, in which a block (source) of the material to be deposited is also located. The source material is then heated to the point where it starts to boil and evaporate. The vacuum is required to allow the molecules to evaporate freely in the chamber, and they subsequently condense on all surfaces. This principle is the same for all evaporation technologies, only the method used to the heat (evaporate) the source material differs. There are two popular evaporation technologies, which are e-beam evaporation and resistive evaporation each referring to the heating method. In e-beam evaporation, an electron beam is aimed at the source material causing local heating and evaporation. In resistive evaporation, a tungsten boat, containing the source material, is heated electrically with a high current to make the material evaporate. Many materials are restrictive in terms of what evaporation method can be used (i.e. aluminum is quite difficult to evaporate using resistive heating), which typically relates to the phase transition properties of that material. A schematic diagram of a typical system for ebeam evaporation is shown in the figure below.

xv

Figure 5: Typical system for e-beam evaporation of materials. Sputtering Sputtering is a technology in which the material is released from the source at much lower temperature than evaporation. The substrate is placed in a vacuum chamber with the source material, named a target, and an inert gas (such as argon) is introduced at low pressure. Gas plasma is struck using an RF power source, causing the gas to become ionized. The ions are accelerated towards the surface of the target, causing atoms of the source material to break off from the target in vapor form and condense on all surfaces including the substrate. As for evaporation, the basic principle of sputtering is the same for all sputtering technologies. The differences typically relate to the manor in which the ion bombardment of the target is realized. A schematic diagram of a typical RF sputtering system is shown in the figure below.

Figure 6: Typical RF sputtering system.

xvi

Casting In this process the material to be deposited is dissolved in liquid form in a solvent. The material can be applied to the substrate by spraying or spinning. Once the solvent is evaporated, a thin film of the material remains on the substrate. This is particularly useful for polymer materials, which may be easily dissolved in organic solvents, and it is the common method used to apply photoresist to substrates (in photolithography). The thicknesses that can be cast on a substrate range all the way from a single monolayer of molecules (adhesion promotion) to tens of micrometers. In recent years, the casting technology has also been applied to form films of glass materials on substrates. The spin casting process is illustrated in the figure below. When do we want to use casting? Casting is a simple technology which can be used for a variety of materials (mostly polymers). The control on film thickness depends on exact conditions, but can be sustained within +/-10% in a wide range. If you are planning to use photolithography you will be using casting, which is an integral part of that technology. There are also other interesting materials such as polyimide and spin-on glass which can be applied by casting.

Figure 7: The spin casting process as used for photoresist in photolithography.

2.4 LITHOGRAPHY
Lithography in the MEMS context is typically the transfer of a pattern to a photosensitive material by selective exposure to a radiation source such as light. A

xvii

photosensitive material is a material that experiences a change in its physical properties when exposed to a radiation source. If we selectively expose a photosensitive material to radiation (e.g. by masking some of the radiation) the pattern of the radiation on the material is transferred to the material exposed, as the property of the exposed and unexposed regions differs. Pattern Transfer In lithography for micromachining, the photosensitive material used is typically a photo resist (also called resist, other photosensitive polymers are also used). When resist is exposed to a radiation source of a specific a wavelength, the chemical resistance of the resist to developer solution changes. If the resist is placed in a developer solution after selective exposure to a light source, it will etch away one of the two regions (exposed or unexposed). If the exposed material is etched away by the developer and the unexposed region is resilient, the material is considered to be a positive resist (shown in figure 2a). If the exposed material is resilient to the developer and the unexposed region is etched away, it is considered to be a negative resist. Lithography is the principal mechanism for pattern definition in micromachining. Photosensitive compounds are primarily organic, and do not encompass the spectrum of materials properties of interest to micro-machinists. However, as the technique is capable of producing fine features in an economic fashion, a photosensitive layer is often used as a temporary mask when etching an underlying layer, so that the pattern may be transferred to the underlying layer. Photo resist may also be used as a template for patterning material deposited after lithography. The resist is subsequently etched away, and the material deposited on the resist is "lifted off". The deposition template (lift-off) approach for transferring a pattern from resist to another layer is less common than using the resist pattern as an etch mask. The reason for this is that resist is incompatible with most MEMS deposition processes, usually because it cannot withstand high temperatures and may act as a source of contamination. Alignment In order to make useful devices the patterns for different lithography steps that belong to a single structure must be aligned to one another. The first pattern transferred to a xviii

wafer usually includes a set of alignment marks, which are high precision features that are used as the reference when positioning subsequent patterns, to the first pattern. Often alignment marks are included in other patterns, as the original alignment marks may be obliterated as processing progresses. It is important for each alignment mark on the wafer to be labeled so it may be identified, and for each pattern to specify the alignment mark to which it should be aligned. Alignment marks may not necessarily be arbitrarily located on the wafer, as the equipment used to perform alignment may have limited travel and therefore only be able to align to features located within a certain region on the wafer. The region location geometry and size may also vary with the type of alignment, so the lithographic equipment and type of alignment to be used should be considered before locating alignment marks. Typically two alignment marks are used to align the mask and wafer, one alignment mark is sufficient to align the mask and wafer in x and y, but it requires two marks (preferably spaced far apart) to correct for fine offset in rotation. As there is no pattern on the wafer for the first pattern to align to, the first pattern is typically aligned to the primary wafer flat. Depending on the lithography equipment used, this may be done automatically, or by manual alignment to an explicit wafer registration feature on the mask Exposure The exposure parameters required in order to achieve accurate pattern transfer from the mask to the photosensitive layer depend primarily on the wavelength of the radiation source and the dose required to achieve the desired properties change of the photoresist. Different photoresists exhibit different sensitivities to different wavelengths. The dose required per unit volume of photoresist for good pattern transfer is somewhat constant; however, the physics of the exposure process may affect the dose actually received. For example a highly reflective layer under the photoresist may result in the material experiencing a higher dose than if the underlying layer is absorptive, as the photoresist is exposed both by the incident radiation as well as the reflected radiation. The dose will also vary with resist thickness. There are also higher order effects, such as interference patterns in thick resist films on reflective substrates, which may affect the pattern transfer quality and sidewall properties. xix

At the edges of pattern light is scattered and diffracted, so if an image is overexposed, the dose received by photoresist at the edge that shouldn't be exposed may become significant. If we are using positive photoresist, this will result in the photoresist image being eroded along the edges, resulting in a decrease in feature size and a loss of sharpness or corners. If we are using a negative resist, the photoresist image is dilated, causing the features to be larger than desired, again accompanied by a loss of sharpness of corners. If an image is severely underexposed, the pattern may not be transferred at all, and in less sever cases the results will be similar to those for overexposure with the results reversed for the different polarities of resist. If the surface being exposed is not flat, the high-resolution image of the mask on the wafer may be distorted by the loss of focus of the image across the varying topography. This is one of the limiting factors of MEMS lithography when high aspect ratio features are present. High aspect ratio features also experience problems with obtaining even resist thickness coating, which further degrades pattern transfer and complicates the associated processing. Etching Process In order to form a functional MEMS structure on a substrate, it is necessary to etch the thin films previously deposited and/or the substrate itself. In general, there are two classes of etching processes: 1. Wet etching where the material is dissolved when immersed in a chemical solution 2. Dry etching where the material is sputtered or dissolved using reactive ions or a vapor phase etchant Wet Etching: This is the simplest etching technology. All it requires is a container with a liquid solution that will dissolve the material in question. Unfortunately, there are complications since usually a mask is desired to selectively etch the material. One must find a mask that will not dissolve or at least etches much slower than the material to be patterned. Secondly, some single crystal materials, such as silicon, exhibit anisotropic etching in certain chemicals. Anisotropic etching in contrast to isotropic etching means different etches rates in different directions in the material. The classic example of this is the <111> crystal plane sidewalls that appear when etching a hole in a <100> silicon wafer in a chemical such as potassium hydroxide (KOH). The result is a pyramid shaped hole instead of a hole with rounded sidewalls

xx

with a isotropic etchant. The principle of anisotropic and isotropic wet etching is illustrated in the figure below. Dry Etching: The dry etching technology can split in three separate classes called reactive ion etching (RIE), sputter etching, and vapor phase etching. In RIE, the substrate is placed inside a reactor in which several gases are introduced. Plasma is struck in the gas mixture using an RF power source, breaking the gas molecules into ions. The ion is accelerated towards, and reacts at, the surface of the material being etched, forming another gaseous material. This is known as the chemical part of reactive ion etching. There is also a physical part which is similar in nature to the sputtering deposition process. If the ions have high enough energy, they can knock atoms out of the material to be etched without a chemical reaction. It is very complex tasks to develop dry etch processes that balance chemical and physical etching, since there are many parameters to adjust. By changing the balance it is possible to influence the anisotropy of the etching, since the chemical part is isotropic and the physical part highly anisotropic the combination can form sidewalls that have shapes from rounded to vertical. A schematic of a typical reactive ion etching system is shown in the figure below. A special subclass of RIE which continues to grow rapidly in popularity is deep RIE (DRIE). In this process, etch depths of hundreds of microns can be achieved with almost vertical sidewalls. The primary technology is based on the so-called "Bosch process", named after the German company Robert Bosch which filed the original patent, where two different gas compositions are alternated in the reactor. The first gas composition creates a polymer on the surface of the substrate, and the second gas composition etches the substrate. The polymer is immediately sputtered away by the physical part of the etching, but only on the horizontal surfaces and not the sidewalls. Since the polymer only dissolves very slowly in the chemical part of the etching, it builds up on the sidewalls and protects them from etching. As a result, etching aspect ratios of 50 to 1 can be achieved. The process can easily be used to etch completely through a silicon substrate, and etch rates are 3-4 times higher than wet etching. Sputter etching is essentially RIE without reactive ions. The systems used are very similar in principle to sputtering deposition systems. The big difference is that substrate is now subjected to the ion bombardment instead of the material target used in sputter deposition.

xxi

Vapor phase etching is another dry etching method, which can be done with simpler equipment than what RIE requires. In this process the wafer to be etched is placed inside a chamber, in which one or more gases are introduced. The material to be etched is dissolved at the surface in a chemical reaction with the gas molecules. The two most common vapor phase etching technologies are silicon dioxide etching using hydrogen fluoride (HF) and silicon etching using xenon diflouride (XeF2), both of which are isotropic in nature. Usually, care must be taken in the design of a vapor phase process to not have bi-products form in the chemical reaction that condense on the surface and interfere with the etching process.

2.5 Fabrication Technologies


The three characteristic features of MEMS fabrication technologies are

miniaturization, multiplicity, and microelectronics. Miniaturization enables the production of compact, quick-response devices. Multiplicity refers to the batch fabrication inherent in semiconductor processing, which allows thousands or millions of components to be easily and concurrently fabricated. Microelectronics provides the intelligence to MEMS and allows the monolithic merger of sensors, actuators, and logic to build closed-loop feedback components and systems. The successful miniaturization and multiplicity of traditional electronics systems would not have been possible without IC fabrication technology. Therefore, IC fabrication technology, or microfabrication, has so far been the primary enabling technology for the development of MEMS. Microfabrication provides a powerful tool for batch processing and miniaturization of mechanical systems into a dimensional domain not accessible by conventional techniques. Furthermore, microfabrication provides an opportunity for integration of mechanical systems with electronics to develop highperformance closed-loop-controlled MEMS. Advances in IC technology in the last decade have brought about corresponding progress in MEMS fabrication processes. Manufacturing processes allow for the monolithic integration of microelectromechanical structures with driving, controlling, and signal-processing electronics. This integration promises to improve the performance of micromechanical devices as well as reduce the cost of manufacturing, packaging, and instrumenting these devices.

xxii

2.5.1 IC Fabrication
Any discussion of MEMS requires a basic understanding of IC fabrication technology, or microfabrication, the primary enabling technology for the development of MEMS. The major steps in IC fabrication technology are: Film growth: Usually, a polished Si wafer is used as the substrate, on which a thin film is grown. The film, which may be epitaxial Si, SiO 2, silicon nitride (Si3N4), polycrystalline Si, or metal, is used to build both active or passive components and interconnections between circuits. Doping: To modulate the properties of the device layer, a low and controllable level of an atomic impurity may be introduced into the layer by thermal diffusion or ion implantation. Lithography: A pattern on a mask is then transferred to the film by means of a photosensitive (i.e., light sensitive) chemical known as a photoresist. The process of pattern generation and transfer is called photolithography. A typical mask consists of a glass plate coated with a patterned chromium (Cr) film. Etching: Next is the selective removal of unwanted regions of a film or substrate for pattern delineation. Wet chemical etching or dry etching may be used. Etch-mask materials are used at various stages in the removal process to selectively prevent those portions of the material from being etched. These materials include SiO2, Si3N4, and hard-baked photoresist. Dicing: The finished wafer is sawed or machined into small squares, or dice, from which electronic components can be made. Packaging: The individual sections are then packaged, a process that involves physically locating, connecting, and protecting a device or component. MEMS design is strongly coupled to the packaging requirements, which in turn are dictated by the application environment.

2.5.2 Surface Micromachining


Surface micromachining enables the fabrication of complex multicomponent integrated micromechanical structures that would not be possible with traditional bulk micromachining. This technique encases specific structural parts of a device in layers of a sacrificial material during the fabrication process. The substrate wafer is used

xxiii

primarily as a mechanical support on which multiple alternating layers of structural and sacrificial material are deposited and patterned to realize micromechanical structures. The sacrificial material is then dissolved in a chemical etchant that does not attack the structural parts. The most widely used surface micromachining technique, polysilicon surface micromachining, uses SiO2 as the sacrificial material and polysilicon as the structural material. At the University of Wisconsin at Madison, polysilicon surface micromachining research started in the early 1980s in an effort to create high-precision micro pressure sensors. The control of the internal stresses of a thin film is important for the fabrication of microelectromechanical structures. The microelectronic fabrication industry typically grows polysilicon, silicon nitride, and silicon dioxide films using recipes that minimize time. Unfortunately, a deposition process that is optimized to speed does not always create a low internal stress film. In fact, most of these films have internal stresses that are highly compressive. A freestanding plate of highly compressive polysilicon that is held at all its edges will buckle. This is highly undesirable. The solution is to modify the film deposition process to control the internal stress by making it stress-free or slightly tensile. A better way to control the stress in polysilicon is through post annealing, which involves the deposition of pure, fine-grained, compressive polysilicon. Annealing the polysilicon after deposition at elevated temperatures can change the film to be stressfree or tensile. The annealing temperature sets the film's final stress. After this, electronics can then be incorporated into polysilicon films through selective doping, and hydrofluoric acid will not change the mechanical properties of the material. Deposition temperature and the film's silicon to nitride ratio can control the stress of a silicon nitride (Si3N4) film. The films can be deposited in compression, stress-free, or in tension. Deposition temperature and post annealing can control silicon dioxide (SiO2) film stress. Because it is difficult to control the stress of SiO2 accurately, SiO2 is typically not used as a mechanical material by itself, but as electronic isolation or as a sacrificial layer under polysilicon.

xxiv

2.5.3 Micro Molding


In the micromolding process, microstructures are fabricated using molds to define the deposition of the structural layer. The structural material is deposited only in those areas constituting the microdevice structure, in contrast to bulk and surface micromachining, which feature blanket deposition of the structural material followed by etching to realize the final device geometry. After the structural layer deposition, the mold is dissolved in a chemical etchant that does not attack the structural material. One of the most prominent micromolding processes is the LIGA process. LIGA is a German acronym standing for lithographie, galvanoformung, und abformung (lithography, electroplating, and molding). This process can be used for the manufacture of high-aspect-ratio 3D microstructures in a wide variety of materials, such as metals, polymers, ceramics, and glasses. Photosensitive polyamides are also used for fabricating plating molds. The photolithography process is similar to conventional photolithography, except that polyimide works as a negative resist.

2.6 Current Challenges


MEMS and Nanotechnology is currently used in low- or medium-volume applications. Some of the obstacles preventing its wider adoption are:

2.6.1 LIMITED OPTIONS


Most companies who wish to explore the potential of MEMS and Nanotechnology have very limited options for prototyping or manufacturing devices, and have no capability or expertise in microfabrication technology. Few companies will build their own fabrication facilities because of the high cost. A mechanism giving smaller organizations responsive and affordable access to MEMS and Nano fabrication is essential.

2.6.2 PACKAGING
The packaging of MEMS devices and systems needs to improve considerably from its current primitive state. MEMS packaging is more challenging than IC packaging due to the diversity of MEMS devices and the requirement that many of these devices be

xxv

in contact with their environment. Currently almost all MEMS and Nano development efforts must develop a new and specialized package for each new device. Most companies find that packaging is the single most expensive and time consuming task in their overall product development program. As for the components themselves, numerical modeling and simulation tools for MEMS packaging are virtually nonexistent. Approaches which allow designers to select from a catalog of existing standardized packages for a new MEMS device without compromising performance would be beneficial. 2.6.3 FABRICATION KNOWLEDGE REQUIRED Currently the designer of a MEMS device requires a high level of fabrication knowledge in order to create a successful design. Often the development of even the most mundane MEMS device requires a dedicated research effort to find a suitable process sequence for fabricating it. MEMS device design needs to be separated from the complexities of the process sequence.

2.7 Applications
2.7.1 PRESSURE SENSORS
MEMS pressure microsensors typically have a flexible diaphragm that deforms in the presence of a pressure difference. The deformation is converted to an electrical signal appearing at the sensor output. A pressure sensor can be used to sense the absolute air pressure within the intake manifold of an automobile engine, so that the amount of fuel required for each engine cylinder can be computed.

2.7.2 ACCELEROMETERS
Accelerometers are acceleration sensors. An inertial mass suspended by springs is acted upon by acceleration forces that cause the mass to be deflected from its initial position. This deflection is converted to an electrical signal, which appears at the sensor output. The application of MEMS technology to accelerometers is a relatively new development. Accelerometers in consumer electronics devices such as game controllers (Nintendo Wii), personal media players / cell phones (Apple iPhone ) and a number of Digital Cameras (various Canon Digital IXUS models). Also used in PCs to park the hard

xxvi

disk head when free-fall is detected, to prevent damage and data loss. IPod Touch: When the technology become sensitive. MEMS-based sensors are ideal for a wide array of applications in consumer, communication, automotive and industrial markets.

The consumer market has been a key driver for MEMS technology success. For example, in a mobile phone, MP3/MP4 player or PDA, these sensors offer a new intuitive motion-based approach to navigation within and between pages. In game controllers, MEMS sensors allow the player to play just moving the controller/pad; the sensor determines the motion.

2.7.3 MICRO ENGINES


A three-level polysilicon micromachining process has enabled the fabrication of devices with increased degrees of complexity. The process includes three movable levels of polysilicon, each separated by a sacrificial oxide layer, plus a stationary level. Microengines can be used to drive the wheels of microcombination locks. They can also be used in combination with a microtransmission to drive a pop-up mirror out of a plane. This device is known as a micromirror.

SOME OTHER COMMERCIAL APPLICATIONS INCLUDE:

Inkjet printers, which use piezoelectrics or thermal bubble ejection to deposit ink on paper. Accelerometers in modern cars for a large number of purposes including airbag deployment in collisions.

xxvii

MEMS gyroscopes used in modern cars and other applications to detect yaw; e.g. to deploy a roll over bar or trigger dynamic stability control. Silicon pressure sensors e.g. car tire pressure sensors, and disposable blood pressure sensors. Displays e.g. the DMD chip in a projector based on DLP technology has on its surface several hundred thousand micromirrors. Optical switching technology which is used for switching technology and alignment for data communications. Bio-MEMS applications in medical and health related technologies from LabOn-Chip to MicroTotalAnalysis (biosensor, chemosensor).

xxviii

3. CONCLUSION & FUTURE SCOPE


The automotive industry, motivated by the need for more efficient safety systems and the desire for enhanced performance, is the largest consumer of MEMS-based technology. In addition to accelerometers and gyroscopes, micro-sized tire pressure systems are now standard issues in new vehicles, putting MEMS pressure sensors in high demand. Such micro-sized pressure sensors can be used by physicians and surgeons in a telemetry system to measure blood pressure at a stet, allowing early
detection of hypertension and restenosis. Alternatively, the detection of bio molecules can benefit most from MEMS-based biosensors. Medical applications include the detection of DNA sequences and metabolites. MEMS biosensors can also monitor several chemicals simultaneously, making them perfect for detecting toxins in the environment. Lastly, the dynamic range of MEMS based silicon ultrasonic sensors have many advantages over existing piezoelectric sensors in non-destructive evaluation, proximity sensing and gas flow measurement. Silicon ultrasonic sensors are also very effective immersion sensors and provide improved performance in the areas of medical imaging and liquid level detection.

The medical, wireless technology, biotechnology, computer, automotive and aerospace industries are only a few that will benefit greatly from MEMS. This enabling technology allowing the development of smart products, augmenting the computational ability of microelectronics with the perception and control capabilities of microsensors and microactuators and expanding the space of possible designs and applications.

MEMS devices are manufactured for unprecedented levels of functionality, reliability, and sophistication can be placed on a small silicon chip at a relatively low cost.

MEMS promises to revolutionize nearly every product category by bringing together silicon-based microelectronics with micromachining technology, making possible the realization of complete systems-on-a-chip.

MEMS will be the indispensable factor for advancing technology in the 21st century and it promises to create entirely new categories of products.

xxix

Each of the three basic microsystems technology processes we have seen, bulk micromachining, sacrificial surface micromachining, and micromolding/LIGA, employs a different set of capital and intellectual resources. MEMS manufacturing firms must choose which specific microsystems manufacturing techniques to invest in. MEMS technology has the potential to change our daily lives as much as the computer has. However, the material needs of the MEMS field are at a preliminary stage. A thorough understanding of the properties of existing MEMS materials is just as important as the development of new MEMS materials. Future MEMS applications will be driven by processes enabling greater functionality through higher levels of electronic-mechanical integration and greater numbers of mechanical components working alone or together to enable a complex action. Future MEMS products will demand higher levels of electrical-mechanical integration and more intimate interaction with the physical world. The high up-front investment costs for large-volume commercialization of MEMS will likely limit the initial involvement to larger companies in the IC industry. Advancing from their success as sensors, MEMS products will be embedded in larger non-MEMS systems, such as printers, automobiles, and biomedical diagnostic equipment, and will enable new and improved systems.

HOW THE MEMS AND NANO EXCHANGE CAN HELP?


The MEMS and Nanotechnology Exchange provides services that can help with some of these problems.

We make a diverse catalog of processing capabilities available to our users, so our users can experiment with different fabrication technologies. Our users don't have to build their own fabrication facilities, and

Our web-based interface lets users assemble process sequences and submit them for review by the MEMS and Nanotechnology Exchange's engineers and fabrication sites.

xxx

REFERENCES
1. HMT limited, Mechatronics, Tata-McGraw Hill Graces-Hill Publishing Company, New Delhi. 2. www.google.com 3. www.wikepedia.com 4. www.memsnet.org 5. www-bsac.eecs.berkeley.edu

xxxi

You might also like