You are on page 1of 12

Repblica Bolivariana de Venezuela Ministerio del Poder Popular para las Comunas y Proteccin Socialista Instituto Nacional de Capacitacin

y Educacin Socialista Cuman Estado Sucre

Integrantes Jos Lus Otero M. CI. 17445400 Jos Lus Otero M. CI. 17445400 Curso: PLC Fecha: 25/05/2011

INTRODUCCIN Los sistemas digitales obtienen datos de informacin codificados en binarios que continuamente se utilizan en alguna forma determinada. Algunas de las operaciones que se efectan comprenden: decodificacin y codificacin, multiplexacin, demultiplexacin, comparacin, conversin de cdigo y asignacin de canales de datos. Todas estas operaciones y otras se han facilitado por la disponibilidad de numerosos IC en la categora MSI (Integracin de Media Escala). En esta investigacin solo estudiaremos los Decodificadores. Para ello se har un breve anlisis del correspondiente principio bsico de operacin y luego se presentarn IC especficos haciendo nfasis en el decodificador de BCD a siete segmentos. Dado a su importancia en este curso.

DECODIFICADORES

Un decodificador es un circuito lgico que acepta un conjunto de entradas que representan nmeros binarios y que activan solamente la salida que corresponde a dicho dato de entrada. En otras palabras, mira a sus entradas, determina que nmero binario est presente y activa la salida correspondiente a dicho nmero. El diagrama para un decodificador general lo muestra la figura 1 con N entradas y M salidas. Debido a que cada una de las N entrada puede ser 0 o bien 1, hay 2N posibles combinaciones o cdigos de entrada. Para cada una de estas combinaciones de entrada solo una de las M salidas ser activa (ALTA); todas las otras son BAJAS. Muchos decodificadores estn diseados para producir salidas activas en BAJO, donde solamente la salida seleccionada es BAJA, en tanto que todas las otras son ALTAS. Esto se indicar por la presencia de pequeos crculos en las lneas de la salida del diagrama del decodificador.

Figura 1. Diagrama general de un decodificador

Algunos decodificadores no usan todos los 2N cdigos posibles de entrada, sino slo algunos de ellos. Por ejemplo, un decodificador BCD a DECIMAL, tiene un cdigo de entrada de 4 bits, el cual slo usa diez grupos codificados BCD, 0000 hasta 1001. Algunos de estos decodificadores se disean de tal manera, que si cualquiera de los cdigos no usados se aplican a la entrada, ninguna de las salidas se activar. La Figura 2, muestra la circuitera para un decodificador con 3 entradas y 2 =8 salidas. Este hace uso de todas compuertas AND, de modo que las salidas son activas en ALTO. Para salidas activas en BAJO, se utilizaran compuertas NAND.
3

Este decodificador se puede denominar de distintas maneras. Puede llamarse decodificador de 3 lneas a 8 lneas, ya que tiene tres lneas de entrada y ocho de salida. Tambin se le podra denominar decodificador de binario a octal o convertidor de binario a octal, debido a que toma un cdigo binario de entrada binario de tres entradas de tres bits y activa una de las ocho salidas (octal) correspondientes a ese cdigo. Tambin se le conoce como decodificador 1 de 8, ya que solo una de las 8 salidas se activa a la vez.

Figura 2. Decodificador binario a octal

Un ejemplo de un decodificador de binario a octal es el IC 74LS138. La figura 3 muestra el diagrama lgico del decodificador 74LS138 tal y como aparece en el Manual de Datos de IC TTL de Fairchild.

Figura 3. (a) Diagrama lgico del decodificador 74LS138 (b) Tabla de verdad (c) Smbolo Lgico

Otro tipo de estos dispositivos es el Decodificador de BCD a decimal. La figura 4 muestra el diagrama lgico de un decodificador 7442 de BCD a decimal. El circuito tambin se consigue como 74LS42 y 74HC42. Cada salida cambia hacia el nivel BAJO slo cuando se aplica su correspondiente entrada BCD. Este decodificador no tiene entrada de habilitacin, pero se puede emplear como el decodificador de 3 a 8 empleando para ello la entrada D como entrada de habilitacin.

Figura 4. (a) Diagrama lgico de un decodificador 7442 de BCD a decimal (b) Smbolo lgico (c) Tabla de verdad

El TTL 7445 es un Decodificador/Manejador de BCD a decimal. El trmino Manejador se aade a las descripcin del IC por este tiene salidas de colector abierto que pueden trabajar con corrientes y voltajes mayores que los limites de una salida TTL comn. Las salidas del 7445 pueden consumir hasta 80mA en el estado BAJO y ser llevadas hasta 30 voltios en el estado ALTO. Estas caractersticas las hacen adecuadas para manejar de manera directa cargas tales como indicadores de LED o lmparas, relevadoreso motores de DC. La Aplicacin de los decodificadores radica en que se puede emplear todas las veces que es necesario activar una salida o grupo de stas cuando se presenta una combinacin especfica de niveles de entrada. Estos niveles frecuentemente son proporcionados por las salidas de un contador o registro. Cuando las entradas del decodificador provienen de un contador al que llegan pulsos de manera continua, las salidas del decodificador se activan en forma

secuencial y se pueden emplear para temporizar o poner seales en secuencia para apagar y encender dispositivos en instantes especficos. Por ejemplo, el contador 74LS293 y el decodificador/manejador 7445 se emplean de la manera antes descrita.

DECODIFICADORES BCD A 7 SEGMENTOS El decodificador de BCD a siete segmentos es un circuito combinacional que permite un cdigo BCD en sus entradas y en sus salidas activa un display de 7 segmentos para indicar un dgito decimal. El display est formado por un conjunto de 7 leds conectados en un punto comn en su salida. Cuando la salida es comn en los nodos, el display es llamado de nodo comn y por el contrario, s la salida es comn en los ctodos, llamamos al display de ctodo comn. En la figura 5 se muestran ambos tipos de dispositivos. En el display de ctodo comn, una seal alta encender el segmento excitado por la seal. La alimentacin de cierta combinacin de leds, dar una imagen visual de un dgito de 0 a 9.

Figura 5. Display de nodo comn y ctodo comn

Decodificador de BCD a Siete Segmentos El decodificador requiere de una entrada en cdigo decimal binario BCD y siete salidas conectadas a cada segmento del display. La figura 6 representa en un diagrama de bloques el decodificador de BCD a 7 segmentos con un display de ctodo comn.

Figura 6. Diagrama de bloques de un decodificador BCD a siete segmentos

Suponiendo que el visualizador es un display de ctodo comn, se obtiene una tabla cuyas entradas en cdigo BCD corresponden a A, B, C y D y unas salidas correspondientes a los leds que se encenderan en cada caso para indicar el dgito decimal. La tabla 1 muestra el caso de ejemplo. Valor decimal 0 1 2 3 4 5 6 7 8 9 10 ... 15 Entradas Salidas A B C D abcdef g 0 0 0 0 1111110 0 0 0 1 0110000 0 0 1 0 1101101 0 0 1 1 1111001 0 1 0 0 0110011 0 1 0 1 1011011 0 1 1 0 1011111 0 1 1 1 1110000 1 0 0 0 1111111 1 0 0 1 1110011 1 0 1 0 XXXXXXX .. .. .. .. X X X X X X X 1 1 1 1 XXXXXXX

Tabla 1. Tabla de verdad del decodificador BCD a siete segmentos. Los valores binarios 1010 a 1111 en BCD nunca se presentan, entonces las salidas se tratan como condiciones de no importa. La simplificacin de la informacin contenida en la tabla 1. requiere de siete tablas de verdad, que se pueden separar para cada segmento. Por consiguiente, un 1 en la columna indica la activacin del segmento y varios de estos segmentos activados indican visualmente el nmero decimal requerido.

Segn la informacin de la tabla de verdad, se puede obtener la expresin para cada segmento en suma de productos o producto de sumas segn la cantidad de unos y ceros presentes. Salida a En la columna a existen 3 ceros y 7 unos, entonces es ms fcil obtener la funcin PDS: a = (A+B+C+D)(A+B+C+D)= A + D(B+C) + B(D+C) = A + AB + AC + AD + BA + BC + BD + CA + CB+ C + CD + DA + DB + DC a = A + (AB+BA)+(AC+CA)+ (AD+DA)+( BC+CB) + BD + C + (CD+DC) + DB = A + A +AC + A+ C + BD + C + C + DB = A + A.C + C + BD + DB a = A + C + (B D)

Figura 7. Circuito para la salida a del decodificador BCD a siete segmentos Salida c En la columna de la salida c se tiene un solo 0, entonces se emplea el PDS: c = (A + B + C + D)

Figura 8. Circuito para la salida c del decodificador BCD a siete segmentos Salida e La columna correspondiente a esta salida tiene 4 unos y 5 ceros. Es mejor utilizar la representacin SDP: e = (ABCD) + (ABCD) + (ABCD) + (ABCD) ;factorizando el primer trmino con el cuarto y el segundo con el tercero: e = BCD + ACD = D(BC+ AC)

Figura 10. Circuito para la salida e del decodificador BCD a siete segmentos El resto de salidas se obtiene por las mismas deducciones anteriores. Circuito integrado 7447 El decodificador 7447 es un circuito lgico que acepta un conjunto de entradas que representan nmeros binarios y que activa solamente la salida que corresponde a dicho dato de entrada. En un decodificador, dependiendo de la combinacin en sus entradas se determina qu nmero binario (combinacin) se presenta a la salida correspondiente a dicho nmero, mientras tanto todas las otras salidas permanecern inactivas Este decodificador sirve para mostrar salidas decimales a entradas binarias. Las entradas pueden estar dadas por cualquier dispositivo que tenga 4 salidas digitales como la computadora, un micro, o Simplemente utilizando switches para conmutar los unos y ceros.

Figura 11. Esquema del decodificador 7447

Tabla 2. Asignacin de los pines del decodificador 7447 (Pin-out) Estdio del IC 7447, decodificador BCD-7 Segmentos Muchas presentaciones numricas en dispositivos de visualizacin utilizan una configuracin de 7 segmentos para formar los caracteres decimales de 0 a 9 y algunas veces los caracteres hexadecimales de A a F. Cada segmento est hecho de un material que emite luz (Display) cuando pasa corriente a travs de l, los patrones de segmentos que sirven para presentar los diversos dgitos. El decodificador 7447 est diseado para activar segmentos especficos, aun de cdigos de entrada mayores que 1001 (9). La figura N 2 muestra las representaciones para los cdigos desde 0000 hasta 1111. Note que un cdigo de entrada de 1111 borrar todos los segmentos.

Figura 12. Modelos de segmentos para todos los posibles cdigos de entrada.
LT R BI H H H X H X H X H X H X H X H X H X H X H X H X H X H X H X H X X X H L L X D L L L L L L L L H H H H H H H H X L X C L L L L H H H H L L L L H H H H X L X B L L H H L L H H L L H H L L H H X L X A L H L H L H L H L H L H L H L H X L X BI/R BO H H H H H H H H H H H H H H H H L L H a L H L L H L H L L L H H H L H H H H L b c L L L L L H L L L L H L H L L L L L L L H H H L L H H H H H H H H H H H L L d L H L L H L L H L H L L H L L H H H L e L H L H H H L H L H L H H H L H H H L f L H H H L L L H L L H H L L L H H H L g H H L L L L L H L L L L L L L H H H L

(a)

(b)

Figura 13. (a) Tabla de verdad del decodificador 7447 (b) El smbolo lgico

CONCLUSIONES

En el tema de los decodificadores vimos en qu consista un decodificador, es decir, explicamos cmo pasar una informacin utilizada usualmente a una forma codificada que pueda entender nuestro otro dispositivo.

En la actualidad, se utilizan normalmente una serie de dispositivos de representacin visual fabricados a base de siete segmentos o barras independientes, mediante las cuales se pueden presentar los dgitos decimales. Estos segmentos pueden ser cristales lquidos, diodos LED, etc. Para excitar a estos dispositivos se han desarrollado toda una gama de decodificadores que reciben la informacin, procedente de un ordenador o de un aparato de medida, en cdigo BCD y entregan siete salidas preparadas para alimentar los siete segmentos que componen cada dgito decimal. Veamos la estructura de un decodificador excitador BCD-7 segmentos de los ms sencillos.

You might also like