You are on page 1of 6

2010 IRPS Tutorial Program

Mark Porter, Medtronics

[111] BASIC CONCEPTS AND METHODS FOR exploiting the excellent thermal conductivity and semi-
RELIABILITY DATA ANALYSIS ― W. Meeker ― insulating properties of this material, suitable for RF and
Iowa State University―Reliability assurance processes in microwave device operation. GaN HEMTs are therefore
manufacturing industries require data-driven information extremely promising for power electronics applications
for making product-design decisions. Life tests, from power conditioning to microwave amplifiers and
accelerated life tests, and accelerated degradation tests are transmitters. Front-end applications are also interesting,
commonly used to collect reliability data. Data from due to the intrinsic robustness and survivability of high
products in the field provide another important source of breakdown voltage GaN HEMTs, coupled with reasonable
useful reliability information. These reliability studies noise figures. Satellite communications, high performance
typically yield data that are censored and/or truncated, radars and commercial ground base stations currently
require the use of less familiar distributions like the represent target system applications. Within these
Weibull, the lognormal, and the gamma, and call for applications, it is expected that GaN-based transistors will
inferences that involve extrapolation. replace the so far used Si-transistors in the near future
mainly due to their larger bandwidth capabilities, their
This tutorial will present and discuss the analyses of higher operating voltages as well as their higher linear
several different life data analysis applications in the area efficiencies.
of product reliability and materials evaluation. The
analyses illustrate the use of a mix of proven traditional In the last years, GaN HEMTs have been subject to various
techniques, enhanced and brought up to date with modern optimization processes, starting from the material
computer-based methodology. Methods used in the properties, to the control of surface and buffer properties
analyses include probability plotting, maximum likelihood aimed at reducing transient phenomena, gate-lag effects
estimation, analysis of data with multiple failure modes, and the "current collapse" problems. A better control of
acceleration models, accelerated life testing, accelerated short-channel effects, gate current, and degradation
degradation testing, and the analysis of recurrence data phenomena at high electric fields, together with the
from repairable systems. development of suitable structures for the management of
the electric field (using T-shaped and Γ-shaped gates and
This tutorial will focus primarily on graphical presentation field-plates) have lead to the progressive increase of the
of the results of the analyses and will describe the operating drain voltage from 12 V to 24 V and 48 V.
applications, data, concepts, statistical methods, and In this tutorial recent reliability data under DC and RF
interpretation of the results. operation and the current understanding of the major
degradation mechanisms will be presented. In particular,
[112] EMERGING TRENDS IN FA FOR 32NM AND failure modes and mechanisms of GaN HEMTs, identified
BELOW ― M. Bruce – Independent Consultant ―This within the framework of various accelerated tests,
tutorial describes emerging trends in FA for 32nm including step-stress short tests (<150 hours) and life tests
technology nodes and below. The state of tools and with a duration exceeding 3000 hours, will be presented.
techniques will be described for design debug and The proposed methodology includes a detailed
identifying defects at a level required to keep pace with characterization of the main parasitic effects in GaN
scaling. The semiconductor industry faces enormous HEMT devices (gate leakage current, current collapse,
challenges as defects move from being physically viewable kink effect, etc.) by means of DC and pulsed electrical
to atomic level perturbations that cause circuits to measurements. The observed failure modes are
malfunction. A holistic approach will be presented from subsequently analyzed by two-dimensional device
design debug to manufacturing defect analysis. simulations in order to validate hypotheses on physical
[113] PARASITIC AND RELIABILITY ISSUES OF failure mechanisms. Electroluminescence microscopy and
GAN-BASED HIGH ELECTRON MOBILITY spectroscopy is adopted to evaluate hot carrier effects in
TRANSISTORS ― M. Damman and G. Meneghesso ― GaN HEMTs, and as a powerful failure analysis tool.
Fraunhofer - Institut für Angewandte Festkörperphysik and
University of Padova ― Gallium Nitride represents an [121] ADVANCED GATE STACK RELIABILITY:
almost ideal material for the fabrication of high power CORRELATING STRUCTURAL AND ELECTRICAL
microwave devices and circuits: its high energy gap (3.4 CHARACTERISTICS ― G. Bersuker – SEMATECH ―
eV vs. 1.4 eV for GaAs) is reflected into a very high Relentless device scaling challenges the traditional
breakdown field (3500 kV/cm); piezoelectric and “empirical” approach to device characterization, which
spontaneous polarization effects within AlGaN/GaN result becomes too costly and time consuming. Introduction of
in 2D gas densities above 1013 cm-2, 5 times higher than for new materials and complex multi-component gate stack
GaAs-based HEMTs, without requiring doping of the structures shift emphasis to instabilities caused by process-
barrier layer. Saturation and overshoot velocity are around related defects rather than stress-generated ones, which are
3 x 107 cm/s, with relatively good electron mobility values usually associated with the time dependency of device
(1200 cm2/V.s). Epitaxial structures can be grown on characteristics. This points to a growing need to identify
silicon carbide with limited lattice mismatch, thus the nature of defects affecting electrical characteristics of
devices, specifically their reliability, that would allow scattering, is actually the result of interface degradation
developing physics-based predictive degradation models, due to rapid charge trapping. This finding raises an
as well as provide helpful feedback to the fabrication important concern over NBTI characterization in the high
process optimization efforts. The process development can gate voltage regime (e.g. the “on-the-fly” technique) using
be greatly accelerated when reliability characteristics can simplified Id-Vg models. We will wrap up the talk with a
be correlated to the electrically-active atomic structural discussion on some of the results obtained using the UFS
features of the dielectric stacks. In this tutorial, we focus method that may potentially offer a better understanding of
on analysis and interpretation of electrical data provided the elusive NBTI phenomenon.
by a variety of measurement techniques in an effort to
understand the gate stack material characteristics [124] CMOS CHANNEL HOT CARRIER
contributing to instability of electrical parameters. QUALIFICATION FROM PHYSICS TO END OF LIFE
PROJECTIONS ― G. LaRosa and S. Rauch – IBM
[122] NBTI: CONFUSION, FRUSTRATION, ―This tutorial covers the state of the art understanding on
AND…PROMISE? ― J. Campbell ― NIST ―The the physics of channel hot carrier and currently
negative-bias temperature instability (NBTI) is a reliability experienced challenges with CMOS scaling. Its
problem that, in the last ten years, has risen from relative implications to a rigorous technology qualification
obscurity to become the most important reliability problem methodology more closely related to circuit/product
in advanced pMOSFET devices. Even though a significant operations are also provided. It is shown how to apply DC
effort has been spent trying to eliminate NBTI signatures CHC models, developed from accelerated stress
(negative threshold voltage shift and transconductance conditions, to actual circuit waveforms. The use of
degradation after inversion gate stress at elevated idealized waveforms to produce simplified AC projection
temperatures), the issue still persists. NBTI’s elusiveness is equations to be used in design manuals is explained. We
due to the fact that NBTI-induced degradation relaxes very cover recent proposals for the correct treatment of
quickly after the conclusion of stress. This makes NBTI PMOSFET AC hot carrier, and the distinction between hot
characterizations quite tedious and clouds the fundamental carrier and NBTI in PMOSFETs. These ideas apply to
understanding of the degradation/relaxation mechanism. In high- technologies which exhibit NMOSFET PBTI as
high- gate stacks, the situation is complicated further by well. Finally, an overview of the industry adopted
inherent fast charge trapping issues and the emergence of qualification targets to be used for CHC End of Life
an additional positive bias temperature instability (PBTI) projections is given. As an example, the commonly used
component. While this depiction of NBTI may seem “T0.1” or similar lifetime adopted as a Foundry target is
hopeless, there have been renewed efforts to uncover the described and proven not to be indicative of actual product
fundamental physical mechanisms that govern the process. lifetime or failure rate and not providing guidance to
This tutorial summarizes noteworthy NBTI experimental ensure reliable system design and product test strategy.
observations/techniques and discusses how these
observations might be leading towards a fundamental [131] MECHANISMS, MODELING, MEASUREMENT
understanding of NBTI. A general aim is to examine how AND MITIGATION OF SOFT ERRORS ― C. Slayman,
these observations validate/invalidate the current K. Warren, and J. Wilkinson – Independent Consultant,
understanding of NBTI. ISDE, Medtronic ― Soft errors continue to be a key
reliability concern for electronics. Unlike hard failures, a
[123] NBTI MEASUREMENT: HOW TRICKY COULD soft error's transient nature and varying manifestations
IT GET? ― D.S. Ang ― Nanyang Technological makes it difficult to develop appropriate strategies for test
University ― Just when we thought we had completely and characterization. Without reliable data on the rate and
understood the long-standing negative bias-temperature modes of occurrence it is not possible to know if a system
instability (NBTI) phenomenon, observation of non- can meet its reliability objectives or to design appropriate
negligible recovery effect has forced us to rethink the mitigations. The tutorial addresses these questions for
manner by which measurement of transistor degradation engineers working on systems for terrestrial applications.
should be carried out. This tutorial will provide an The tutorial is divided into 3 sessions of 1.5 hours each.
overview of the numerous measurement methodologies
proposed in recent years to address the challenge posed by Physics of Soft Errors: The first session solidifies the
NBTI recovery and discuss their relative merits and participant's background in soft errors by describing the
demerits. It will also introduce the ultrafast switching nuclear reactions, charge deposition and circuit responses
(UFS) method and show how this method could provide that create soft errors. The session will cover the history of
more comprehensive information regarding transistor soft errors, their manifestations, and relevant test
degradation − threshold voltage shift, mobility degradation standards. Results from nuclear modeling will be
and charge pumping current − using a single experimental introduced to illustrate key concepts.
set-up. During the development of this technique, an
important insight into the behavior of the drain current Testing and Simulation: Session 2 takes the participants
versus gate voltage curve in the high gate voltage regime through the details of the JESD89A soft error testing
was derived and will also be discussed. In short, we will standard including real-time testing and accelerated test
show that the severe “bending” of the Id-Vg curve in the methods for terrestrial cosmic rays, alpha particles and
high gate voltage regime, previously thought to be a thermal neutrons. Descriptions of modeling to supplement
consequence of mobility attenuation by surface roughness test methods are presented. Specialty test methods such as
ion microbeam and heavy ion testing will also be briefly [132] PROCESS INTEGRATION FOR COPPER
described. INTERCONNECTS IN LOW-K DIELECTRICS ― J.
Gambino ― IBM ― Interconnect processes using copper
Mitigation and Case Studies: In the last session a variety wiring and low- dielectrics are reviewed for advanced
of techniques are discussed for mitigating the effect of soft technology nodes. First, the structure and properties of
errors. The subjects will range from IC process low- materials and barrier layers are described. Porous
adjustments, through circuits, and up to system level dielectrics are generally required to achieve < 2.5, but
recovery. Case studies will also be reported from the these materials are mechanically weak and chemically
literature and the authors' experiences. reactive, making integration very challenging.

[141] PHYSICS-BASED MATHEMATICAL Next, integration issues are described including patterning,
MODELING OF BATTERIES ― P. Gomadam ― cleans, metallization, chemical mechanical polishing
Medtronic Energy and Component Center ―Batteries have (CMP), and packaging. The patterning process is
current and potential applications as power sources to a becoming increasingly difficult because of the smaller
very wide variety of electrical and electronic devices – dimensions, the need for reduced line width variation, and
from small handheld devices (e.g. watches and cell- the use of dielectrics that contain carbon. Hardmasks are
phones) to those demanding very high energy and power increasingly being used to solve problems such as resist
(e.g. electric vehicles and grid storage systems). It is, erosion and resist strip damage of the low- material.
therefore, critical to understand the working of batteries so Metallization is more difficult because the liner and Cu
as to be able to optimally design and reliably manufacture seed thicknesses must be drastically reduced, to avoid
them for a given application. Building batteries and testing increasing the line resistance and allow void-free Cu
them in the lab is the most common approach to plating to occur. The physical vapor deposition (PVD)
understanding performance, but it is highly resource- techniques that have been used in previous technology
consuming and has limited applicability. Another approach generations are preferred for process simplicity. However,
is to understand the battery from the fundamental physical other deposition methods, such as atomic layer deposition
and chemical processes occurring during operation, and to (ALD) for the liner and direct plating to replace the seed
use them in combination with mathematics, to develop a layer, may be required as interconnect dimensions are
theoretical, predictive model of the battery. In addition to reduced. CMP is increasingly challenging because of the
offering great insight, this approach drastically minimizes low mechanical strength of the low- dielectrics and the
the expensive building-and-testing required, as well as need for reduced dishing and dielectric erosion. New
significantly widens applicability. Further, for applications planarization methods are being used to address these
with very high reliability requirements, it is critical to have problems. Packaging is increasingly difficult because of
performance accurately characterized ahead of time and, the low mechanical strength of the dielectrics. Dicing,
thus, predictive mathematical models form necessary and wirebonding, molding, and underfill processes must be
valuable tools. Furthermore, many applications involve optimized when packaging chips that have low-
batteries operating together with mechanical components dielectrics in the stack. The effect of each of these
or as part of sophisticated electronic circuitry, where interconnect processes on reliability will be discussed.
understanding the interaction between the battery and the
other components of the system are important. Using the [142] FLASH MEMORY RELIABILITY: A COMBINED
mathematical model of the battery, with the models of the CHARACTERIZATION AND MODELING APPROACH
other components, offers a most efficient and reliable ― L. Larcher and P. Pavan ― University of Modena ―
approach to understanding the system. This course will present the basic concepts of the Flash
memory reliability, employing dedicated physical models
In this tutorial, we present the development of a physics- to understand mechanisms behind the observed reliability
based mathematical model that predicts the performance of phenomena. This perspective provides both a simple
a lithium-based non-rechargeable battery, relevant for a explanation for experimental characterization results, as
class of implantable medical devices. The mathematical well as extraction guidelines for device reliability
model is a set of coupled ordinary differential and improvements.
algebraic equations governing the electrochemical reaction The course will address the following points:
kinetics of the battery, and requiring a numerical solution • Basic fundamentals of Flash memory reliability
to predict battery performance. We present data obtained • NOR and NAND Flash reliability requirements
from individual testing of the main components of the • Key role of tunnel oxide: charge trapping and defect
battery electrodes, and show how they were compared with generation effects on endurance, disturbs and retention
the model to obtain important thermodynamic and kinetic • Reliability issues in nano-Flash devices (RTN, etc.)
parameters. The model predictions, made using these
• Reliability issues related to high-k material
parameters, are compared to the performance of
introduction (band-gap engineered tunneling barriers,
manufactured batteries over a wide range of design and
charge trapped memory devices MANOS)
operating conditions. We present the comparisons and
show that the model accurately predicts battery
performance. Finally, we provide an overview of how [143] SILICON SYSTEM DESIGN FOR HIGH
battery models are typically used as part of implantable AVAILABILITY APPLICATIONS ― A. Silburt ―
medical electronics product development. Cisco Systems ― This tutorial presents a methodology for
developing a specification for soft error performance of an
integrated hardware/software system that must achieve [221] MEMS TEST, YIELD, AND RELIABILITY ― I.
highly reliable operation. The methodology enables De Wolf – IMEC ―This course will discuss MEMS
tradeoffs between reliability and cost to be made during reliability from a very broad perspective, starting with
the early silicon design and SW architecture phase. An metrology tests addressing planarity and stress gradients;
accelerated measurement technique using neutron beam yield testing; functional testing using electrical, optical or
irradiation is also described that ties the final system mechanical actuation or detection schemes; and reliability
performance to the reliability model and specification. The testing.
methodology is illustrated for the design of a line card for
an internet core router. Possible ways to tackle reliability, through standard testing
or through a FMEA/physics-of-failure driven methodology
[144] RELIABILITY CHANLLENGES IN THE will be presented.
PHOTOVOLTAIC INDUSTRY ― A. Terao ―
SunPower― Photovoltaic (PV) modules are essentially A short overview and discussion of the large number of
semiconductor devices, most of them made on slices of failure mechanisms that can occur in MEMS and MEMS
silicon. However, some of their characteristics make then packages will be given.
very different than other electronic devices. They are
optoelectronic devices handling high currents, The main focus of the course is on characterization and
encapsulated in large low-cost packages, stringed in series test methods that can be used to study the reliability of
to create high DC voltages. MEMS and of wafer-level MEMS packages. In many
cases existing techniques can be used or adapted for
When it comes to reliability, these differences have MEMS testing and reliability assessment through simple
important consequences in terms of failure analysis modifications. Several examples of this will be given. In
techniques, physics of failure, accelerated life test, some cases dedicated instrumentation has to be used.
statistical analysis, etc. The requirements are very high
too: more than twenty-five-year life expectancy in MEMS reliability is often linked to materials related
uncontrolled outdoor stress conditions. reliability issues. It requires information on material
properties. Techniques and test structures that can be used
This tutorial will present the challenges faced by this to gather this information will be discussed.
young PV industry growing at an exponential rate. It will
focus on the reliability of the main components of It will be shown that several reliability issues in MEMS
photovoltaic systems, namely solar cells and PV modules can be solved by a proper material choice and design, by
but will also briefly cover entire systems, from residential packaging, or by applying alternative actuation schemes.
rooftops to utility-scale power plants.
[222] RELIABILITY CHALLENGES OF 3D
[211] THEORETICAL ASPECTS OF RELIABILITY INTEGRATION ― I. De Wolf – IMEC ―3D integration
STATISTICS AND DATA ANALYSIS ― K. Croes, P. is a technology that allows for the vertical stacking and
Roussel, and G. Groeseneken – IMEC ― The statistical connecting of layers of basic electronic components, such
aspects of different stages in reliability research will be as integrated circuits (IC). This technology promises better
theoretically discussed. Four such stages are considered: a) performance and smaller and cheaper systems, linking
the design of the test structures, b) the choice of test various designs and applications (logic, memory, analog,
conditions, c) the actual performance of the test and d) the passives, sensors, etc) together in 3D.
final data analysis.
• The influence of test structure and choice of failure However, as is the case for each new technology, this
criterion on the final result of a reliability study will might bring unknown reliability issues. 3D technology in
be discussed. general goes together with several non-standard processing
• The theory about planning reliability experiments will steps such as the fabrication and filling of high aspect-ratio
be covered. The question of how to obtain more through silicon vias (TSVs), the thinning of chips or
reliable estimates with a given amount of test samples wafers, and the stacking and interconnection of these
and test time will be addressed. chips. Not only each of these processing steps, but also the
• The specifications of a test system (resolution, total 3D stack brings new reliability issues.
accuracy, gradients, etc.) will be linked to the final
interpretation of the data. In this course various yield and reliability related
• The analysis of the final data will be the bigger part of challenges encountered in the 3D-SIC (stacked IC) process
the tutorial. Here, we will introduce the different which is under development in IMEC will be discussed.
failure types, failure time distributions, the least- This include problems related to
squares and maximum likelihood fitting method • TSV formation: stress and stability of the Cu in the
together with their specific confidence interval TSV, stress induced in the silicon and possible impact
calculation techniques. Besides this, two more on active devices, barrier issues, possible effects of
advanced models will be discussed: bimodal TSVs on the BEOL, effect of TSV on chip strength,
distributions and models for HBD distributions etc.
including SBD.
• Chip thinning: thinning-induced damage in the Si or strategies for coupling both types of protection design.
the devices, mechanical stress, potential thermo- Finally with the approach of 32nm and 22nm technologies
mechanical effects, wafer strength, etc. there is now a new paradigm shift in ESD target levels for
• Bonding: SnCu micro-bump reliability, IMC growth, realistic and practical qualification. This roadmap for ESD
Cu-Cu bonding issues, bonding-induced stresses and will be reviewed.
damage, particles, co-planarity issues, etc.
• Thermal issues in stacked ICs. [241] FAILURE ANALYSIS OF PHOTOVOLTAIC
MODULES AND CELLS ― G. Alers – USCS ― The
In addition some failure analysis challenges will be efficiency of a photovoltaic module can degrade through
discussed. many mechanisms. Isolating these mechanisms and
making quantitative measurements of degradation rates is
[231] DESIGN IN RELIABILITY IN ADVANCED the challenge of failure analysis. Failure mechanisms for
CMOS ― V. Huard – STMicroelectronics ― The modules and cells will be quite different. The normal
continuous scaling of CMOS technologies down to sub- procedure for understanding the failure of a module is (1)
micron range inevitably yields to reliability challenges measuring the electrical performance including light and
such as Negative Bias Temperature Instability (NBTI), dark IV curves, (2) visual inspection, and (3) thermal
Time-Dependent Dielectric Breakdown (TDDB) and Hot imaging. Based on the results of these initial screening
Carrier Injection (HCI). All these effects contribute to tests additional tests may be performed. This tutorial will
degrading transistor temporal performance though begin with an introduction to the basics of how to analyze
impacting overall product performance. Improvements in IV curves at the module and cell level. Thermal imaging
reliability were made historically by the means of process techniques will then be reviewed including far-infrared,
improvements which is proving to be more and more near infrared, lock-in thermography and thermal
difficult in advanced CMOS nodes. Supporting product reflectance imaging. Electroluminescence and
reliability in advanced nodes will require understanding, photoluminescence are additional evaluation tools that are
simulating and mitigating reliability aspects during both now commonly used for fully packaged modules. One of
the process development stage as well as during the design the most versatile techniques is Laser Beam Induced
stage. Current (LBIC) which has been used extensively at the cell
level to map out efficiency. At the cell level, most of the
Design for Reliability in advanced CMOS requires a set of failure analysis tools used for the microelectronics industry
predictive modeling and simulation tools called Design-In are also applicable to photovoltaic materials. A list of
Reliability. This set should integrate leading edge common failure modes for both modules and cells will be
reliability mechanisms, diagnose their impact on product presented with their characteristic signatures.
operations, and allow evaluating performance/reliability
trade-offs. [242] BUILDING IN RELIABILITY AND
QUALIFICATIONS OF PV ELECTRONICS
This tutorial will present both basic and advanced topics PRODUCTS ― P. Chaparala – National Semiconductor
on reliability modeling and simulation tools, including: Corp. ― In recent years, there has been a significant
underlying reliability physics of leading reliability increase in deployment of distributed Balance of System
mechanisms, transistor-level reliability modeling approach (BOS) products such as power optimizers and micro-
within the framework of compact models, some ageing inverters in the photovoltaic industry. Market for smart
effects in full-custom designs (SRAM, RFCMOS, Analog) solar panels, where IC electronics are integrated into
and simulation approach for hierarchical reliability panels for communication, security and power
analysis. optimization is expected to grow significantly in the near
future. Warranties of this new generation of power
[232] ADVANCED ESD DESIGN AND electronics products are expected to match with solar panel
QUALIFICATION ISSUES ― C. Duvvury – Texas warranties of more than 20-years in outdoor environments.
Instruments ― Component level IC ESD has been Lack of industry-standard reliability qualification tests and
constantly challenging for silicon technologies at every acceleration models makes it quite challenging to build
turn of the technology node scaling and with the and validate long-term reliability into PV-electronics
development of higher speed circuits. These include the products cost-effectively. In this tutorial, various PV-
effects from new transistor structures to the recent trend industry qualification standards, models and test methods
for SoC. This tutorial will first review the most common to qualify PV-electronics will be reviewed. Also, building-
protection design techniques used by the industry today in reliability methods at various product development
and then describe the severe impact coming from phases, including concept, design, prototype and
technology scaling on the design capability. Equally manufacturing of power electronic system products will be
important to the component ESD is the issue of system presented.
level protection at the board level. The tutorial will also
address this important topic and give an insight into the
2010 IRPS RELIABILITY YEAR IN REVIEW SEMINAR

James H. Stathis, IBM

GATE DIELECTRICS - Jason Campbell - The gate FAILURE ANALYSIS - Philippe Perdu - “More
dielectrics year-in-review includes a comprehensive Moore” and “More than Moore” trends have
examination of the past year’s reports which detail triggered incredible challenges for microelectronic
gate stack reliability issues and the corresponding failure analysis. It mostly concerns Fault Isolation,
physical mechanisms which limit the performance Chip access, Defect Localization, Sample Preparation
and lifetimes of advanced devices. The intent of this and Physical analysis. In addition to a general
presentation is to critically examine and publicize the overview through key papers, a more specific focus
most important advancements in understanding and on defect localization will show the advanced
mitigating various instabilities (BTI, TDDB, Noise, solutions developed to find the defect.
etc…) in a variety of technologically relevant (oxide,
oxynitride, and high-k) gate stacks. In addition, this
review includes a short discussion regarding NANO-CMOS - Shinichi Takagi - The Nano-
reliability issues involved with the adoption of electronics session in YIR will focus on the recent
alternative channel materials and the instabilities progress of nano-scaled MOSFETs. After brief
associated with resistive/phase-change memories. summary of advanced CMOS platform and the
critical issues, three directions of future nano FETs,
ultrathin body/3D CMOS, new channel material
INTERCONNECT RELIABILITY - Fen Chen - This CMOS and emerging ultralow voltage FET concepts
review will provide an overview of 2009-2010 will be reviewed.
publications dealing with interconnect reliability
mechanisms including breakdown of BEOL
dielectrics, electromigartion, and stress migration for
an IRPS audience. The literatures of recent trends in
BEOL interconnect process development such as
doped Cu seeds, metal-cap, and airgap for 45nm and
beyond, and in reliability analysis methodologies for
addressing interconnect reliability challenges also
will be reviewed.

You might also like