You are on page 1of 197

CONFERENCE ON ―SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)‖ MARCH 26-27 2011

Comparison of LFSR and CA as Pseudorandom


Number Generator
Ashutosh Mishra*, Dr. Harsh Vikram Singh**, S.P. Gangwar**
*Student (M.Tech), ** Astt. Prof. Dept. of Electronics Engineering, KNIT SULTANPUR

Abstract ciphers are often constructed using PRNG


such as linear feedback shift register (LFSR)
Pseudorandom number generator (PRNG) is or additive cellular automat (ACA) in
a Key element in stream cipher. This paper today‘s cryptographic scenario.
compares two techniques: Linear Feedback
Shift Register (LFSR) and Cellular LFSRs can be implemented in hardware,
Automata (CA), used for pseudorandom and this makes them useful in applications
number generation. Both LFSR and CA are that require very fast generation of a pseudo-
analyzed based on their construction and random sequence, such as direct-sequence
characteristics. A comparison of LFSR and spread spectrum radio. LFSRs have also
CA is presented to demonstrate their been used for generating an approximation
shortfalls and suitability to certain of white noise in various programmable
applications. sound generators.

Introduction An ACA is a cellular automaton whose rule


is compatible with an addition of states.
In cryptography, a stream cipher combines Typically, this addition is derived from
the plaintext bits with a pseudorandom
modular arithmetic. Additive rules allow the
cipher bit stream (key stream), typically by
an exclusive-or (XOR) operation. In a evolution for different initial conditions to
stream cipher the plaintext digits are be computed independently, then the results
encrypted one at a time, and the combined by simply adding. The results for
transformation of successive digits varies arbitrary starting conditions can therefore be
during the encryption. In practice, the digits computed very efficiently by convolving the
are typically single bits or bytes. evolution of a single cell with an appropriate
convolution kernel (which, in the case of
A stream cipher makes use of much smaller
and more convenient key— 128 bits, for two-color automata, would correspond to the
example. Based on this key, it generates a set of initially "active" cells).
pseudorandom key stream which can be
combined with the plaintext digits in a Linear feedback shift register
similar fashion to the one-time pad.
However, this comes at a cost: because the A linear feedback shift register (LFSR) is a
key stream is now pseudorandom, and not shift register whose input bit is a linear
truly random, the proof of security function of its previous state.
associated with the one-time pad no longer
holds: it is quite possible for a stream cipher The only linear function of single bits is
to be completely insecure. Binary stream XOR, thus it is a shift register whose input
COMO1O1-1
CONFERENCE ON ―SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)‖ MARCH 26-27 2011

bit is driven by the exclusive-or (XOR) of


some bits of the overall shift register value.
D Q D Q D Q
The initial value of the LFSR is called the
Xi-1 Xi Xi+1
seed, and because the operation of the
register is deterministic, the stream of values
produced by the register is completely
determined by its current (or previous) state. (Rule 150)
Likewise, because the register has a finite
number of possible states, it must eventually The next state (t+1) of the node Xi is
enter a repeating cycle. However, an LFSR determined by the current state X (t) of
with a well-chosen feedback function can neighboring nodesXi-1 and X i+1 for rule 90
produce a sequence of bits which appears and nodes Xi, Xi-1 and Xi+1 for rule 150. All
random and which has a very long cycle. the nodes of a CA register do not have to be
implemented with the same rule, different
Additive cellular automata
nodes can employ different rules. The first
Cellular automata evolve in step and the and the last nodes of a CA register have only
value of node depends on the value of one neighbor unlike all other nodes which
neighbors. An additive Automata (ACA) have two, hence normal rules cannot be
consists of a collection of cells/nodes applied here [5]. One solution is to assume
formed by flip-flops which are logically that the missing neighbor is fixed at logic ‗0‘
related to their nearest neighbors using (null boundary condition). The other
XOR/XNOR gates[5]. When the value of a solution assumes the last and first nodes to
node is deter-mined only by neighboring be neighbors and is connected using normal
cells the ACA is known as one-dimensional rules (cyclic condition). Connection
linear CA. The logical relations which relate between the end nodes (first and last nodes)
a node to its neighbors are known as rules introduces a feedback loop in the cyclic
and they define the characteristics of an boundary condition; this makes null
ACA. There are many rules which can be boundary condition a better choice.
used to construct an ACA register, the most
Comparison
popular being rules 90 and 150 illustrated in
figure . Building on the results of Serra, et.al [3] the
consequences of the similarity
D Q D Q D Q transformation between cellular automata
and LFSRs has been explored. By definition
Xi-1 Xi Xi+1 the LFSR obtained by a similarity
transformation of the transition matrix of a
CA has the same characteristic polynomial
as the original CA. It has been shown that
= (Rule 90) the characteristic equation determines the
recursion relation among the bits in the
COMO1O1-2
CONFERENCE ON ―SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)‖ MARCH 26-27 2011

output bit sequence of a CA. This implies An LFSR can be implemented using only a
that the same linear dependencies exist in few XOR gates whereas a CA requires at
the output bit stream of a CA as in the least one XOR gate for each node. This fact
output of the similar LFSR. brings up an obvious draw-back of CA:
Higher area overhead involved in
LFSR and CA are characterized by their implementation of CA compared to an
transition matrices, the analysis of these LFSR. So, the designer has to pay a penalty
matrices along with simulations give the on the area over-head by choosing CA over
measure of the randomness in the patterns LFSR.
generated; these measures show the higher
randomness of patterns produced by CAs. In ACA the communication is generally
Parallel patterns generated by LFSRs (using local, being restricted to the nearest
outputs from different nodes of an LFSR) neighboring cells and cells are regular and
have a strong correlation between each other topologically equivalent to one another but
due to the shifting of data. Pattern in the case of LFSR these property does not
generation in CAs does not involve shifting exist [2].
of data.
Following table shows the summary of
There is greater probability of an error in comparison
LFSR by aliasing compared to ACA due to Characteristics LFSR ACA
shifting of data in LFSR [1]. In case of CA Performance Very good Good- no
each node value is a function of the in case of feedback
neighboring nodes resulting in a lower internal path and
probability of an error. The presence of feedback, maximum
XOR gates in the feedback path of an poor for
on XOR
External Feedback LFSR and lack of a external gate
feedback path in a null boundary condition feedback between
results in higher operating speed for CAs. node
Randomness of Low- High – no
LFSR have a feedback from their end nodes; generated shifting of shifting of
this means a redesign of the LFSR is needed pattern bit causes bit
if the pattern length has to be changed. This correlation
is not the case with ACA. ACA is logically between
connected to their only to their neighbors pattern
and there is no feed-back for an ACA CAD Friendly No- Yes- node
employing the null boundary condition [5]. requires can be
Therefore, the pattern length generated by redesign for cascaded
CAs can be easily changed by cascading the change in easily
nodes. The regular structure of the nodes for pattern
CA makes them ideal for CAD tools by length
providing the much needed flexibility in Speed Lower than Higher than
design. However, it is difficult to construct a CA LFSR
maximum length sequence CA as compared Error Greater- by Lower- no
to an LFSR which can be constructed using Probability aliasing due shifting of
the primitive polynomials which are very to shifting bit
well documented.
COMO1O1-3
CONFERENCE ON ―SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)‖ MARCH 26-27 2011

of bit [5] S. Nandi, B. K. Kar, and P. Pal


Conclusion Chaudhuri, ―Theory and Applications of
Cellular Automata in Cryptography,‖ IEEE
LFSR has been well researched and provide Transactions on Computers, vol. 43, no. 12,
a compact and simpler circuit for application December 1994.
using polynomial division and generation of
patterns. Their popularity is owed to simple [6] S. Zhangt, R. Byrnel, J.C. Mutiot, D.M.
and compact design for cryptographic Miller, ―Why Cellular Automata Are Better
application they find use in pseudo random Than LFSRs as Built-In Self-Test
number generation. But research has shown Generators for Sequential-Type Faults,‖
some of the shortfalls of the LFSR can be IEEE International Symposium on Circuits
overcome by the use of Cellular Automata. and Systems, Vol. 1, 1994.
ACA use larger nodes as compared to the
LFSR but provide much more random [7] N. M. Thamrin, G. Witjaksono, A.
patterns and can be easily cascaded for Nuruddin, M. S. Abdullah, ―An Enhanced
design flexibility. Hardware-based Hybrid Random Number
Generator for Cryptosystem,‖ International
References Conference on Information Management
and Engineering, 2009.
[1] M. Serra, T. Slater, J. C. Muzio & D. M.
Miller, ―The Analysis of One Dimensional [8] Paul H. Bardell, ―Analysis of Cellular
Linear Cellular Automata and Their Automata Used as Pseudorandom Pattern
Aliasing Properties,‖ IEEE trans. On CAD, Generators,‖ IEEE International Test
pp. 767-778, 1990. Conference 1990.

[2] Ph. Tsalides, T.A. York, A. Thanailakis,


―Pseudorandom number generators for VLSI
systems based on linear cellular automata,‖
IEE Proceedings-e, Vol. 138, no. 4, July
1991.

[3] M. Serra, D. M. Miller, J. C. Muzio,


―Linear cellular automata and LFSRs are
isomorphic,‖ Proc. Third tech. Workshop
on new dir. For IC Testing, Halifax N.S. Pp.
213-223, oct. 1988.

[4] Pino Caballero-Gil, Amparo Fúster-


Sabater, Oscar Delgado-Mohatar, ―Linear
Cellular Automata as Discrete Models for
Generating Cryptographic Sequences,‖
Journal Of Research And Practice In
Information Technology, Vol.40, No.4,
November 2008.

COMO1O1-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

COST-EFFECTIVE MARKOV BASED SEGMENTATION

S.Robinson Sobitha Raj Mrs.V.S.Bindhu


M.E., Applied Electronics, Asst. Professor, EEE dept
Noorul Islam University, Kumaracoil. Noorul Islam University, Kumaracoil
robins.singh@yahoo.co.in bindhuvs2003@gmail.com
.
Abstract—Image segmentation is an important The main theme of this paper is to design a
preprocessing step in a sophisticated and complex classifier to minimize the expected cost of misclassification
image processing algorithm. In this paper we are going with class- dependent misclassification cost. We denote it
to introduce a procedure to minimize the as a cost-effective classifier. The cost-effective classifiers
misclassification cost with class-dependent cost. This studied much with the name “ROC convex analysis” in
procedure assumes the hidden Markov model (HMM) machine learning literature [2], [3], [5]-[7] [9], [10], where
which has been popularly used for image segmentation targets to be classified are often independent to each other.
in recent years. We represent all feasible HMM-based However, it has rarely been studied in the frame work of
segmenters (or classifiers) as a set of points in the image segmentation, in which targets are dependent from
receiver operating characteristic (ROC) space. Then, the Markovian model. In this paper, we introduce the ROC
the optimal segmenter (or classifier) is found by convex analysis procedure for HMM-based image
computing the tangential point between the iso-cost line segmentation to consider class-dependent misclassification
with given slope and the convex hull of the feasible set cost.
in the ROC space. We illustrate the procedure by The ROC convex analysis draws great attention
segmenting aerial images with different selection of in the machine learning society. In a two-class problem
misclassification costs. (positive and negative class), the ROC curve (or set) is the
plot of the probability of false positive decision (false
Index terms --- Convex hull, hidden Markov models, positive rate, FPR) and that of true positive decision (true
image segmentation, iso-cost line, ROC convex analysis, positive rate, TPR). The ROC convex hull analysis finds an
ROC curve. optimum point in an ROC space to minimize the
misclassification cost of classifier τ, which is defined as
I.INTRODUCTION (1)
Where implies false negative rate which is equal
to .Once misclassification cost is given, we
Image segmentation extracts explicit information
about content, and it allows human observers to understand have a family of iso-cost lines with slope
images clearly by focusing specific regions of interest. For
this reason, it is often used as an initial procedure to On which costs of classifiers are the same. Then, the ROC
simplify a sophisticated and complex image processing convex analysis finds the optimal classifier as the classifier
system. Segmentation often requires smooth boundary whose (FPR, TPR) pair is the tangential point between
between regions for different classes, and hidden Markov these iso - cost line and the convex hull of the ROC curve.
model (HMM) is possibly one of the most popular models The ROC convex analysis requires the entire set of feasible
for it [4], [8], [11]. The HMM assumes that the true hidden classifiers. In the HMM based segmentation, the model has
class Markovian dependency and, thus, has smooth two unknown parameters which are estimated in
boundary between segmented regions. The popularly used segmenting the image. Fixing these two parameters, not
Markov models have two parameters, which we denote by estimating, provides the set of classifier C (γ, β) s which are
and β, where indicates the popularity of each class over indexed by . The ROC set is the set of error rates
images and the other β indicates the strength of spatial s of classifier . We denote its convex
coherence. The parameters are estimated in segmentation hull as .
procedures or pre-decided by an expert. The set should be characterized to find the
In real examples, the cost of misclassification can most cost effective classifier, but it s not computationally
depend on the classes. For example, in cancer diagnosis, feasible. In this paper, we provide an alternative way which
misclassifying tumor cells pays much higher cost than does not compute the entire set , but we approximate its
doing normal cells to tumor cells; or, segmenting and boundary. We find that the tangential point between the iso
detecting military targets, mistakenly detecting targets to – cost line with given slope and exists on the boundary
non targets may cost more than the other type of of , which we denote as . Also, we find that is the
misclassification. However, all existing segmentation convex hull of the boundary of . Hence, we suggest
procedures do not take into account the cost of to compute or approximate . To approximate the
misclassification, particularly the unequal cost that depends boundary of , we fix the parameter γ but estimate β in
on the classes. segmenting the target images. We compute
the of classifiers in s.

COMO1O2-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

We apply the proposed procedure to segment model prior. We will see details on HMM-based
aerial images with two classes, “man- made region” and segmentation in the next section.
“natural region”, which could be used for target recognition S2) we compute FPRs and TPRs of classifiers in C and plot
and tracking. Here, the cost of misclassifying targets (or them. This defines the region in the ROC space. Further,
man-made regions) is higher than that of misclassifying we get the ROC convex hull region of .
non targets (or natural regions) to targets. The results show S3) we find the tangential point between the line with slope
that, as the misclassification cost of man-made regions in (2) and the ROC convex hull region . The classifier
increases, the HMM based segmenter tends to classify both corresponding to the found tangential point is the most
man-made and natural regions to man-made ones. Thus, the cost-effective classifier.
rate of falsely classifying into man-made regions increases.
The remainder of this paper is organized as follows. We
introduce ROC convex analysis in section II. We study its
application to HMM based segmentation procedure in
section III. In section IV, We apply the proposed
procedure to segment an aerial image. Section V concludes
this paper.

II. ROC CONVEX ANALYSIS

In a binary classifier, the ROC curve (or space)


plots two accuracy measures of a classifier, FPR and TPR.
Suppose we use a continuous classification score X to
diagnose a certain disease, and we classify a subject into a
disease (positive) group if his/her score X is higher than a Fig.2.1. Illustration of ROC convex hull analysis
given critical point ; otherwise, we classify him/her into
non disease group (negative) group. The ROC curve plots a III. COST MINIMIZATION IN HMM-BASED
series of (FPR, TPR) pairs produced from different choices IMAGE SEGMENTATION
of .
The optimal ROC curve is the one produced by In this section, we find the HMM-based classifier
the classifiers which has the maximum TPR given FPR. to minimize the (expected) cost of misclassification (1)
The optimal ROC curve has several geometrical properties (ECM).
including convexity. Suppose it is not convex on an To get better understanding of the problem, we
interval , where a and b correspond to critical begin with HMM without spatial coherence such as GMM
values and in the way that the FPR at and is a [1]. The model assumes that the testing image is composed
and b, respectively. Then, for the diagnosis with critical of many independent sub-blocks, say X s for k = 1, 2, …,
values , we find a better diagnostic system K. The model has unknown parameter γ which indicates the
(classifier) which has the same FPR but higher TPR by prevalence of each class. We let γ be the ratio of prior
randomly choosing between two diagnoses with critical probability of positive class (class P) to that of negative
values and . Thus, the convex hull of the observed class (class N). Then, given γ, the optimal classifier to
ROC curves represents the ROC curve of the set of minimize the ECM among all classifiers is the maximum a
potentially optimal classifiers. We let in ROC space be posteriori (MAP) classifier that assigns x to class N, for
the set of s of all classifiers we consider, and each k, if
be the set of their random mixtures. Then, is the
smallest convex region which contains . (3)
The goal of this paper is to find the classifier
which minimizes he cost (1) among classifiers in . Where fn (x) and fp(x) is the probability density
Since , function of class N and class P, respectively. In GMM, both
fn(x) and fp(x) are density functions of the GMM.
Suppose we denote the classifier in (3) given γ as C (γ), and
– (2)
their collection as F. We further find that this collection D
is invariant to the cost ∞. In other words, we always have
We represent the cost functions s in the
same collection regardless of what ∞ we choose.
ROC space. Then, the intercept of the iso-cost line (2) is In practice, to find the optimal classifier, we set to be an
– and, as in Fig. 2.1, the point to minimize the arbitrary fixed number, and we consider the set of
cost is the tangential one between the line with slope and conditionally optimal classifiers which minimize the cost of
the convex area . misclassification given γ > 0. We let D be the set of their
In summary, proposed procedure to find the cost- FPRs and TPRs in the ROC space. Following the steps in
effective classifier has the following steps: the previous section, we get D and find the tangent point
S1) we define a set of classifiers, say C, to be considered. and γ between the line (2) and D.
In case of HMM-based segmentation, this becomes a set of Now, we move to the HMM-based segmenter.
classifiers corresponding to each of two parameters Ising The HMM based segmenter assumes that the hidden
process is from the Markov random field having two

COMO1O2-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

unknown parameters γ and β; the parameter γ is the between iso-cost lines and . The approximated boundary,
parameter that represents the magnitude of magnetization denoted by , is a curve from (0, 0) to (1, 1). Finally,
of the random field which implies the dominance of class P is approximated by the convex hull of , and the optimal
against class N in common words; it is also related to the classifier is found using the procedure in Section II.
ratio of the prior probability of P to N; β is the parameter to
measure the strength of spatial coherence. For example, the IV. EXPERIMENTAL RESULTS
HMGMM model [11] uses the generalized bond
percolation (BP) model. Let Z = {} with Z = – 1 or 1: Z = 1 In this section, we apply the procedure in Section
and Z = – 1 implies the class P and N, respectively. The III to segment the aerial image with HMGMM with
generalized BP assumes that the probability of Z is generalized BP model in (4). The aerial image is composed
(4) of many sub-blocks which are classified into “natural”
Where (Z) ( (Z)) is the number of concordant (dis- regions or “man-made” regions. We call the “natural” sub-
concordant) adjacent pairs which are neighbors to each block as “negative” and “man-made” one as “positive.”
other. Here, ) is the partition function that is The HMGMM model has two parameters γ and β which
reflects the overall portion of man-made and spatial
coherence between adjacent sub-blocks, respectively. Each
introduces a classifier, say , and a point of
(FPR, TPR) in the ROC space. We let be D the collection
of all these s. The input image taken is
illustrated below.

Fig.4.1. Input image

In the experiment, we vary from 0.35 to


Fig.3.1. ROC convex Hull for HMGMM Classifiers 2.85 by 0.1, and we get the empirical FPRs and TPRs from
the segmented results . We then compute the convex hull
As in the spatially uncorrelated model, we consider the of ( s of s to approximate the convex
collection F of MAP classifier C , which, given γ and hull of D. We denote the convex envelope as . Fig. 2
β, assigns the observed image i,j = 1, 2, …, n} to plots s and their convex envelope in the ROC
. We let C be the MAP space. We find the optimal classifier to minimize the
classifier, given γ and β, and F be the collection misclassification cost (1) is the one that corresponds to the
of . We further define D and similarly. To find tangential point between the (2) and .The is
the optimal classifier, again, we compute the tangential piecewise linear function with 11 supporting points (points
point between the line (2) and , the convex hull of D in the
that have changes in slope). Each point on is the
ROC space.
optimal classifier to minimize the cost for a specific choice
In HMM-based segmenter, evaluation of D is
of .
computationally quite intensive in practice. Here, we
introduce a suboptimal but fast algorithm for it. Many segmented image

algorithms are studied from deterministic annealing to


simulated annealing to Markov chain Monte Carlo method
to find the MAP. They often assume γ=0 and estimate β,
the parameter of spatial coherence in finding the MAP. For
example, in [11], the parameter β is estimated using the
maximum likelihood estimate (MLE) along with the Gibbs
sampler. The MLE is (Z)|X}/total no of
edges), and we approximate the right hand side of the
equation using Monte Carlo method to get the estimate.
We let be the estimate of β given γ, and we Fig.4.2. Segmented image
approximate the boundary points of with FPRs and TPRs
of by moving γ. As stated before, knowing Now, we report some details of the analysis for = 0.5,1,
boundary is sufficient to find the tangential point and 2. The noise mixed image is given by,

COMO1O2-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

noised image V. CONCLUSION

We propose a procedure to find the optimal


classifier to minimize the misclassification cost based on
HMM. The cost function to minimize allows unequal cost
that depends on the true class. The optimal classifier is
given as a classifier whose error rate pair (FPR, TPR) is the
tangential point between the iso-cost line (2) and the
convex hull of feasible set of error rates by HMM-based
segmenters. We apply the procedure to segment an aerial
image with different selection of unequal misclassification
cost.
The procedure in this paper does not depend on a
specific type of image but is applicable to a wider class of
images and HMM-based classifiers for them. The same
procedure can be extended to other applications such as
. Fig.4.3. Noised image
cancer diagnosis based on MRI imaging or 3-D temporal
images. A possible difficulty in these extension is from
Here, the high value of implies high cost of
obtaining , the boundary of the ROC convex space. The
misclassification of “manmade” sub-blocks (to “natural”
current suboptimal algorithm could be more exhaustive as
ones). Thus, as .increases, the segmenter tends to classify
the number of HMM parameters increases, and it might
both “natural” and “man-made” blocks to “man-made”
provide rough approximation if the size of training data sets
ones. The enhanced image is given by,
enhanced image
is not enough. This would be our next step to move.

VI.REFERENCES

[1] A. Aiyer, K. Pyun, Y. Huang, D. B. O’Brien, and R. M.


Gray, “Lloyd clustering of Gauss mixture models for image
compression and classification,” Signal Process.: Image
Commun., vol. 20, pp. 459–485, Jun. 2005.
[2] H. Blockeel and J. Struyf, “Deriving biased classifiers
for improved ROC performance,” Informatica, vol. 26, pp.
77–84, 2002.
Fig.4.4. Enhanced image [3] R. Caruana and A. Niculesen-Mizil, “An empirical
comparison of supervised learning algorithms,” in Proc.
23rd Int. Conf. Machine Learning, 2006, pp. 161–168.
The analysis graph is given below:
[4] P. A. Devijver, “Segmentation of binary images using
third order Markov mesh image models,” in Proc. 8th Int.
Conf. Pattern Recognition,
1986, pp. 259–261.
[6] P. A. Flach and S. Wu, “Repairing concavities in roc
curves,” in Proc. 19th Int. Joint Conf. Artificial
Intelligence, 2005, pp. 702–707.
[7] D. M. Gavrila, J. Giebel, and S. Munder, “Vision-based
pedestrian detection: The protector system,” in Proc. IEEE
Intelligent Vehicles Symp., 2004, vol. 13–18.
[8] J. Li, A. Najmi, and R. M. Gray, “Image classification
by a two dimensional hidden Markov model,” in Proc. Int.
Conf. Acoustics, Speech, and Signal Processing, 1999, pp.
3313–3316.
[9] R. C. Prati and P. A. Flach, “Roccer: An algorithm for
Fig.4.5. Analysis graph rule learning based on ROC analysis,” in Proc. 19th Int.
Joint Conf. Artificial Interlligence, 2005, pp. 823–828.
Finally, the original HMGMM in [11] is the classifier [10] F. Provost and T. Fawcett, “Robust classification for
with . Its FPR and TPR is 0.22 and 0.97, imprecise environments,” Mach. Learn., vol. 42, pp. 203–
respectively, which is close to the (approximated) boundary 231, 2001.
of . Some computation shows that the HMGMM is close [11] K. Pyun, J. Lim, C. S. Won, and R. M. Gray, “Image
to the optimal for between 0.16 and 0.22. segmentation using hidden Markov Gauss mixture
models,” IEEE Trans. Image Process., vol. 16, no. 7, pp.
1902–1911, Jul. 2007.

COMO1O2-4
Performance Comparison of VANET Routing Protocols

NITIN SHARMA POOJA RANI


Department of Computer Science and Engineering Department of Information Technology
MNIT, Allahabad, India ITM, Sector-23A, Gurgaon, India
nsharma283@gmail.com me_pooja@msn.com
Mobile: +91 8861 6365 11 Mobile: +91 9899 7470 33

ABSTRACT typical task for dynamic protocols as


management of vehicle movement is quite
Vehicular Ad-hoc Network (VANET) is a complex. There is a need to update entries in the
collection of communication vehicles, moving route finding node [4]. VANET is not restricted
in different directions. The vehicles form a up to Vehicle-to-Vehicle communication, it takes
communication group to disseminate desired benefits of road side infrastructure that can also
information. Various routing protocols are participate in communication between vehicle
implemented in a VANET, each having benefits [5], but in this paper the main focus is on
and shortcoming in the domain of Vehicle-to-Vehicle communication.
implementation. In this paper performance of
There are various challenges for VANET
three routing protocols, namely Ad hoc On-
such as high speed of vehicle, dynamic route
Demand Distance Vector Routing (AODV),
finding, building, reflecting objects, roadside
Destination Sequenced Distance Vector (DSDV)
objects, other obstacles in path of radio
and Dynamic Source Routing (DSR) is
communication, different direction of vehicles,
compared for different parameters. The
concern about privacy, authorization of vehicle,
protocols are simulated on Network Simulator-
security of data and sharing of multimedia
2(ns-2).
services. High speed of vehicle requires regular
Index Terms update of routing table whereas dynamic route
Routing protocols, Simulation, VANET, Vehicle- finding would result into high time loss before
to-Vehicle Communication, WAVE. static communication [6].
Various user group among VANET are
getting popular, mostly it is used in traffic
I - INTRODUCTION management agencies, highway safety agencies,
law enforcement agencies and emergency
services.
VANET is an ad-hoc network formed between
vehicles as per their need of communication. In The main service provided through
order to develop a VANET every participating VANET are GPS navigation system, electronic
vehicle must be capable of transmitting and payment of toll tax, authenticity of vehicle
receiving wireless signals up to range of three without human intervention, traffic message.
hundred meters. VANET communication range is Internet access, broadcasting of traffic scenario
restricted up to one thousand meters in various and multimedia streaming.
implementation [1]. The performance of a As most of the properties of a Mobile Ad-
VANET remains optimum within one thousand hoc Network (MANET) are common with the
meters and beyond that it is not feasible to VANET, various MANET routing protocols are
communicate among vehicles because of high used in VANET [7]. Basic difference between
packet loss rate [2],[3]. Finding optimum path is MANET and VANET is that under VANET

PERFORMANCE COMPARISON OF VANET ROUTING PROTOCOLS 1


movement of vehicle is at high speed and less short interval of time and are stored in additional
random as compared to MANET, existing table. Routes are selected with the latest entry in
MANET routing protocols are not compatible the table. DSDV is good for networks where
with VANET. VANET routing protocols are location of nodes are less dynamic. If position of
broadly divided into two categories Table Driven a vehicle changes very often, its performance
protocols and Source Initiated on Demand goes down because more Full Dump Packets are
protocol. In Table Driven protocol each vehicle needed to sent in the network, resulting into
maintains a table of neighborhood vehicles wastage of bandwidth.
within its communication range and any change Dynamic Source Routing (DSR)
in vehicle position is updated regularly. In Source
Initiated on Demand protocol, firstly source It is Source Initiated on Demand routing protocol
vehicle broadcasts a query to find route up to used in VANET and is based on link state routing
node gets a route up to the destination node. The algorithm. When a vehicle wants to communicate
destination route is replied back to the source data to another vehicle, firstly it finds route up to
node via same path. In this paper, the that vehicle. For route discovery, source vehicle
performance of following three routing protocols initiates a route request (RREQ) packet in the
are compared: network and other nodes forward the RREQ by
changing their name as sender. Finally when
RREQ packet reaches to the destination vehicle
Ad Hoc on-Demand Distance Vector Routing (AODV) or to a vehicle having path to the destination
vehicle, a route reply (RREP) packet is unicasted
It is a Source Initiated on Demand routing
to the sender node. If the reply is not received,
protocols used in VANET. In this protocol every
the source vehicle restarts aggressive discovery
vehicle maintains a route information of every
of route up to the destination vehicle.
vehicle. It uses sequence number concept to
acknowledge the entry update time and time The simulation setup is discussed in the next
stamp based concept for table entry. If a table section and results are presented in section III.
entry is not used within a certain time limit, it Section IV describes conclusion and future scope.
will be deleted from table and if there is any
breakage in linking with a vehicle to another II - SIMULATION SETUP
vehicle, route error (RERR) packet is forwarded
so that vehicle route is effectively updated in the The Protocol stack of VANET consists of two
routing table. combination of standards Dedicated Short Range
Destination Sequenced Distance Vector (DSDV) Communication (DSRC) and Wireless Access in
Vehicular Environment (WAVE). DSRC
It is Table Driven routing protocol which is used contains three layer – Physical Layer, Media
in VANET and is based on classical Bellman- Access Control (MAC) Layer, and Logical Link
Ford algorithm. Initially every vehicle broadcasts Control (LLC) Layer. The layers make
its own route tables to its neighbor vehicles. The communication possible in wireless environment.
neighbor vehicles update routing table with the WAVE lies on top of the DSRC layer. WAVE is
help of two type of packets- Full Dump Packet also known as IEEE P1609 and is used as a
and Incremental Packet. Full Dump Packet standard for communication, which is further
contains information about every participating classified as follows:
vehicle in the VANET. These packets are
transmitted periodically after a long time interval. • IEEE P1609.1: It is standard for resource
Incremental Packet contains updated change in manager, which defines the key resources for
vehicle position since last Full Dump Packet. data-flow and other resources as well as the
These packets are transmitted periodically in command messages.

PERFORMANCE COMPARISON OF VANET ROUTING PROTOCOLS 2


• IEEE P1609.2: It is standard for security behavior of queue and its adaptability criteria are
services in application and controlling carefully considered.
messages, encoding and decoding of In the simulation work, the available
messages. standard and data units are followed. Ns-2
• IEEE P1609.3: It is the standard for network produces output in the form of trace file which is
services and involves the key features of further processed by shell scripting to calculate
network and transport layer such as IP the desired parameter. Shell scripting and awk
addressing, information passing and security are widely used to process trace files.
while switching services.
III - SIMULATION RESULT
• IEEE P1609.4: It is the standard for MAC
layer support and increases communication
capacity of node. Also it provides The comparison of routing protocols are done on
multichannel operation to maintain Quality of the basis of following parameters:
Service (QoS) with high speed. Average Number of Hop Count:
It is the number of vehicles running between
The ns-2 is used to evaluate performance of source and destination and it signifies error in the
routing protocols in VANET. It is a network network. Time to Live (TTL) is decided on the
simulator and is also widely used for VANET basis of Hop Count, which helps in avoiding the
related simulation work [8]. Ns-2 provides a congestion in the network.
standard for IEEE 802.11p simulation in the form Average Jitter Rate:
of tcl scripts. Also various types of
communication patterns, traffic scenarios and It is the delay between two consecutive packet
resources are available with ns-2. delivery at a node. Quality of Service (QoS) of
the network is measured by Average Jitter Rate.
The simulation grid is 500m x 500m. in which
the initial position of every vehicle is specified at Packet Delivery Ration:
the starting time of simulation by calling C++ The fractional of total packets received at a node
object and the destination of every vehicle is set to the packets sent by the node. It is associated
after a certain time interval. The vehicle approach with the QoS and bandwidth utilization in the
their destination with variable speed. In network.
simulation, three input parameters are provided
Routing Overhead:
namely, speed of vehicle vary between 10MPH to
100MPH, number of vehicles vary between 20 to It is the ration of number of MAC packets and
100 and distance between vehicles very between total number of packets sent, Routing Overhead
20m to 100m. for the traffic purpose, Constant increases with increases in vehicle density.
Bit Rate (CBR) traffic with a fixed packet size is Throughput:
used. The vehicle antenna is omni directional and
its transmission range is 150m. The channel data Throughput is the sum of data to all the nodes in
rate is 2Mbps. IEEE 802.11p standard is used for the system during a period. In a time interval the
vehicle-to-vehicle communication, which is throughput reflects the bandwidth utilization.
available is ns-2 are wireless support [9]. It For each of the above parameters, various traffic
follows most of the WAVE standard, Nakagami scenarios are simulated by changing the number
model is used for radio propagation, which is the of vehicles, distance between vehicles and
best model for WAVE environment [10]. While vehicle speed. The effect of these changes in the
implementation a routing protocol in ns-2 the routing protocols are analyzed and results are
shown below.

PERFORMANCE COMPARISON OF VANET ROUTING PROTOCOLS 3


Fig 1: Jitter Rate with various vehicle distances Fig 3: Routing Overhead with various vehicle distances

Fig 2: Packet Delivery Ratio with various vehicle distances Fig 4: Throughput with various vehicle distances

Figure 1 shows the Jitter Rate variation at Figure 3 shows the Routing Overhead at various
different distances between vehicles. It is distances between vehicles. It is observed that
observed that DSR Jitter Rate remains lowest DSR Routing Overhead is lowest, AODV and
throughput the interval of observation, while DSDV Routing Overhead remain similar from
DSDV and AODV show comparatively higher 20m to 100m but after 100m AODV Routing
Jitter rate. Overhead is going down.

Figure 2 shows the Packet Delivery Ratio at Figure 4 shows the Throughput with various
various distances between vehicles. It is observed vehicle distances is shown. Clear inference from
that DSR Packet Delivery Ratio remains high graph DSR Throughput is highest. AODV
during entire duration of observation. AODV Throughput remains in between other two and
Packet Delivery Ratio in between other two and DSDV Throughput is lowest among all three.
DSDV Packet Delivery Ratio is lowest among
three.

PERFORMANCE COMPARISON OF VANET ROUTING PROTOCOLS 4


In the simulation work, we compared the AODV performs better than DSDV in most of the
performance of AODV, DSDV and DSR on parameters because of its time limit in table entry
fifteen criteria as shown in Table I. It is observed usages. That benefits in Throughput, Packet
that no protocol performs good in all the Delivery Ration and some times that also benefits
conditions. One protocol performs well in in Throughput Routing Overhead. DSDV
specific domain area and others in different Performance is worst among three protocols, it
domain area. uses updation of table and depends on table the
unnecessary decreases Packet Delivery Ratio,
DSR had better performance than other two
increases number of Hop Counts and decreases
protocols because of its dynamic route finding
Throughput.
nature that decreases Hop Count as every time it
increases portability of finding optimum route. It
also increases Packet Delivery Ratio. Routing
Overhead is also decrease because in dynamic IV - CONCLUSTION AND FUTURE WORK
network it is found that most of the maintain any
routing table that benefits in Hop Count, it is
increase Throughput of network by reducing
On the basis of simulation results presented in
regular update packet.
Table I for different traffic scenario and for three
protocols, performance of DSR has been found to
TABLE I be better than that of AODV and DSDV. The
performance results are summarized for
PARAMETER COMPARISION OF ROUTING PROTCOLS
Highway, Urban and Freeway traffic scenarios in
Table II.
Parameters Variables Routing Protocols
From the parameter values characterizing the
AOD DSDV DSR
V
three traffic scenarios. DSR is found suitable for
HOP COUNT
Highway and Freeway traffics, whereas AODV is
Dist. b/w Vehicles L M H
suitable for Urban traffic scenario.
HOP COUNT No of Vehicles L H M
Thus it can be concluded that a single protocol
HOP COUNT Speed of Vehicles L M H
doesn’t give best performance in all traffic
Jitter Rate Dist. b/w Vehicles M H L scenarios. Since traffic scenarios change
Jitter Rate No of Vehicles M H L throughput the day, some part of hybrid adaptive
Jitter Rate Speed of Vehicles M H L protocol would give better performance.
Packet Del Ratio Dist. b/w Vehicles M L H
Packet Del Ratio No of Vehicles H L M TABLE II
Packet Del Ratio Speed of Vehicles H L M DIFFERENT TRAFFIC SCENARIO
Routing Dist. b/w Vehicles H M L Traffic Scenario Freeway Highway Urban
Overhead

Routing No of Vehicles H M L Distance b/w Vehicles Small Large small


Overhead

Routing Speed of Vehicles H M L Density of Vehicles Low Low High


Overhead

Throughput Dist. b/w Vehicles M L H High Speed of Vehicles No Yes No


Throughput No of Vehicles H L M
Suggested Protocol DSR DSR AODV
Throughput Speed of Vehicles H L M
Abbreviation: L- Low Value, M- Medium Value & H- High Value

PERFORMANCE COMPARISON OF VANET ROUTING PROTOCOLS 5


REFERENCES
[6] Valery Naumov, Rainder Baumann and Thomas
Gross, An Evaluation of Inter-Vehicle Ad-hoc
Networking Based on Realistic Vehicular races, ACM
[1] Yi wang. Akram Ahmad, Bhaskar Krishnamachari International Symposium on Mobile AdHoc
and Konstantinos Posunis, IEEE 802.11p Performance Networking and Computing, 2006.
Evaluation and Protocol Enhancement. IEEE
International Conference on Vehicular Electronics and
Safety, 2008. [7] D. Rajini Girinath and Dr. S. Selvan, Data
Dissemination to regulate vehicular traffic using
HVRP in urban mobility model, International Journel
[2] Bo Xu, Aris Ouksel and Ouri Wolfson, of Recent Trends in Engineering, 2009
Opportunistic Resouce Exchange in Inter-Vehicle Ad-
hoc Networks, International Conference on Mobile
Data Management, 2004. [8] Victor Cabrea, Francisco J. Ros and Pedro M.
Ruiz, Simulation based Study of common Issues on
VANET Routing Protocols, IEEE Vehicular
[3] Lin Yand, Jindua Guo and Ying Wu, Piggyback Technology Conference, 2009.
Cooperative Repetition for Reliable Broadcasting of
Safety Message in VANET’s IEEE Internatitional
Conference on Consumer Communication and [9] Djamel Djenouri, Wassim Soualhi and Elmalik
Networking, 2009, pp-1-5 Nekka, VANET’s Mobility and Overtaking: An
Overview, International Conference on Information
and Communication Technologies, 2008, pp1-6
[4] Katrin Bilstrup, Elisabeth Uhlemann, Erik
G.Strom, and Urban Bilstrup, On the Ability of the
802.11p MAC Method and STDMA to support Real- [10] Christoph Sommer, Isbel Dietrich and Falko
Time Vehicle-to-Vehicle Communication. EURASIP Dressler, Realistic Simulation of Network Protocols in
Journel on Wireless Communication and Networking VANET Scenarios. International Conference on Mobile
2009. Networking for Vehicular Environments, 2007

[5] Rainer Baumann, Simon Heimilicher and Martin


May, Towards Realistic Mobility Models for Vehicular
Ad-hoc Networks. IEEE International Conference of
Mobility Networking for Vehicular Environment,
2007.

PERFORMANCE COMPARISON OF VANET ROUTING PROTOCOLS 6


Greedy Grid Scheduling Algorithm in Static Environment

POOJA RANI NITIN SHARMA


Department of Information Technology Department of Computer Science & Engineering
ITM, Sector-23 A, Gurgaon, India MNNIT, Allahbad, India
E-mail: me_pooja@msn.com E-mail: cs0802@mnnit.ac.in
Mobile: +91 9899 7470 33 Mobile: +91 8861 6365 11

Abstract— Grid scheduling is a technique by which the


user demands are met and the resources are efficiently algorithm chooses a resource on the basis of processing
utilized. The scheduling algorithms are used to minimize power, job requirement and time to start at that resource.
the jobs waiting time and completion time. Most of the The next section describes details about system model.
minimization algorithms are implemented in homogeneous Section 3 describes the proposed scheduling algorithm in
resource environment. In this paper the presented static job submission environment. In section 4 the
algorithm minimize average turnaround time in experimental details and the results of experiments are
heterogeneous resource environment. This algorithm is presented with comparison of some existing algorithms. In
based on greedy approach which is used in static job section 5 conclusions and suggestions for future
submission environment where all the jobs are submitted improvements are proposed.
at same time. Taken all jobs independent the turnaround
time of each job is minimized to minimize the average II. SYSTEM MODEL
turnaround time of all submitted jobs. A grid is considered as the combination of multiple layers. In
our model the whole system is composed of three layers
Keywords- greedy, grid, heterogeneous, high performance (Fig.1). The first layer is the user application layer in which
computing, scheduling. the user authentication is done and jobs are submitted to the
scheduler by the user. The second layer contains scheduler
I. INTRODUCTION and GIS. The scheduler schedules jobs among various
resources after taking resource status information from GIS.
Using the distributed resources to solve the applications The second layer is connected through a VPN to user. VPN
involving large volume of data is known as grid computing provides additional security and only authorized users can
[1], [2]. There exists many tools to submit jobs on the access services. All the resources reside in third layer where
resources which have different computational power and are user's jobs are executed which are also connected through
connected via Local Area Network (LAN) or Virtual Private VPN.
Network (VPN). The main challenge in grid computing is
efficient resource utilization and minimization of turnaround
time. The existing system model consists of the web based
grid network platform with different management policies,
forming a heterogeneous system where the computing cost
and computing performance become significant at each node
[3], [4].
In grid computing environment, applications are submitted for
use of grid resources by users from their terminals. The
resources include computing power, communication power
and storage. An application consists of number of jobs; users
want to execute these jobs in an efficient manner [5]. There
are two possibilities of submission of jobs/data on resources;
in one of them, job is submitted on the resources where the
input data is available and in the other, on the basis of specific
Fig. 1: Layered architecture.
criteria, resource is selected on which both job and input data
III. PROPOSED ALGORITHM
are transferred. This paper uses second approach, wherein the
job is submitted on a scheduler and data on a resource The existing grid scheduling algorithms are based on the
identified by the scheduler. A resource in existing algorithms speed of resources [6], [7]. Each resource of layer 3 (Figure.
is selected randomly, sequentially or according to its 1) has different processing power and all the resources of
processing power [2], [6], [7]. In this paper the proposed layer 3 are connected via homogeneous communication
environment in which the communication delay between

1
scheduler and resources is assumed constant, also the jobs are Schd_valueij = Strt_timej + (Job_reqi/Proc_powerj)
assumed to submitted on layer 1 having different job If Min is greater than Schd_valueij
requirement. Then
An algorithm is proposed in this paper which is suitable for Min = Schd_valueij
static job submission in heterogeneous resource environment Res_id = Rj
connected to the scheduler through homogeneous End If
communication environment. Greedy approach is used to End For
solve the job scheduling problem. According to the greedy Submit the job Ji to Res_id resource
approach “A greedy algorithm always makes the choice that Submit the input data of Ji job to Res_id resource
looks best at that moment. That is, it makes a locally optimal End SUBMIT_NEW_JOB
choice in the hope that this choice will lead to a globally
optimal solution" [8]. The proposed algorithm uses the similar Once the scheduler submits a job to a resource, the resource
approach; it takes every job as independent of each other and will remains for some time in processing of that job. The
each of them is scheduled on a resource to give minimum UPDATE_STATUS algorithm is used to find out when the
turnaround time for that job.The overall turnaround time of all resource will be available to process a new job. The
the jobs is thus minimized. The parameters used in this UPDATE_STATUS algorithm is given below:
algorithm are as follows:
A set of resources, R = {R1, R2, R3,........, Rn}. UPDATE_STATUS
Ji = The submitted ith job. /* Res_id is the resource on which the job Ji is submitted. j
Arr_timei = Arrival time of job Ji. is the index of resource on which the job Ji is submitted
Proc_powerj = Processing power of resource Rj. and Rj = Res_id*/
Strt_timej = Estimated time at which a job starts execution at Strt_timej = Schd_valueij
resource Rj. End UPDATE_STATUS
Job_reqi = Length of job Ji.
Schd_valueij = Expected turnaround time of ith job at jth The above presented algorithm has the time complexity of
resource. O(n) for each job, where n is the number of resources. The
Min = The minimum of Schd_valueij among all resources. above algorithm required additional space to store the resorces
Res_id = Current selected resource id having optimum current status for availability.
turnaround time.
The algorithm used to schedule a job is given as follows: IV. EXPERIMENTAL RESULTS
The GridSim simulator [6] is used to simulate the algorithms.
GREEDY_SCHEDULE The GridSim toolkit is used to simulate heterogeneous
/*The users submit their jobs on the scheduler.*/ resource environment and the communication environment.
For all resource Rj The experiments are performed with three algorithms. The
/*Initialize the start time at resources.*/ algorithms are Random Resource Selection and Equal Job
Strt_timej=0.0 Distribution and Proposed Algorithm. The input data is taken
End For to be the same for all the three algorithms. The simulation is
/*The jobs are stored in a queue Q.*/ conducted with three resources which are shown in Table 1.
Insert all the jobs Ji in Q
While Q is not empty TABLE 1: Resources with their architecture and processing power.
do Resource R0 R1 R2
Delete the job Ji Architecture Sun Ultra Sun Ultra Sun Ultra
SUBMIT_NEW_JOB
OS Unix AIX Unix
UPDATE_STATUS
Proc_power(in MIPS) 48000 43000 54000
Advance the Q pointer
End While
End GREEDY_SCHEDULE The scheduler submits these jobs on resources according to
these algorithms. The algorithms are presented one by one
The scheduler uses SUBMIT_NEW_JOB algorithm to find with their simulation results.
the best suited resource that minimizes the turnaround time.
The turnaround time is calculated on the basis of expected A. Random Resource Selection
completion time of a job. The detailed SUBMIT_NEW_JOB In this algorithm the scheduler contacts GIS to obtain the
algorithm is as follows: resource information and then it chooses a resource randomly
[7]. The job is submitted on this chosen resource. This
SUBMIT_JOB algorithm is very simple to implement and has less overhead
Min = ∞ on the scheduler. The bar chart (Fig. 2) shows the turnaround
For every resource Rj time of different jobs. The completion time is a time at which
/* Calculating the expected turnaround time*/

2
the result of a job is available. After simulation the average C. Proposed Algorithm
turnaround time is found to be 20105.65 seconds and all the
In Proposed Algorithm, the scheduler finds the resource
jobs are completed at the 64420.25th second.
information with the help of GIS and calculates the
approximate completion time of this job on every resource.
Using these values the scheduler chooses a resource which has
the minimum of completion time and submits that job on this
resource. The turnaround time of each job is shown in bar
chart in Fig. 4. Through this algorithm the average turnaround
time of these jobs is 17208.77 seconds and all the jobs are
completed at 41840.88th second. The Proposed Algorithm
further reduces the average turnaround time by 4.22% as
compared with Equal Job Distribution. The completion time
of all jobs takes some more time than Equal Job Distribution
algorithm.

Fig. 2: Jobs and turnaround time using Random


Resource Selection.
B. Equal Job Distribution
In Equal Job Distribution we firstly calculate the total length
of all the jobs and
then distribute these length equally on every resource. The
main notations which are used in the formula are as follows:
L = Total length of all the jobs taken together.
Proc poweri = Processing power of resource Rj.
tProc power = Total processing power of all resources.
Fig. 4: Jobs and turnaround time using Proposed Algorithm.
Loadi = Load assigned on resource Rj.
The formula used to calculate the job distribution is given
bellow:
Loadi = L* (Proc poweri /tProc power) V. CONCLUSION AND FUTURE WORK

The turnaround time of each job is shown by the bar chart in The proposed scheduling algorithm reduces the average
Fig. 3. Experimental results show that the average turnaround turnaround time of all submitted jobs. The considered
time is 17968.55 seconds and the last result is outputed at environment executed the jobs on different resources which
39000.22th second. Equal Job Distribution reduces the average are geographically distributed. It is observed that the Proposed
turnaround time by 10.62% and it takes less time in Algorithm reduces the average turnaround by 4.22% with
comparison to the Random Resource Selection to give all the Equal Job Distribution (as shown in Table 2). The algorithm
results. uses meta-scheduler where resource failure is not considered.

TABLE 2: Algorithms with their average turnaround time and


completion time.

Algorithms Average Completion


Turnaround Time
Time (In Seconds)
(In Seconds)
Random Resource 20105.65 64420.25
Selection
Equal Job Distribution 17968.55 39000.22

Proposed Algorithm 17208.77 41840.88

Fig 3: Jobs and turnaround time using Equal Job


Distribution.

3
Region (HPC Asia 2000), Beijing, China. IEEE Computer
Society Press, USA, 2000.
REFERENCES
[5] Cong Liu, Sanjeev Baskiyar and Shuang Li, “A General
Distributed Scalable Peer to Peer for Mixed Tasks in Grids",
[1] Ammar H. Alhusaini, Viktor K. Prasanna,C.S.
Raghavendra, “Unified Resource Scheduling Framework for High Performance Computing – HiPC 2007, ISBN:978-3-
540-77219-4, 320-330, 2007.
Heterogeneous Computing Environments", in Proceedings of
the Eighth Heterogeneous Computing Workshop, San Juan,
[6] Rajkumar Buyya, Manzur Murshed, “GridSim: a toolkit
Puerto Rico, pp. 156-165, 1999.
for the modeling and simulation of distributed resource
management and scheduling for Grid computing", Technical
[2] N. Muthuvelu, J. Liu, N. L. Soe, S.r Venugopal, A.
Sulistio and R. Buyya, “A Dynamic Job Grouping-Based Report, Monash University, Nov. 2001. To appear in the
Journal of Concurrency and Computation: Practice and
Scheduling for Deploying Applications with Fine-Grained
Tasks on Global Grids", Proceedings of the 3rd Australasian Experience (CCPE), pp. 1-32, Wiley Press, May 2002.
Workshop on Grid Computing and e-Research (AusGrid
2005), Newcastle, Australia, 41-48, January 30 - February 4, [7] Volker Hamscher, Uwe Schewiegelshohn, Achim Streit,
Ramin Yahyapour, “Evaluation of Job-Scheduling Strategies
2005.
for Grid Computing", in 1st IEEE/ACM International
Workshop on Grid Computing (Grid 2000), Berlin, Lecture
[3] I. Foster, C Kesselman, “The Grid: Blueprint for a new
computing infrastructure", Morgan Kaufmann Publishers, San Notes in Computer Science (LNCS), Springer, Berlin,
Heidelberg, New York, pp. 191-202, 2000.[8] Cormen TH,
Francisco, USA, 1999.
[4] R. Buyya, D. Abramson, J.Giddy, “Nimrod/G: An Leiserson CE, Rivest RL, “Introduction to algorithms 2nd
edition", MIT and McGraw-Hill Book Company, Boston
Architecture for a Resource Management and Scheduling
System in a Global Computation Grid", International Massachusetts, cp. 16, 370-403, 2001.
Conference on High Performance Computing in Asia-Pacific

4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

A Comparative Study of IP and MPLS Based


Networks
Sandeep singh M.Altamash Sheikh
School of Information and Communication School of Information and Communication
Technology, Technology,
Gautam Buddha University Gautam Buddha University
Greater Noida, U.P. Greater Noida, U.P.
er.sandeep_vhdl@yahoo.com altsheikh@gmail.com

Abstract path first algorithm (SPF). This results the


unnecessary load on a particular link and
In today’s world of networking IP routers gives traffic drop. When we are dealing with
buildup and uses the routing tables and these the real time traffic it needs guaranteed
packets of data are routed on the IP prefixes service[4] , [5].
which are already stored in routing tables of
routers. These routers fallows the lookups to In general MPLS can provides a combined
find out the next destination of packets. This and better environment for the network with
process gives several overheads like delay , capability of supporting QOS and traffic
jitter and traffic drops. simple data can engineering. In the emerging and
accept much delay but the real time traffic developing trend of technology small , mid
needs guarantied QoS with low jitter , delay sized and large companies are frequently
and packet drop etc. optimum performance moving for changing there infrastructure .
of IP based network can not be achieved by These companies are migrating towards
just applying the QoS but MPLS can Traffic engineering[5] , [6] , [7].
provide better support to QoS . Here the
performance factor of the network can be Related work :
improved with MPLS in a better way as Background of QOS : At the initial stage
compared to just IP routing. when IP header was created there was the
Keywords: QOS ,TOS , MPLS , IP Based space in that header called Type Of Service
routing , Traffic Engineering. (TOS) byte which was basically for future
perspective. It was well known that
Introduction Whenever there is traffic to technologies were growing very rapidly as
flow normal routing faces several issues no one could think of it. This Type of
related to congestion and delay . Router service(TOS) field was reserved for future
needs to lookup there routing table every purpose of improving QOS[17].
time so this makes router very busy during
the processing. Routers decides the path In the early development of internet the
based on its IP prefixes and this path is applications running over it did not take
always the shortest path based on shortest much care about TOS because during
forwarding of IP datagram the devices like
COMO1O6-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

router did not interpret this field until it traffic and the best example of this kind of
gives any kind of service parameters. In this traffic is email[9] , [10].
TOS byte three fields were reserved for IP
precedence and other three for type of (iii) Low priority traffic : This kind of
service[12] , [13]. Higher the IP precedence traffic does not need any guarantee , priority
data would treated more priority and lower or significance and it is not required to
the IP precedence data would be dealt with deliver the traffic at its appropriate
low priority . destination . This kind of traffic includes the
codes generated by a hacker or spam kind of
During the initial stage of internet it was mails[18].
not needed in the network because
commercialization was not so much but as it MPLS:
grown up the need of different type of Multi Protocol Label Switching is a
service were also grown up[16]. new technology for getting the fast and rapid
transfer of data. High speed convergence of
Classification of traffic based on QOS
Classification of different flows of traffic scalability is possible in MPLS based
into classes may depend on several network [1],[2],[3]. Multi Protocol Label
parameters. Basically similar data packets Switching has become the first choice for
are considered in the same class[18]. The packet transportation , which fulfills the
most common way to classify the flows may several requirements of next generation[19].
depend on header fields such as IP Several service providers are going to
precedence and DSCP fields[15]. One of the deploy the MPLS on a common platform to
header of TCP is also used for classification achieve convergence of existing
of traffic by identifying the length of coming technologies like X.25 ; ATM / Frame relay
packets or by checking the MAC address of (FR) and best effort services. It provides the
both senders and receivers address[14]. transmission resources by providing the Diff
When the traffic is classified three main Serve to a network. MPLS provides the
classes comes out – intelligent routing and gives the important
improvements in the switching performance
(i) High priority for sensitive traffic : The of the network instead of what networks
traffic like real time requires some special architecture is. MPLS also provides
treatment like no delay and less jitter .This scalability like advantages for Virtual
comes in High Priority traffic and most Private Network (VPN) and maintain end-
common example of such traffic is to-end QOS [1] , [3] , [5].
VoIP[10].
In normal IP based networks IP
(ii) Best effort traffic : When traffic does routers performs destination based routing.
not need any delay related guarantees . In when they have to sent traffic router always
this case packets are send after high priority uses the simple shortest path first algorithm
to compute the shortest path between
COMO1O6-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

themselves and destination[8] . This distance


can be hop count for protocols such as
Routing information Protocol (RIP) or least Figure 1. MPLS Architecture
total metrics. They don’t care whether (3) Ingress label edge router : This is
alternate path exist in the network or not. basically an edge router of MPLS network
This creates a problem while delivering the which classify packets and add MPLS labels
traffic because when the traffic exceeds the to the packets.
limit of link it gives traffic drop[11]. But in
MPLS Traffic engineering it enables the (4) Label switch router : It is a networks
operator to build a traffic engineering core MPLS enabled router which forward
tunnel for safely delivery of data. the packets based on layer 2 MPLS labels
and later removes the label before sending it
MPLS Architecture to the egress label edge router.
MPLS is an IETF standard which provides (5) Egress label edge router : Egress label
Label-swapping-based forwarding in the edge router switches the unlabeled packets
presence of routing information[20]. It based on its destination IP address.
basically consist of some principal
components : Problem Statement and Proposed
Solution :
(1) Control : Control component uses a
Label distribution protocol to maintain label The primary goal of this paper is to expose
forwarding information for all destination in how the MPLS supports QOS needs. It also
highlight the several issues and in depth
MPLS networks.
knowledge regarding it.
(2) Forwarding : The forwarding
(i) How MPLS helps to provide QOS
component switches packets by swapping
demanding traffic .
labels using the label information carried in (ii) Comparison of MPLS with traditional
the packet. technologies like IP routing.
(iii) Practical implementation of MPLS with
IP based routing in simulation environment.

COMO1O6-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

assumed that an amount of bandwidth is


needed to pass the low priority traffic[25] ,
Figure 2. Network Diagram of MPLS [26]. Real time traffic is always given
Domain priority and some bandwidth is reserved for
The packet drop rate is increased in the its transmission in such a way that when it
best effort service because packet arrival is exceeds the threshold it would be denied in
not treated with any differentiation. Due to order to pass the rest of the traffic.
fill the buffer , network devices like router (IV) Traffic can be shaped in such a way
and switches are filled[24]. The packets that it remains in the limits of available
were going to drop so receiver requests for bandwidth pool[22]. For this purpose traffic
retransmission which gives unwanted delay. may be compressed or decompressed.
Real time traffic like voice and video Router itself perform this task of
conferencing can not stay in a queue for compression and decompression. Resources
long time. Error recovery is also needed to are allocated in the network by applying
check the data to ensure whether the content admission control on all label switch
of packet is same as it was sent originally paths[21].
during the transmission [11].
Simulation and Results :
Quality of service for MPLS based
network is not very much differ from the The simulation environment is created
way as any other IP network. In this way in laboratory where two scenarios are being
[22] the Quality of service strategy may considered in order to test the working of
divided into four stages :- MPLS. In first scenario the simple and
general network is build with a traditional
(I) Classification of traffic is considered at IP routing then the same network is
the initial stage in provision of QOS at the configured with MPLS and results were
edge of MPLS domain. The traffic is obtained. The obtained results shows that
classified on the basis of preference[25]. the MPLS network gives better output in
Edge routers are generally responsible for terms of performance and throughput as
such task but switches can also install at the compared to traditional IP routing.
edge for classifying traffic.
Policies for IP based Networks
(II) Polarization of traffic helps the Class Matching DSCP Precedence
important traffic to be forward first based on Criteria
its sensitivity and importance. This is Critical RTP 46 07
achieved by class of service for a given Web SMTP , HTTP 18 03
flow. MPLS provides different categories Interactive ICMP , OSPF , 26 5
based on priority like gold , silver , and EIGRP
bronze[26]. Default Any 00 00
Class
(III) Management of bandwidth is another
big issue for accurate delivery of traffic. It is
COMO1O6-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Table 1. Policies for IP Network

Policies for IP based Networks Policies for MPLS based networks


Class Matching Band Average Rate
Class Matching Criteria Bandwidth %
Criteria width
% MPLS EXP 5 60 %
Prec7 Precedence 50 240000/120000
7
Prec5 Precedence 35 160000/80000 Table 4. Policies for MPLS Network
5 (Critical Class)
Prec3 Precedence 15 60000/30000
3
Default Precedence -- 800000/400000
Class 0 Results :

The above two scenarios produces the


Table 2. Precedence Policies of IP Network results related to the performance of MPLS
and IP routing. following statistics were
obtained by issuing “pkt-seq-drop-stats,
show delaystats,show jitter-stats”
The Quality of Service is introduced in commands. Here we use a PAGENT router.
both scenarios. Normally when ordinary PAGENT router contains the Cisco IOS which
traffic is to be transfer it doesn’t need of is able to generate the automatic traffic.
any guarantee of service. Factors of
Statistics of IP Networks
performance degradation like Jitter , delay
Sent Receive Dropped
and dropping of packets did not harm this
data[23]. But real time traffic is transferred Drop 688 688 000
in consideration of more sensitively for such Minimum Maximum Average
factors.
Jitter 0.000002 0.030636 0.000342
Policies for MPLS based networks Delay 0.017585 0.048495 0.017951
Class Matching Criteria MPLS EXP
Critical Precedence 7 5
Web Precedence 3 3 Table 5.O/P Statics of IP Network
Interactive Precedence 5 4
Statistics of MPLS based networks
Default Class Precedence 0 0
Sent Received Dropped

Drop 755 755 000


Table 3. Policies for MPLS Network
Minimum Maximum Average
Jitter 0.000005 0.004931 0.000222
Delay 0.017692 0.022794 0.017928

COMO1O6-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Table 6. O/P Statics of MPLS Network The statistics collected from both the
networks and MPLS gives better result than
(1) Packet forwarding in MPLS is depends normal IP routed networks. Packet of data
on labels rather then IP prefixes , which faces longer delays in IP based networks and
reduces overhead of processing of routers. other factors were also improved in MPLS
(2) It has been observed that features of load based networks. All the necessary
balancing of MPLS removes the unwanted configurations of routers have been recorded
congestion from the network. and two different scenarios were compared.
Then routing tables of IP based networks
(3) Practical scenario proved that QOS and Cisco Express Forwarding (CEF) tables
works better in MPLS than IP routing. of MPLS networks have been obtained.

(4) Large routing tables were being Acknowledgement


generated by the routers (IP) which makes
IP router slower. This research work was supported by
Prof(Dr.) Sanjay Jasola under the School of
(5) In IP routing , the interior gateway Information and Communication
protocol (IGP) used the shortest path to Technology in Gautam Buddha University,
forward the packets between each hop, but Greater Noida.
in MPLS tunneling removes such overhead
of load balancing

(6) In MPLS dropping of packets , delay References


related problems and issues of jitter are very [1]. Lawrence J, “Designing multiprotocol
nominal in comparison to IP based routing. label switching networks”, Communications
Magazine, IEEE, Volume 39, Issue 7, July
Conclusion 2001.
This research focus on practical comparison
[2]. Kuribayashi,Tsumura, “Optimal LSP
of MPLS and IP based networking which selection method in MPLS Networks”,
helps to understand the concepts and Communications, Computers and Signal
working of MPLS. Guaranteed quality of Processing, IEEE acific Rim Conference on
service is needed to transfer the real time 22-24 Aug. 2007.
traffic over the network. In MPLS based
network QOS gives better results as [3]. Mahesh K.P, Yadav S. V, Charhate
M.E, “Traffic Analysis of MPLS and Non
compared to IP networks regarding surety of
MPLS Network including MPLS Signaling
data transfer , delay and jitter etc. Delay , Protocols and Traffic distribution in OSPF
jitter and results related to packet drops were and MPLS”, First International Conference
obtained by issuing commands. Then the on Emerging Trends in Engineering and
network was reconfigured for MPLS Technology 2008.
network and again some parameters were
[4]. David Applegate, Mikkel Thorup,
calculated for MPLS based networks.
“Load optimal MPLS routing with N + M
COMO1O6-6
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

labels”, AT&T Labs–Research Shannon Published on May 1, 2003, v0.2 May 15,
Laboratory 180 Park Avenue Florham Park, 2003, v0.3 September 10, 2003
2003.
[13]. Traffic conditioning factors
[5]. Luc De Ghein, “MPLS Fundamentals”, http://www.cisco.com/en/US/tech/tk543/tk7
Cisco Systems, Cisco Press 800 East 96th 57/technologies_tech_note09186a00800949f
Street Indianapolis, ISBN: 1-58705-197-4, 2.shtml http://en.wikipedia.org/ wiki/
2007. IP_traceback# Packet_marking,
http://en.wikipedia.org/wiki/Traffic_policing
[6]. Benjamin Tang, Ahmet A. Akyamac, ,http://en.wikipedia.org/wiki/Traffic_shapin
Chi-Hung Kelvin Chu and Ramesh g# Traffic_Classification(Access Date
Nagarajan, “MPLS Network Requirements January 12, 2009)
and Design for Carriers: Wireline and
Wireless Case Studies”, [14]. Carter Horney for Nuntius Systems,
Telecommunications Network strategy and Quality of Service and MPLS (White
planning symposium, pages 1-6, Nov 2006. Paper), Inc.13700 Alton Pkwy., Suite 154-
266 Irvine, CA 92612 949.295.0475 voice
[7]. Muzammil Ahmad Khan, “Quantitative www.nuntius.com
Analysis of Multiprotocol Label Switching
(MPLS)”, Student Confrence, Volume 1, [15]. P. Prabagaran & Joseph
Issue 16-17, page(s): 56-65, Aug 2002. B,“Experiences with Class of Service (CoS)
Translations in IP/MPLS Network”,
[8]. Loa Andersson, Stewart Bryant, "The Proceedings of the 26th Annual IEEE
IETF Multiprotocol Label Switching Conference on Local Computer Networks,
Standard: The MPLS Transport Profile ISSN:0742-1303, page(s):243, 2001.
Case," IEEE Internet Computing, vol. 12,
page(s):69-73, Aug, 2008. [16]. Ji-Feng Chiu, *Zuo-Po Huang, *Chi-
Wen Lo, *Wen-Shyang Hwang and Ce-
[9]. Mahesh K.P, Njulata Yadav S. V, Kuen Shieh, “An Approach of End-to-End
Charhate M.E, “Traffic Analysis of MPLS DiffServ/MPLS QoS Context Transfer in
and Non MPLS Network including MPLS HMIPv6 Net”, Autonomous Decentralized
Signaling Protocols and Traffic distribution Systems, Eighth International Symposium,
in OSPF and MPLS”, 2008. ISBN: 0-7695-2804, page(s):245-254, Mar
2007.
[10]. Srinivas Vegesna Quality of Service
By Published by Cisco Press, 2001 ISBN [17]. Sundeep .B.Singh and Girish.P.Saraph,
1578701163, 9781578701162 “DiffServ over MPLS: Tuning QOS
parameters for Converged Traffic using
[11]. B.E. Nichols, “Differentiated services Linux Traffic Control”, Indian Institute of
in the Internet Carpenter”, Proceedings of Technology Bombay, Powai, Mumbai India.
the IEEE, Volume 90, Issue 9,
page(s):1479–1494, Sept 2002. [18]. Hongyun Man, Linying Xu, Zijian Li,
Lianfang Zhang, “END-TO-END QOS
[12]. Leonardo Balliache, Network QoS IMPLEMENT BY DIFFSERV AND
Using Cisco HOWTO, April 2003 v0.1 MPLS”, Electrical and Computer

COMO1O6-7
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Engineering, volume 2, page(s):641-644,


May 2004. [26]. Jing Wu, Delfin Y.Montuno, Hussein
T.Mouftah*, “Improving reliability of label
[19]. International Workshop distribution protocol”, Proceedings of the
NGNT,“DiffServ and MPLS Tímea 26th Annual IEEE Conference on Local
Dreilinger”, Budapest University of Computer Networks, ISSN: 0742-1303,
Technology and Economics, Department of page(s) 236, 2001.
Telecommunication and Telematics, High
Speed Networks Laboratory.

[20]. F. Le Faucheur, L.Wu, S. Davari, P.


Vaananen, R. Krishnan, P. Cheval,
J.heinanen, “Multi-Protocol Label Switching
(MPLS) Support of Differentiated Services”,
RFC 3270, May 2002.

[21]. John Bartlett and Rebecca Wetzel Sandeep Singh has received his
BCR, “QOS over MPLS–the Complete B. Tech. degree in Electronics and
Story”, P2P for Communications: beyond Communication Engineering from
file sharing, Volume 36, Number 2, Chaudhary Charan Singh University, Meerut
February 2006. in 2008. He is a M.Tech. student at Gautam
Buddha University, Greater Noida. He has
[22]. Victoria Fineberg, “QoS Support in
MPLS Networks MPLS/Frame Relay been worked as a faculty of Dr.K.N.Modi
Alliance”, White Paper May 2003. Institute of Engineering and Technology,
Modinagar, Ghaziabad. He is working as an
[23]. LI Ming-hui and Xiz Jing-bo, Assistant Professor at Sobhasaria
“Research and Simulation on VPN Engineering College, Sikar, Rajasthan. He is
Networking Based on MPLS”, Wireless a life time member of IACSIT, Singapore
Communication, Networking and mobile
and member of CSI. He has published a
Computing ,page(s): 1- 4, Oct 2008.
paper in “national conference on
[24]. Hiroshi Yamada, “End-to-End communication network and security”,
Performance Design Framework of MPLS Alwar, Rajasthan. His research interest
Virtual Private Network Service across includes Ad-hoc wireless networks, Mobile
Autonomous System Boundaries”, IP, Quality of Service, MPLS based
Telecommunications Network strategy and
networks and Traffic Engineering.
planning Symposium , page(s): 1-6, Nov
2006

[25]. Label distribution:


“http://www.cisco.com/en/US/docs/ios/mpls
/configuration/guide/mp_mpls_overview_ps
6350_TSD_Products_Configuration_Guide_
Chapter.html” (last accessed date 10 April
2009).
COMO1O6-8
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

M.Altamash Sheikh has


received his B.Sc degree in
Electronics Engineering in
2007 from Annamalai
University, Tamil Nadu. He
received his M.Sc. degree in Electronics
Communication Engineering from Jamia
Milia Islamia, New Delhi in 2009. He is a
M.Tech. student at Gautam Buddha
University, Greater Noida. His research
interest includes , mobile IP, Sensor
Networks, Ad-Hoc Networks, & Bluetooth
Technology.

COMO1O6-9
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

INTEGRATED SERVICES DIGITAL NETWORK


AJAY BHATIA
(Dept. Electronics & Communication)
Punjab College of Engineering and Technology, Mohali

ABSTRACT

Integrated Services Digital Network(ISDN) As a result, ISDN has the ability to deliver a
is a digital communications technology that wide range of desirable applications in
enables a small business or an individual to education, healthcare, business, and
connect directly to both the Internet and multimedia. In light of this substantially
other users. ISDN provides a standard increased functionality, ISDN can be the
interface for voice, fax, video, graphics and basis for a new type of useful medium for
data- all on a single telephone line. ISDN is Internet access that is: reasonably priced,
a set of communications standards for readily available, and also allows for the
simultaneous digital transmission of voice, delivery of information at high speeds, and
video, data, and other network services over provides for many types of new and
the traditional circuits of the public switched previously unavailable applications. The
telephone network. It was first defined in technical design of ISDN is motivated by
1988 in the CCITT red book. ISDN enables the primary aim of modernizing traditional
the telephone network, which was built for telephone networks. The use of digital
traditional analog calls, to carry information switching and transmission technology
digitally at higher speeds and without the within the ISDN facilitates the transmission
errors of the traditional analog system or of digital data besides the digitized voice.
POTs (Plain Old Telephone Services) lines.

KEYWORDS
ISDN, ISDN traffic, voice/data networks, value added services, video conferencing.

INTRODUCTION
Integrated Services Digital Network (ISDN) user/network interfaces, and network and
is comprised of digital telephony and data- internetwork capabilities. ISDN applications
transport services offered by regional include high-speed image applications,
telephone carriers. ISDN involves the additional telephone lines in homes to serve
digitalization of the telephone network, the telecommuting industry, high-speed file
which permits voice, data, text, graphics, transfer, and video conferencing. Voice
music, video, and other source material to be service is also an application for ISDN. This
transmitted over existing telephone. The paper summarizes the underlying
emergence of ISDN represents an effort to technologies and the services associated
standardize the subscriber services, with ISDN. Also, there are two significant

COMO1O7-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

developments drive the commercialization years and a third of these will be fiber optic
of ISDN: digital switching and digital cable. Pulse Code Modulation (PCM) and
transmission. It is estimated that nearly all of Time Division Multiplexing (TDM) are
the access lines and trunk routes in the important related technical developments
United States will be digital within a few enabling digital switching and transmission.

ISDN STANDARDS
The ISDN Basic Rate Interface (BRI) International Telecommunication Union
service offers two B channels and one D Telecommunication Standardization Sector
channel (2B+D). BRI B-channel service (ITU-T) (formerly the Consultative
operates at 64 kbps and is meant to carry Committee for International Telegraph and
user data; BRI D-channel service operates at Telephone [CCITT]) I.430. ISDN Primary
16 kbps and is meant to carry control and Rate Interface (PRI) service offers 23 B
signaling information, although it can channels and one D channel in North
support user data transmission under certain America and Japan, yielding a total bit rate
circumstances. The D channel signaling of 1.544 Mbps (the PRI D channel runs at 64
protocol comprises Layers 1 through 3 of Kbps). ISDN PRI in Europe, Australia, and
the OSI reference model. BRI also provides other parts of the world provides 30 B
for framing control and other overhead, channels plus one 64-Kbps D channel and a
bringing its total bit rate to 192 kbps. The total interface rate of 2.048 Mbps. The PRI
BRI physical-layer specification is physical-layer specification is ITU-T I.431.

ISDN SIGNALING
There are two different types of signaling DSS1 defines what format the data goes in
used in ISDN. For communicating with the on the D-channel, how it is addressed, etc. It
local phone company, ISDN uses the Digital also defines message formats for a variety of
Subscriber Signaling System #1 (DSS1). messages used for establishing, maintaining,
COMO1O7-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

and dropping calls, for instance SETUP handle the signaling needs of ISDN as well
messages, SUSPEND and RESUME as other older signaling systems and
messages, and DISCONNECT messages. (hopefully) will adapt well to future needs.
Once your DSS1 signal makes it the the One important feature of SS7 is providing
phone company, their own signaling system CCS. This makes it harder for malicious
takes over to pass the call information users of the phone network to put one over
within their system, and between other on the phone company. It also improves the
phone companies. Signaling System #7 service, for instance by offering faster
(SS7) is supposed to be used for this. SS7 connection establishment. Older equipment
defines a communications protocol, and still looks for the signaling information in
formats similar to DSS1, however SS7 is the same channel as the voice, in the eighth
designed in a broader, more general way. bit of each piece of voice data.
DSS1 is specific to ISDN, however SS7 will

ISDN SWITCHING
With pure ISDN, switching is the into each device use. The phone company
department of the phone company. then stores this data somewhere, and when
Traditional phone services is Circuit one connect the machine to the network, it
Switched Voice (CSV). The voice data goes sends its SPID to the nearest phone
through several switches before reaching its company switch which identifies what type
final destination. For point-to-point data of connection the device needs and
connections, you need Circuit Switched (therefore) how to route its calls.
Data (CSD) - the exact same thing with data Presumably, the SPIDs have to refer to a
instead of voice. If CSV are used, they are configuration that matches one of the two B-
free to route your call through any type of channels you have. By the way, the SPIDS
switch, even the old analog switches. The are arbitrary numbers that refer to data
digital channel may also be shared with stored by the phone company. The phone
other channels, in the moments when there Company often includes the phone number
is silence on the phone line. And the digital in the SPID for their own convenience. One
parts of a CSV call can go through noisy older type of phone company switch, a
switches that might create an undetected DMS-100, was improperly designed with
error. There are actually a standard set of respect to the standards relating to SPIDs.
combinations defined for setting up BRIs. This switch misguidedly assigns one SPID
These are called National ISDN Interface to each B-channel that is use, rather than to
Groups (NIIGs),so there will be a limited each device. Therefore if the nearest switch
menu of offerings available. One can get is a DMS-100, one will only be able to hook
both B-channels for data, or one for voice up two devices to the CPI, rather than eight.
and the other for data, or one for voice and If one is only going to be hooking up a
the other for either voice or data. In order to single device to the ISDN (i.e. setting it up
facilitate this, North American phone in a point-to-point configuration, one might
companies use an optional part of the ISDN not need a SPID at all, as the phone
standard to identify each TE1 or TA you company can identify the ISDN line as one
use. The phone company assigns a Service particular type, full time. This depends on
Profile Identifier (SPID) to each of these what equipment they have - the old DMS-
devices, and one has to manually enter them 100 switch will still require to have a SPID.

COMO1O7-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

ISDN FRAME

 Protocol discriminator  Call refrence value


The protocol used to encode the An arbitrary value that is allocated for
remainder of the encoder. the duration of the specific session
 Length of call refrence value which identifies the call between the
Defines the length of the next field.the device maintaining the call ant the
call refrence may be one or two octets ISDN switch.
long depending upon the size of the  Message type
being encoded. Defines the primary purpose of the
 Flag frame .the message type may be one
Set to zero for the messages sent by the octet or two octets .when there is more
party that allocated the call refrence than one octet ,the first octet is coded as
value,otherwise set to one. eight zeroes.

ISDN AND VALUE ADDED SERVICES

The usual reference to an ISDN implies an a communications node with circuit traffic.
integration of services on the sense of the The potential for combining the
transport of digitalized voice and data, the telecommunications and the computer
former with telephonic signaling and the technologies becomes apparent when the
latter either gaining access via telephonic delivered signal is not just a replica of the
signaling and then employing data signaling input signal, but has been processed in a
or via data signaling only. Circuit and useful manner. It can be debated on
message, synchronous and asynchronous, operational, economical, technical and
packet - all traffic types are to be political grounds whether such processing
accommodated. Packet switching is should be included within the public and
considered by some as a value-added private network itself, treated and offered as
service, but by others as a transport a network service, or whether these
mechanism, which can be combined within functions should be external to the network,
provided at its periphery.

COMO1O7-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

ISDN VIDEOCONFERENCING
Today, a modern organization is connected meetings. When at their desks, employees
via an IP network resembling a “hub” have both Intranet services and access to the
between dedicated connections in multiple public Internet. Videoconferencing is being
remote offices. Employees use the IP explored as a technology that can improve
network for text-based communications, group and individual communications and
meet regularly via telephone and frequently thereby affect both these strategic
travel to remote offices for face-to-face objectives.

CONNECTIVITY OPTIONS
In the past, network options for the local exchange carrier. As a result of the
videoconferencing were simpler. Heavy improved ability to guarantee quality of
users placed endpoints on a common services in packet networks, the ubiquity of
“private network” of switched circuits switched local area Ethernet networks, and
leased from telecommunications carrier. the release of numerous products for
Most companies preferred to connect business quality H.323 videoconferencing,
videoconferencing endpoints to a public IP-based networks are now firmly on the list
network via Integrated Switched Digital of videoconferencing connectivity options.
Network (ISDN) connections obtained from

ISDN VIDEOCNFERENCING SECURITY


about three key areas: data storage, data
In order to properly secure video
radiation, and data encryption.
conference meeting, one must be concerned

Data Storage:-
additional cost and work, these systems are
There are two types of videoconferencing
not very well suited for secure
systems, or codecs, commercially available
videoconferencing.
today; PC-based and appliance.
APPLIANCE VIDEOCONFERENCING
The PC-BASED VIDEOCONFERENCING
SYSTEMS are not based on a PC platform.
SYSTEMS that run PC operating systems
These devices have been custom designed
and utilize, at least in part, standard COTS
and manufactured to provide only specific
PC hardware. Since these systems include
functionality and typically do not utilize
internal hard drives and plug-and-play
standard PC- based hardware or software. In
connectivity for external storage devices,
addition, the storage capabilities of these
one must take additional steps to secure
systems are usually limited to storing
these systems. Specifically, the system must
address book information, usage data, and
be equipped with a removable hard-drive
configuration settings. Since these devices
setup. In addition, two different hard drives
do not provide data storage capabilities, they
must be used; one for secure calls and one
are a good choice for secure
for non-secure calls.Because securing a PC-
videoconferencing.
based videoconferencing system requires

COMO1O7-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Data Radiation and Encryption:-


person to view all of the contents of another
Another area of concern is that confidential
person’s computer monitor from over half a
information may be electrically radiated by
mile away – without being detected.
the conferencing equipment. When this
Therefore, to provide a secure
occurs, data is susceptible to monitoring and
videoconference environment, data radiation
eavesdropping by unauthorized personnel.
must be controlled or eliminated.
According to the F.A.S. (Federation of
American Scientists), this would allow a

BENEFITS OF VIDEOCONFERENCING

Cost savings. Bandwidth on demand


Time savings.
Efficient and Flexible
Improved quality of life.
Reliable Communication
Gaining ad-hoc access to contacts.

ISDN BACKUP

BROADBAND ISDN (B-ISDN)


B-ISDN is not a computer network but the communicate over high-quality, high-speed
telephone network. Specifically, it is the digital communication channels. The
worldwide digital telephone system supported media include telex, fax, voice
currently being installed in virtually every telephone, video telephone, audio, high
developed country of the world. Once definition TV (HDTV), and, of course,
completed, B-ISDN will permit its users to computer networking.

COMO1O7-6
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

B-ISDN WITH ATM


B-ISDN is the so-called Information information with a five-byte header which
Superhighway. Along with ATM, tells the telephone system where that packet
Asynchronous Transfer Mode, is often is going. It can run over a number of
confused with B-ISDN. In fact, ATM is different physical media, ranging from UTP
simply a service that can run over B-ISDN. (unshielded twisted pair), to something
It is literally little more than the called TAXI, to the B-ISDN superhighway.
specification for a 48-byte packet or cell of

APPLICATIONS OF ISDN
Studio quality audio transmission for Preparation of printed materials.
broadcast. Electronic manuals online.
Image archives(Real estate, medical Stock quote for brokers.
images, photographic image banks etc.). Credit card authorization.

CONCLUSION

As ISDN is deployed, few people are would be the Pipeline 25, from Ascend,
currently replacing their home phone system which provides ISDN to Ethernet
with an ISDN phone network. The trend for connections, using IP. It has an NT1 built in,
now seems to be providing an entire ISDN and provides two phone jacks for standard
network in a single box, with the NT1, TA, POTS telephones.
and TE1 equipment all built in. An example

REFERENCES

 www.radvision.com ed., New Communication Services: A


 Computer networks ISDN systems. Challenge to Computer Technology
 An ISDN approach to integrated (North-Holland, Amsterdam, 1986)
corporate networks. 119-124.
 www.perey.com  M. Intorella, M. Benedetti and G.
 www.searchnetworking.com Gasparrone, Italy's Pilot ISDN
 CCITT Red Book, Service, in: P.J. Kuehn, ed., New
Recommendations on ISDN, Communication Services: A
Geneva, 1985. Challenge to Computer Technology.
 M. Huet and P. Put, TRANSPAC  www.data .com
and Emerging ISDN, P.J. Kuehn,

COMO1O7-7
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

PERFORMANCE ANALYSIS OF WIRED NETWORKS


UNDER VARYING NETWORK ATTRIBUTES
Vaibhav Nijhawan
Department of Electronics & Communication Engineering
Allenhouse Institute of Technology, Kanpur
{vaibhav.nijhawan@gmail.com}

Abstract: Wired network is an interconnection secure and long haul communications. The wired
of remote nodes through physical networks are most suitable for environments with
infrastructure of wires and cables. It provides fixed entities like home and office networks and
advantages of fast, reliable, secure and long high data rates environments where dedicated
haul communication. The modeling and links are necessary as a link between keyboard
analysis of a network provides understanding and central processing unit (CPU). The primary
of behavior of various network parameters parts of a wired network are network cables,
which can be further used for computation of network adapters, hubs, switches, routers and
desired results and efficiency. In this paper process governing software’s like transmission
analysis of wired networks has been done to control protocol/internet protocol (TCP/IP), token
evaluate the performance of a network under ring, fiber distributed data interface (FDDI). The
varying bandwidth of transmission links and processing capabilities and methodologies of the
number of workstations (nodes) attached to the computer hardware and networking components
network. may be slightly varied by using different network
processing software’s. Institution of electrical and
Keywords: OPNET, Wired Networks, electronics engineering (IEEE) model IEEE 802.3
Transmission links Ethernet working on transmission control
protocol/internet protocol (TCP/IP) model is most
I. INTRODUCTION famous of all the wired local area network models.
Data communication and networking is the fastest The most common Ethernet links used are
growing technology in the modern era. Advanced 10BaseT, 100BaseT and 1000BaseX providing a
research projects agency network (ARPANET) data transfer rate of 10 Mbps, 100 Mbps, and 1
added fuel to the growth of wired network Gbps respectively. Fiber optic variants of Ethernet
industry and since then wired networks are offer high performance, electrical isolation and
emerging as social networks which are linking network span up to tens of kilometers. The
people, organizations and knowledge worldwide. backbone of internetwork of interconnected
This radical change in the wired networking networks (INTERNET) relies on the huge
domain over the last decades is the result of worldwide established wired network known as
convergence of internet coupled with engineering public switch telephone network (PSTN). Some of
advances in the field of information and the limitations of wired networks include
communication technologies. Wired computer immobility, uneasy upgradation, difficult fault
networks have bridged the gap between countries diagnosis, elaborate infrastructure, intricate
and continents and brought up an era of installation and its non availability at isolated
globalization. places.

II. WIRED NETWORKS III. COMPONENTS OF WIRED NETWORK


Wired network is an interconnection of remote Components of wired network includes all those
nodes through physical infrastructure of wires and devices that when combined together forms an
cables. It provides advantages of fast, reliable, effective communication link. The network

COMO1O8-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

components should facilitate performance, mainly used in long haul communications and
reliability and security of the network. The high speed local area networks (10BaseF).
computer network component includes
transmission media, networking devices Networking Devices
(hardware) and network governing software’s. Networking devices or hardware devices or
intermediate units (IU) are equipments that
Transmission Media mediate data in a computer network. Networking
Transmission media is a physical link between the devices play a vital role in describing the behavior
network nodes that connects the nodes to form a and characteristics of network parameters like
network. Physical links may vary from a common delay, transmission rate, throughput and
twisted pair cable to an expensive fiber optic cable bandwidth. Common networking devices are
according to the scale and performance criterion Network Interface Cards (NICs), modulator
of the network. Twisted pair cables consist of two demodulator (MODEM), Bridges, Hubs, Switches
conductors (normally copper) each with its own and Routers.
plastic insulation twisted together. The twisting of Network interface cards (NIC) provides
cables together eliminates unwanted signals like physical access to a network media (link)
interference and crosstalk. The most common through the use of medium access control
twisted pair cable used in communication is (MAC) addresses. Network interface cards
referred to as unshielded twisted pair (UTP). The (NICs) operates at a speed ratings of 11
electronic industries association has developed Mbps, 54 Mbps and 100Mbps.
standards to classify unshielded twisted pair cable Repeater is an electronic device that
into seven categories, defining the cable quality receives a signal cleans it of unnecessary
with 1 as the lowest and 7 as the highest. Twisted noise, regenerates it and transmits it at a
pair cables are used in telephone lines to provide higher power level, so that the signal can
voice and data channels. Digital subscriber line cover longer distances without
(DSL), Ethernet (10BaseT, 100BaseT) employs degradation. In most twisted pair Ethernet
twisted pair cables as their physical media. configurations repeaters are required for
Coaxial cables as transmission media are used to cable that runs longer than 100 meters.
carry signals of higher frequency ranges. These Network hub or concentrator duplicates
cables carry a core conductor of copper wire the data packets received through one port
enclosed in an insulating sheath which in turn is and makes it available to all the ports
encased in an outer conductor of metal foil. attached to it allowing data sharing
Coaxial cables are employed in television and between all devices connected to the hub.
telephone networks. The network switch is a device that
forwards datagram between ports based on
the MAC addresses in the data packets.
Switch is distinct from a hub in that it only
forwards the frames to the ports involved
in the communication rather than to all
ports connected.
A router is used to connect subnets to the
main network or two distinct networks
together, provided they are based on the
same network model. As router only
Figure 1 Twisted pair cable and coaxial cable
understands the addressing modes of
similar networks (networks that are
A fiber optic cable is made of glass or plastic and
governed by same protocol suite).
transmits signals in the form of light. It provides
enormous amount of bandwidth and transmits data Gateway is a device that is used to transfer
over large distances. Optical fiber cables are data between dissimilar networks

COMO1O8-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

(networks that are governed by different network operations such as World Wide Web
protocol suite). (WWW), electronic-mail, instant messaging,
Bridge is used in packet-switched voice over internet protocol (VoIP) and network
computer networks and unlike routers; based audio and video services thus making
bridge makes no assumptions about where computer networks an important part of
in a network a particular address is infrastructures for home and offices. Therefore it
located. It depends on flooding and is often desirable to improve the efficiency and
examination of source addresses in designing of computer networks. Analytical
received packet headers to locate unknown methods using queuing network theory and
devices. Once a device has been located, computer simulation methods are extensively used
its location is recorded in a table where the for network analysis and evaluation. Former is a
MAC address is stored. Their application conventional approach used for smaller networks
is limited to local area networks because of and later is best suited for the analysis of complex
its lengthy algorithms. and large networks of nowadays.
The modeling and analysis of a network provides
the understanding of the behavior of the network
parameters which can be used for analysis of
desired results and higher efficiency. Moreover
the study helps in increasing the application
domain of the technology and sometimes may also
result in discovery of newer technologies.

V. INTRODUCTION TO PROBLEM
A comparative study of network parameters
delay-global (sec), delay-node (sec), load
(bits/sec) and traffic received (bits/sec) is made by
varying the physical characteristics and logical
conditions of computer networks. Physical
characteristics involved number of nodes and type
Figure 2. A wired computer network of cabling. The size of data packet is chosen from
logical domain. The delay may be measured as a
IV. COMMUNICATION SOFTWARES global parameter or as a nodal parameter. In
A network uses number of protocols to establish a global sense, the delay may be defined as an
link between nodes for data and resource sharing. average time gap experienced by all the nodes in a
The most common protocol that governs the network between transmission and reception of
communication in almost every network is data packets or between request and response. The
transmission control protocol/ internet protocol delay in nodal sense is defined as the average time
(TCP/IP) known as the internet protocol suite. taken by the data packets to reach a particular
Some other important protocols working under or node. It is measured in seconds. Load is the
over the internet protocol suite includes hypertext amount of data packets transmitted by a particular
transfer protocol (HTTP), file transfer protocol node, thereby adding load on network. It is a
(FTP), medium access protocol (MAC),address nodal characteristic and is measured in bits per
resolution protocol (ARP), secure file transfer second (bps). Traffic received is the amount of
protocol (SSH), internet control message protocol throughput measured for the particular node. It is
(ICMP) and multi protocols label switching measured in bits per second or packets per second.
(MPLS). The arrival of internet work of The comparison of delay, traffic received and
interconnected networks (INTERNET) into daily load is computed by varying
life has greatly influenced the relevance and Type of link which may be categorized
importance of networks. Nowadays more and depending upon its speed of carrying data.
more applications rely on efficient and reliable

COMO1O8-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Size of the network by varying number of


nodes connected to the network.
The analysis was done on an Ethernet based local
area network (LAN) having physical design of
star topology. The choice of Ethernet LAN having
star topology was made because Ethernet is the
most common local area network worldwide.

VI. NETWORK MODELING


Network 1: The LAN consisting of 5 Ethernet
stations each having a uniform data packet size of
1500 bits is modeled in the office
(100*100meters) environment of OPNET in three Figure 4. Network 2
scenarios using 10BaseT cabling, 100BaseT
cabling and 1000BaseX cabling. Methodology: The self comparison of network
parameters delay-global (sec), delay-node (sec),
load (bits/sec) for node (test_node) and traffic
received (bits/sec) for node (test_node) is made.

VII. SIMULATION RESULTS


The results obtained from the study of self
comparison of parameters delay-global (sec),
delay-node (sec), load (bits/sec) and traffic
received (bits/sec) under physical and logical
constraints of type of link & number of nodes in
computer networks simulated are presented.

Network 1: Results and analysis

Ethernet local area network (LAN) is established


Figure 3. Network 1 Model by using link 10BaseT, 100BaseT and
Methodology: The network parameters: delay 1000BaseX.
(seconds) of the whole network, load (bits/sec) for  The delay in data transmission varies with
network node (node_0) and traffic received the type of cable that is used to connect
(bits/sec) by node_0 are investigated. The nodes in the network. The 10BaseT cable
simulation time is set to 30 minutes. having the minimum rate of data
transmission produces maximum delay,
Network 2: Ethernet LAN having a link type of whereas delay is manageable in 100BaseT
10BaseT and uniform packet size of 1500 bits is cable and is negligible in 1000BaseX
tested by varying the number of nodes from 10, 20 cable.
to 30 nodes. The parameters tested are delay (sec)
for the whole network, delay (sec) for node:
testnode, load (bps) and traffic received (bps) for
node testnode.

COMO1O8-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Figure 5. Variation in delay-global Figure 7.Variation in traffic received

 On changing the cable from 10BaseT, The results obtained by simulation of the network
100BaseT to 1000BaseX, no variation in verifies that the Ethernet cables are categorized on
load pattern is observed across the node the basis of data rates.10BaseT, 100BaseT and
(node_0) as recorded by the simulation 1000BaseX categories of cables that provide
results. different data rates. 10BaseT is the slowest of two
and offers maximum delay, whereas 1000BaseX
is fastest and has negligible delay.

Table 1 Result Comparison: Network 1

Figure 6 Variation in load


Network 2 Result analysis
 The simulation result shows that there is
no change in traffic received by node Local area network following the Ethernet
(node_0) on changing the cables from standard is established for 10 nodes, 20 nodes and
10BaseT, 100BaseT to 1000BaseX. 30 nodes for comparison of parameter
performance.
 The delay-global (sec) in network
increases on increasing the number of
nodes in network from 10, 20 to 30 nodes.
The simulation test for load (sec) analysis
lasted for 30 minutes.

COMO1O8-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Figure 8. Variation in delay-global Figure 10 Variation in traffic received (bits/sec)


for 8 hrs and 20 hrs
 The load (bits/sec) by node (testnode) The results obtained by simulation of the network
increases with increase in number of nodes verifies the statements
from 10 nodes, 20 nodes to 30 nodes over  The delay-global (sec) and delay-node
the time. During first hour of simulation (sec) increases with increase in number of
the network having least number of nodes nodes in computer network as a node
is affected by maximum per node load contributes to the traffic (bps) and load
(bps) but during intervals of 8 hours and (bps). The traffic in network contributes to
20 hours the network with 20 nodes and 30 collisions and data loss, which results in
nodes start contributing maximum per delay of data packets reaching the
node load (bps) respectively on the destination hence contributing to the
network. overall network delay. Therefore more the
number of nodes more will be the delay.
 The load (bps) contributed by an
individual node increases with the increase
in number of nodes in the network. More
the nodes in network more will be the
communication or transfer of data between
them, which in turn increases the load on
network links.
 Traffic received (bps) by an individual
node has maximum value for the
maximum number of nodes initially. But
Figure 9. variation in load (bits/sec) for 8 Hrs and as the number of nodes increases from 10
20 Hrs nodes, 20 nodes to 30 nodes the number of
collisions, the delay also increases in
 The received traffic (bits/sec) by node Ethernet links. Therefore the traffic
(testnode) increases linearly with increase received (bps) for minimum number of
in number of nodes from 10 nodes, 20 nodes increases linearly from the network
nodes to 30 nodes over the time. The having maximum number of nodes. But
simulation results show that the traffic after duration of 20 hours, when the
received by individual node (bps) is network stabilizes a linear relationship
maximum in a network having maximum develops between traffic received by
number of nodes. The simulation results individual node of networks with number
for 8 hour simulation and 20 hour of nodes varying from 10 nodes, 20 nodes
simulation is presented. to 30 nodes. Network with 30 nodes
attains the maximum linear value whereas

COMO1O8-6
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

network with 10 nodes attains the least [5] C.S. Raghavendra, M. Gerla, A. Avizienis,
linear value. “Reliable loop topologies for large local
computer networks”, IEEE transactions on
computers, January 1985, vol. c-34, issue
Table 2 Result comparison: Network 2
1, pp 46-55
NETWORK ATTRIBUTES NETWORK PARAMETERS [6] Shenker, David Clark, Deborash Estin,
Type of
No.
of
Packet
Delay-
Global
Load
Traffic
Received
Shai Herzog, “Pricing in computer
cable
node
Size
(Sec)
(bps)
(bps) networks: reshaping the research agenda”,
10BaseT 10 1500 19 520 560 Telecommunication policy, April 1996,
millisecond approx. approx.
s vol.2, issue 3, pp 183-201
100Base 20 1500 16 620 590 [7] Laurent Paquereau, Bjarne E. Helvik, “A
T millisecond approx. approx.
s module based wireless node for NS2”,
100Base 30 1500 15 625 600 p Proceedings from the workshop NS2: The
X millisecond approx. r
s o IP network simulator, 2006, article 4
x [8] Qichen, Felix Schnidt- Eisenlohr, Daniel
Jiang, Marc-Torrent-Moreno, Luca
Delgrossi, Hannes Hartenstein, “Overhaul
VIII. CONCLUSION of IEEE 802.11 modeling and simulation
The Ethernet cables are categorized on the basis in NS2”, Proceedings of the 10th ACM
of data rates.10BaseT, 100BaseT and 1000BaseX symposium on modeling, analysis and
categories of cables that provide different data simulation of wireless and mobile systems,
rates. 10BaseT is the slowest of two and offers 2007, pp 159-168
maximum delay, whereas 1000BaseX is fastest [9] Min-Seak Kang, Jaeshin Jang,
and has negligible delay. The delay and traffic “Performance evaluation of IEEE 802.16d
received increases with increase in the network ARQ algorithm with NS2 simulator”,
load. Communications magazine, August 2006,
pp 1-5
REFERENCES [10] D. Mahrenholz, S.Ivanov, “Real time
[1] S. Pierre, G. Legault, “A genetic algorithm network emulation with NS2”, Eight IEEE
for designing distributed computer International symposiums on distributes
network topologies”, IEEE transaction on simulation and real time applications,
system, man and cybernetics, April 1998, October 2004, pp 29-36
vol. 28, issue 2, pp 249-258 [11] S. Kurkowski, T. Camp, N. Mushell, M.
[2] F. Kamoun, L. Kleinrock, “Analysis of Colagrasso, “Visualization and analysis
shared finite storage in a computer tool for NS2 wireless simulations:
network node environment under general iNSpect”, 13 IEEE International
traffic conditions”, IEEE transaction on symposium on modeling, analysis and
communications, July 1980, vol. 28, issue simulation of computer and
7, pp 992-1003 telecommunications systems, September
[3] Yu-Chee Tseng, Chih-shu Hsu, Ten- 2005, pp 503-506
Yueng Hsieh, “Power saving protocols for [12] Li Huijie, Lin Xiaokang, “A network
IEEE 802.11 based multi hop adhoc based 3 tier network simulation
networks”, computer networks magazine, architecture”, 2005 IEEE International
October 2003, vol.43, issue 3, pp 317-337 symposium on communication and
[4] B.C. Neuman, T. T’so, “Kerberos: an information technology, October 2005, pp
authentication service for computer 793-796
networks “, IEEE communication [13] Yijinzhang, Pingping Xu, Guangguo Bi,
magazine, September 1994, vol.32, issue Sheng Bao, “Analysis of energy efficient
9, pp 33-38 power saving in IEEE 802.15.4”, IEEE

COMO1O8-7
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

wireless communication and networking [22] S. Garg, M. Kapper, “An experimental


conference, march 2007, pp 3330-3334 study of throughput for UDP and VoIP
[14] Li- ming, Li- dong Zhu, Shi-qiwa, “Design traffic in IEEE 802.11b networks”, IEEE
on the simulation platform for mobility conference on wireless communication
management in LEO satellite network and networking, march 2003, vol.3, pp
based on OPNET” Future generation 1748-1753
communication and networking, 2007, vol. [23] Mache Creeger, “Embracing wired
1, pp 198-202 networks”, Queue archive, vol.5, issue 4,
[15] M. Foras, J. Mohorko, “ Estimating the June 2007, pp 12-15
parameters of measured self similar traffic [24] F. Cali, M.conti, E. Gregori, “IEEE 802.11
modeling in OPNET”, Systems, signals protocol: design and performance
and image processing, June 2007, pp 78- evaluation of an adaptive backoff
81 mechanism”, IEEE journal on selected
[16] N. Golmie, R.E Vandyck, A. soltanian, areas in communications, September 2000,
“Interference of Bluetooth and IEEE vol. 18, issue 9, pp 1774-1786
802.11 simulation, modeling and [25] R. Bruno, M. Canti, E. Gregori, “Mesh
performance evaluation”, International; networks: commodity multihop adhoc
workshop on modeling analysis and networks”, IEEE communications
simulation of wireless and mobile systems, magazine, March 2005, vol. 43, issue 3, pp
2001, pp 11-18 123-131
[17] R. Pries, D. Staehle, D. Marsico, [26] S.I. E.G. Nikolovzou, I.S. Venieris, “QoS
“Performance evaluation of piggyback issues in the converged 3G wireless and
requests in IEEE 802.16”, IEEE 66th wired networks”, IEEE communications
vehicular technology conference, magazine, August 2002, vol. 40, issue 8,
September 2007, pp 1892-1896 pp 43-53
[18] D. Stevanaic , N. Vlajc, “Performance of [27] C.S. Raghavendra, M. Gerla, A. Avizienis,
IEEE 802.15.4 in wireless sensor networks “Reliable loop topologies for large local
with a mobile sink implementing various computer networks”, IEEE transactions on
mobility strategies”, 33 IEEE conference computers, January 1985, vol.c-34, issue
on local computer networks, October 1, pp 46-55
2008, pp 680-688 [28] Chee-Yee Chang, S.P. Kumar, Booz Allen
[19] Guangwei Bai, C. Williamson, Hamilton, “Sensor networks: evolution,
“Simulation evaluation of wireless web opportunities and challenges”, Proceedings
performance in an IEEE 802.11b of the IEEE, August 2003, vol. 91, issue 8,
classroom area network”, 28 Annual IEEE pp 1247-1256
international conferences on local [29] A. Adya, P. Bahl, J. Padhye, A. Wolwan,
computer networks, October 2003, pp 663- Lidong Zhou, “A multi-radio unification
672 protocol for IEEE 802.11 wireless
[20] M.S. Hasan, Hangnian Yu, A. Griffiths, networks”, Proceedings of first
T.C Yang, “Simulation of distributed international conference on broadband
wireless networked control systems over networks, October 2004, pp 344-354
MANET using OPNET”, 2001 IEEE [30] J. Comez, A.T. Campbell, M. Naghshinlh,
International conference on networking, C. Bisdikian, “Conserving transmission
sensing and control, April 2007, pp 699- power in wireless adhoc networks”, Ninth
704 international conference on network
[21] Huazhu Zhu, Ming Li, I. Chlamtac, B. protocols, November 2001, pp 24-34
Prabhakaran, “A survey of quality of
service in IEEE 802.11 networks”, IEEE
wireless communications, August 2004,
vol. 11, issue 4, pp 6-14

COMO1O8-8
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

OFDM PAPR Reduction Based on Nonlinear Functions without


BER Degradation and Out-Of-Band Emission
Neelam
Dewangan &
Suchita
Chatterjee
Chattrapati Shivaji Institute Of Technology,Durg

MARCH
2011

Abstract OFDM has been chosen for high data rate


communications and has been widely deployed
One of the challenging issues for Orthogonal in many wireless communication standards
Frequency Division Multiplexing (OFDM) such as Digital Video Broadcasting (DVB)
system is its high Peak-to-Average Power Ra- and based mobile worldwide interoperability
tio (PAPR) ,which makes system performance for microwave access (mobile WiMAX) based
very sensitive to non-linear distortions.In this on OFDM access technology [3]. However,
paer we reduce the OFDM PAPR by using still some challenging issues remain unresolved
a non-linear function without degrading the in the design of the OFDM systems. a major
BER and without any OOB emisssion.Using disadvantage of OFDM is the inherent high
bussagang theorem ,we found that the uncor- peak-toaverage power ratio (PAPR) due
related component resulting in the non-linear to its approximately Gaussian distributed
process of input signal amplitude is the waveform generated by the summation of
component for PAPR reduction.Based on many subcarrier-modulated signals. There-
this result,we used the unused sub-carriers fore, the OFDM receivers detection efficiency
embedded in the spectrum of multi-carrier is very sensitive to the nonlinear devices
standards to carry the component for PAPR used in its signal processing loop, such as
reduction ,since the compoinent for PAPR Digital-to-Analog Converter (DAC) and High
is carried by unused sub-carriers there is no Power Amplifier (HPA), which may severely
BER degradation and OOB emission . impair system performance due to induced
spectral regrowth and detection efficiency
degradation. As one of characteristics of
I. INTRODUCTION the PAPR, the distribution of PAPR, which
bears stochastic characteristics in OFDM
As an attractive technology for wireless systems, often can be expressed in terms
communications, Orthogonal Frequency Divi- of Complementary Cumulative Distribution
sion Multiplexing (OFDM), which is one of Function (CCDF). Recently, some researchers
multi-carrier modulation (MCM) techniques, have reported on determination of the PAPR
offers a considerable high spectral efficiency, distribution based on different theoretics and
multipath delay spread tolerance, immunity hypotheses . Moreover, various approaches
to the frequency selective fading channels also have been proposed to reduce the PAPR
and power efficiency [1], [2]. As a result, including clipping, coding schemes ,phase op-

COMO1O9-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

timization,nonlinear companding transforms


, Tone Reservation (TR) and Tone Injection, −1
1 NX 2π
constellation shaping , Partial Transmission sn = √ Xk exp j nk, 0 ≤ n ≤ N L − 1
Sequence (PTS) and Selective Mapping (SLM) N k=0 NL
and other techniques such as pre-scrambles. (2)
An effective PAPR reduction technique should When L = 1, the above equation reduces to
be given the best tradeoff between the capacity the Nyquist rate sampling case. Eq.(2) can
of PAPR reduction and transmission power, be implemented by using a length(NL) IFFT
data rate loss, implementation complexity and operation with the input vector
Bit-Error-Ratio (BER) performance etc. In
this paper we focus on PAPR reduction tech- X ext = {X0 , ....., XN/2−1 , 0, 0, 0, XN/2 , ...., XN −1 }
niques based on nonlinear functions. Two well
known examples are clipping techniques which Thus, Xext is extended from X by using
use a clipping function for PAPR reduction the so-called zeropadding scheme, i.e., by
and companding techniques (a) which use a inserting (L-1)N zeros in the middle of X.
compression function at the transmitter side
for PAPR reduction. This paper propose to Suppose that the input data streams is
reduce the OFDM PAPR by using a nonlinear statistically independent and identically dis-
function called function for PAPR reduction tributed (i.i.d.), i.e. the real part <{s(t)}
without degrading the BER and without any and imaginary part ={s(t)} are uncorre-
OOB power. lated and orthogonal. Therefore, based
on the central limit theorem, when N is
II. OFDM SYSTEM MODEL considerably large, the distribution of both
<{s(t)} and ={s(t)} approaches Gaussian
distribution with zero mean and variance
Let a block of N symbols X = {Xk , k =
σ 2 = E[| <{s(t)} |2 | ={s(t)} |2 ] , where Es
0, 1, 2, ....., N − 1} is formed with each sym- is the expected value of s. In other words,
bol modulating one of a set of subcarriers OFDM signals with large N become Gaussian
{fk , k = 0, 1, 2, 3, ....., N − 1},where N is thedistributed with Probability Density Function
number of subcarriers. The N subcarriers are (PDF) as
chosen to be orthogonal, that is, fk = k/Ts
,and Ts is the tome duration of the OFDM P (s(t)) = √ 1 exp −(s(t)2 )/2σ 2
symbol. Therefore, the baseband time-domain 2πσ

signal s(t) is where,σ 2 is the variance of s(t).


Moreover, the Rayleigh nature of original
−1 OFDM signals amplitude can be gotten and
1 NX
s(t) = √ Xk exp(j2πfk t), 0 ≤ t ≤ Ts its PDF can be expressed as
N k=0
(1) P (r) = 2r exp −r 2
By sampling s(t) defined in Eq.(1) at
frequency fs = NL/Ts,where L is the oversam- where r is the amplitude of OFDM sig-
pling factor, the discrete-time OFDM symbol nals.
can be written as
III. DEFINITION OF PAPR

COMO1O9-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

as,
1) Continuous-time PAPR
max0≤n≤N L−1 |s(n)| 2
In general, the PAPR of OFDM signals is de- P AP R[s] = (4)
fined as the ratio between the maximum in- E|s(n)|2
stantaneous power and its average power where denotes the expectation operator.

2 IV. CHARACTERIZATION OF PAPR


maxt [0,Ts ] |s(t)|
P AP R[s] = (3)
Ps

where Ps is the average power of and it can


be computed in the frequency domain because
Inverse Fast Fourier Transform (IFFT) is a
(scaled) unitary transformation.

2) Discrete-time PAPR

The PAPR of the discrete time sequences


typically determines the complexity of the
digital circuitry in terms of the number of
bits necessary to achieve a desired signal to
quantization noise for both the digital oper-
ation and the DAC. However, we are often
more concerned with reducing the PAPR
of the continuous-time signals in practice,
since the cost and power dissipation of the
analog components often dominate. To better
approximate the PAPR of continuous-time
OFDM signals, the OFDM signals sam-
ples are obtained by times oversampling. Figure 1: Distribution of PAPR of OFDM sig-
L-times oversampled time-domain samples nal samples oversampled by different L
are NL-point IFFT of the data block with
(L-1)N zero-padding. Therefore, the oversam- REDUCTION SCHEME BASED ON NON-
pled IFFT output can be expressed as in eq(2). LINEAR FUNCTION

Fig. 1 shows the distribution of the PAPR A. Definition and Remark : Let f (.), be
of the OFDM signals with N=256 and a function and let s(t) = |s(t)| exp jϕ(t) be
L=1,2,4,16. As shown, the largest PAPR in- a single or multicarrier signal, where ϕ(t)
crease happens from to L=1 to L=2. However, is the phase of s(t). The function f (.) is
the PAPR does not increase significantly after a function of s(t) PAPR reduction if the
L=4. It has shown that L ≥ 4 is sufficient PAPR of s(t) is strictly inferior to the PAPR
to get accurate PAPR results . The PAPR of s˜(t) = f [|s(t)|] exp jϕ(t), i.e., it exists
computed from the L-times oversampled time ∆P AP R > 0 such as
domain OFDM signal samples can be defined

COMO1O9-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

P AP R[s˜] + ∆P AP R = P AP R[s](indB),
C. PAPR reduction techniques based on
where ∆P AP R is the gain in PAPR re- Nonlinear Functions in adding signal context
duction.Indeed, a signal s(t) and its
scaled version have the same PAPR, i.e., The adding signal techniques consist of
P AP R[s] = P AP R[αs], where α,is a scalar. reducing the envelope of OFDM signal by
adding a peak-reducing signal[5] just before
B. Proposition the HPA as shown in Fig.1
The uncorrelated component resulting in non-
linear process of the input signal amplitude is
the component for PAPR reduction. Proof:
Let f (.) be a function of s(t) PAPR reduction,
s(t) can be a single or multicarrier signal.

(i) According to the above definition ,it


exists ∆P AP R > 0 such us

P AP R[s˜] + ∆P AP R = P AP R[s](indB),
Figure 2: Adding signal scheme for PAPR re-
(ii) As f(.) is a nonlinear function (refer to duction,where c(t) is the peak reducing signal
the remark), using the Bussgang decomposi-
tion[4], the signal s̃(t) = f [|s(t)|] exp jϕ(t)can
be written as The PAPR reduced signal is therefore
expressed by
s̃(t) = αs(t) + d(t),
s˜(t) = s(t) + c(t)
where α = Rs̃s (0)/Rss (0). The compo-
nent d(t) is uncorrelated with the input signal from Eq and Eq , the peak reducing sig-
s(t), i.e.,Rsd (τ ) = E[d(t + τ )s̃(t)] = 0. nal c(t) is expressed as

(iii) As, s(t) and its scaled version αs(t) have c(t) = (α − 1)s(t) + d(t)
the same PAPR, i.e., P AP R[s] = P AP R[αs],
and as from above Eq we can see that ,the peak
reducing signal depends on the uncorrelated
P AP R[αs+d]+∆P AP R = P AP R[s̃]+∆P AP R component resulting in the nonlinear process
(5) of the input signal amplitude.
= P AP R[s] (6)
= P AP R[αs]; (7) D. Properties of the peak-reducing sig-
nal
(iv) Therefore,

P AP R[αs + d] < P AP R[αs];(8) Using the statistical properties of uncor-


related component resulting in the bussgang
so d(t) is the component for s(t) PAPR decomposition [6,7],we derive the statistical
reduction. properties of the peak-reducing signal.we have

COMO1O9-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

the correlation function for c(t) is Here we use the unused subcarriers em-
bedded int he spectrum of multicarrier
Rcc (τ ) = |α − 1|2 Rss (τ ) + Rdd (τ ) (9) standards to carry the peak-reducing signal
in order not to degrade the BER of system
where ,Rdd (τ ) is the correlation function for and notto generate OOB distortions.Without
the uncorrelated component d(t) and is given unused subcarriers of multicarrier standards
by ,PAPRcan be reeuced without BER degra-
∞ dation but with OOB emission or without
X
Rdd (τ ) = bn [Rss (τ )/Ps ]( 2n + 1) (10) OOB emission but with BER degradatoin. A
n=1 FFT/IFFT pair-based digital filtering to filter
the peak reducing signal in order to carry it
and only on the unused subcarriers of multicarrier
Z standards. Let I and O be be the set of the
1 1 r2
bn = k rf (r)p(r)LnI (
)dr k in band and out band indices respectively
Ps n + 1 Dr ps
(11) and {i0 , ...., iNr −1 } be the locations of the
whereDr = r : 0 ≤ r ≤ ∞ is the domain of unused subcarriers and R c the complement
integration,p(r) is the probability density of of R in I. The forward FFT transforms cn
the input signal amplitude andLIn (x) is the back to the frequency domain.The discrete
languerre function expressed by frequency components of cn on the unused
subcarriers R are passed unchanged while the
x−k exp x data subcarriers Rc and the OOB components
LIn (x) = (d/dx)n (xn+k exp −x) O are setted to zero, i.e,
n!
(12) (
The peak reducing signal power spectrum Ck , k<
C˜k =
density is expressed by 0, k (<c ∪ O)
P∞
n=1 Ps2n+1 [Sss (ϑ) ⊗1
bn
Scc (ϑ) = |α − 1|2 Sss (ϑ) +
.... ⊗2n+1 Sss (ϑ)]

from above Eq it can be seen that c(t) is


distributed over a wider bandwidth than s(t).
In [6][7] it is shown that the uncorrelated
component resulting in bussgang decomposi-
tion is responsible to in-band and out-of-band
distortions. As c(t) = (α − 1)s(t) + d(t),the
peak reducing siganl is responsible for in-band
and out-of-band distortion. Thus a trade-off Figure 3: Adding signal scheme for PAPR re-
must be done between PAPR reduction and duction including FFT/IRRT-based filter and
distortions generated. the iteration process ,where c(t) is the peak
reducing signal
V. PRINCIPLE OF PAPR REDUCTION
WITHOUT BER DEGRADATION AND The IFFT operation transforms
OOB EMISSION C̃k , k = 0, ..., N L − 1 back to the time domain.
This results in the filtered peak-reducing

COMO1O9-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

signal cn at the output Tof the filter-based amplitude of the signal. In [11],it is shown
FFT/IFFT. Because of R Rc = Φ, the BER that any form of clipping (in particular, the
of the system is not degraded. Because of classical clipping) can be formulated as an
C̃k = 0 for k O, there is not OOB emission. adding signal technique as illustrated in Fig.1.
It is obvious that the filtering eliminates a
part of the peakreducing signal which causes B. The IEEE 802.11a/g standards based
a peaks regrowth. In order to reduce as much WLAN system
as possible the PAPR, the process of adding
signal computation followed by filtering must In WLAN IEEE 802.11a/g standard IFFT
be repeated several times. The new PAPR size (N) is 64. Out of these 64 subcarriers, 48
reduction scheme including the filtering and subcarriers are used for data, while 4 subcarri-
the iterations is illustrated in Fig.2 in discreteers are used for pilots. The rest 12 subcarriers
time-domain. are unused (null) subcarriers located at the
positions R = {0, 27, ......, 37} of the IFFT
VI. ILLUSTRATION BY THE CLASSI- input. Only these unused subcarriers shall be
CAL CLIPPING FUNCTION IN A WLAN utilized for WLAN PAPR reduction.
SYSTEM CONTEXT Fig.3 shows the IEEE 802.11a/g Standard
specifications: Subcarriers (data, pilots, un-
There are many functions which can be used) positions [9].
used for PAPR reduction such as clipping
,companding techniques and within compand- VI. CONCLUSION
ing there exist exponential companding , µ
-law compander,A-law compander, exponen- OFDM is a very attractive technique for
tial companding and many more.In this paper wireless communication due to its spectrum
, we will discuss the classical clipping function efficiency and channel robustness.One of the
which is a function for PAPR reduction.This serious drawback of OFDM system is that
function is used to reduce the PAPR of the the composite transmit signal can exhibit a
local-area-networks (WLAN) system based on very high PAPR when the input sequences
IEEE 802.11a/g standards under the condition are highly correlated.In this paper using
of no BER degradation and no OOB power Bussagang theorem we have pointed out that
emission. input signal when undergoes nonlinear process
results in an uncorrelated component which
A. Clipping function is used as a component for PAPR reduction
Using the conventional clipping technique [8] and unused subcarriers in the spectrum of
to reduce OFDM PAPR, the output signal uncorrelated component is used to carry
s˜(t), in terms of the input signal s(t) is given the component for PAPR reduction.Here
as follows: s̃(t) = f [|s(t)|] exp jϕ(t), where a conventional clipping technique has been
ϕ(t) is the s(t) phase and f(.) is the clipping formulated as an adding signal technique
function which is expressed as for PAPR reduction and has been used to
( illustrate these assertions in a WLAN system
r, r≤A based on IEEE 802.11a/g standard.
f (r) =
A, r≥A
REFERENCES
where A is the clipping threshold and r is the [1] Y.Wu and W. Y. Zou, ”Orthogonal frequency

COMO1O9-6
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

division multiplexing: A multi-carrier modulation


scheme”, IEEE Trans. Consumer Electronics, vol.
41, no. 3, pp. 399, Aug. 1995.
[2] W. Y. Zou and Y. Wu, ”COFDM: An
overview, IEEE Trans. Broadcasting”, vol. 41, no.
1, pp. 18, Mar. 1995.
[3] T. Jiang, W. Xiang, H. H. Chen, and Q.
Ni, ”Multicast broadcasting services support in
OFDMA-based WiMAX systems”, IEEE Commu-
nications Magazine, vol. 45, no. 8, pp. 7886, Aug.
2007.
[4] J. Bussgang, ”Crosscorrelation function of
amplitude-distorted Gaussian signals”, Research
laboratory of electronics, Massachusetts Institute
of Technology, Cambridge. Technical Report 216,
(1952).
[5] D. Guel and J. Palicot, ”Clipping formu-
lated as an adding signal technique for OFDM
Peak Power Reduction”, IEEE Vehicular Technol-
ogy Conference, VTC-Spring, Barcelona, Spain,
26-29 April 2009.
[6] P. Banelli, G. Baruffa, and S. Cacopardi, ”Ef-
fects of HPA non linearity on frequency multiplexed
OFDM signals”, IEEE Trans. Broadcast., vol. 47,
pp. 123-136, June 2001.
[7] P. Banelli, and S. Cacopardi ”Theoretical
analysis and performance of OFDM signals in
nonlinear fading channels”, IEEE Transactions on
Wireless Communications, vol. 47, no. 2, pp. 284-
293, March 2003.
[8] X. Li and L. J. Cimini, Jr., ”Effects of clipping
and filtering on the performance of OFDM”, IEEE
Commun. Lett., vol. 2, pp. 131-133, May 1998.
[9] ”Wireless LAN Medium Access Control
(MAC) and Physical Layer (PHY)specifications”,
Adopted by the ISO/IEC and redesignated as
ISO/IEC 8802-11:1999/Amd 1:2000(E).

COMO1O9-7
Analysis on Placement of Wavelength Converters in WDM p-Cycle Network

Rupali Agarwal Rohit Kumar


roopali.ipec@gmail.com rohit.hbti@rediffmail.com
Deptt. Of EC, BBD, Lucknow Deptt. of EI, BBD, Lucknow

Abstract the lightpath can prevent this. Deployment of


wavelength converters thus increases flexibility but
The p-cycles can be described as preconfigured closed adds costs that can be quite high.
protection paths in a mesh network. Like rings, the Therefore, the p-cycle concept in WDM
protection path is preconnected in advance of any networks with the idea of requiring as few wavelength
failure and protection capacity is very fast and simple. converters as possible while avoiding any significant
And like mesh p-cycle offers the high capacity penalty in required capacity due to such wavelength-
efficiency. The use of wavelength converter in p-cycle blocking effects is investigated. The new approaches
enhances the capacity and rapid protection mechanism for an efficient configuration are developed and focus
for mesh-restorable networks. We can trade- off on the two aspects of protection capacity efficiency
between costs associated with the number of and the number of required wavelength converters is
wavelength converters required and the total spare given.
capacity needed for protection. The most important
thing is that the number of wave- length converters Here, the few terms used in the paper are defined as
can be greatly reduced, with placing wavelength follows:
converters at the different points between a Link: Individual wavelength channel between 2
transparent optical working path layer and a adjacent nodes.
corresponding set of single-wavelength p cycle Span: Set of all links between 2 adjacent nodes.
protection structures. Here we are comparing different Path: End to end connection described by a sequence
techniques named as sparse, partial and sparse-partial of links and nodes.
wavelength conversion. Wavelength path (WP): Path that consists of links
with the same wavelength and thus does not require
Index Terms - WDM Networks, Sparse Wavelength any wavelength converter.
conversion, Partial Wavelength conversion, p-cycles Virtual Wavelength path (VWP): Path that includes
a wavelength converter at each node.
1. Introduction
2. The p-cycle concept
p-Cycles offer an attractive option for protection in
wavelength division multiplexed (WDM) transport
networks, by combining the benefits of both ring-
based protection and mesh-based restoration. In a
WDM network where wavelength conversion has
significant cost, however, the assignment of
wavelengths to working paths, and to protection
structures, also needs to be considered so that the
overall cost of wavelength conversions and capacity is
minimized. Without any (wavelength) converters,
each working path must have the same wavelength
from origin to destination node. In WDM networks Fig.2.1. Simple mesh network with one p-cycle
without wavelength conversion, situations can occur
where there are free channels, but blocking still occurs The p-cycle concept, first presented in [1], is a recent
because a common free wavelength cannot be found strategy to recover from network failures. In fig.2.1
for the entire path. Changing the wavelength along the dashed line represents a p-cycle of a single unit of
protection capacity. It provides a protection on the path, and may have different wavelengths on
mechanism for spans and for transiting traffic through different links that the path traverses. Therefore, a WP
failed nodes. p-Cycles can be described as pre- network has no wavelength conversion capabilities at
configured closed protection paths in a mesh network. all, while a VWP network has full wavelength
Cycle-oriented pre configuration remains conversion at every node, i.e., there are sufficient
fundamentally a mesh restorable network technology converters at each node to convert any incoming
in terms of its capacity efficiency and in its functional wavelength to any outgoing wavelength.
differences from self-healing rings. The concept is
based on the property of a ring to protect not only its Previous research [3], [4] has shown that wavelength
on cycle spans but also the straddling spans [1]. conversion enables more efficient resource utilization,
and may reduce the lightpath blocking probability
significantly by resolving the wavelength conflicts of
lightpath routing. However, wavelength converters
should not be used arbitrarily due to their high costs,
and possible signal quality degradation incurred by
some types of converters. Therefore, a tradeoff
between the performance of a WDM network, and the
number of wavelength converters used exists whether
network protection provisioning is considered or not.

4. Wavelength conversion techniques


Fig.2.2. Failure of an on cycle scan
It has been demonstrated that a relatively small
number of converters is sufficient for networks to
achieve a certain level of acceptable blocking
performance. Such networks therefore only have
partial wavelength conversion capabilities. The
different techniques used for wavelength conversion
are as follows:
1. Partial wavelength conversion
2. Sparse wavelength conversion
3. Sparse partial wavelength conversion
Fig.2.3. Failure of a straddling span

The p-cycle concept combines the merit of the two 4.1. Partial wavelength conversion
basic protection strategies so far known: ring
protection and mesh restoration. On one hand, it WDM networks add the aspect of wavelength
allows short recovery times of about 50-150 ms known assignment to the p-cycle protection concept. Working
from protection ring structures. On the other hand it and p-cycle links may have different transmission
has been repeatedly validated that under appropriate wavelengths. It is not sufficient to provide only
design methods, it offers the capacity-efficiency which enough p-cycle protection paths for the working links
is essentially as high as that of a span-restorable mesh but its also have to consider the wavelengths of the
network. working paths failed in any given scenario and the
wavelength(s) on which a p-cycle is established. If the
3. Wavelength converters in p-cycle networks protection path for a working link is allocated at a
different wavelength, the wavelength must be
When wavelength conversion is not available, a converted to access the p-cycle in case of a failure.
lightpath must use the same wavelength on all the Otherwise, all working link and protection path
links traversed in a WDM optical network. This arrangements must be coordinated to have the same
requirement is known as the wavelength continuity wavelengths.
constraint. On the other hand, if wavelength routers In nodes with partial wavelength conversion,
are capable of wavelength conversion, an optical only a limited number of incoming lightpaths can
signal may be converted from one wavelength to change to a different wavelength on the outgoing link.
another wavelength. In some previous work on -cycle Fig 4.1(a) and 4.1(b) depict an optical cross-connect
based protection, a path following the wavelength node with a shared pool of C wavelength converters. If
continuity constraint is called a wavelength path (WP), there is no wavelength conversion required, an
while a virtual wavelength path (VWP) is defined to be incoming lightpath will be directed to the appropriate
a path that uses wavelength conversion at each node output port of an outgoing fiber or to the local access.
Otherwise the lightpath can pass through an available Advantages of partial wavelength conversion:
converter in the converter pool. As in a WP network 1. No. of wavelength converters is reduced.
there are no converters, and in a highly equipped 2. Blocking probability of calls is reduced i.e. success
VWP network each node has at least m*n converters, probability is increased.
where m is no. of input and output fibers in the optical
switch and n is the total no. of wavelengths in 4.2. Sparse wavelength conversion
wavelength mux and demux.
With this type of node architecture in mind, it
is considered to have two basic alternatives to provide To achieve the full wavelength conversion capability,
p-cycles in WDM networks with partial wavelength i.e. the input wavelength can be converted into any
conversion. One employs WP p-cycles with converters output wavelength; a simple method is to use a
used for WP working paths to access them, and the converter per wavelength per port in a dedicated
other is based on VWP p-cycles. The first idea is that manner. However, from commercial point of view this
in order to provide for protection without requiring a method is not cost effective as the cost of wavelength
set of p-cycles dedicated to every wavelength, but converters is quite high and also the quality of signal
while using as few converters as possible overall, it is also degraded. So it is recommended to use the
may be efficient to associate converters only with the minimum no of converters. To reduce the usage of
p-cycle access points-leaving the working paths to be wavelength converters, there are two approaches. In
implemented in a pure WP manner incurring no one approach, sharing of wavelength converters is
converter costs. Although in practice the converters done through a switch as discussed in the aforesaid
may be available for working paths as well, it is approach. Or in another approach, wavelength
assumed for research comparisons on the basic idea converters are allocated to only few of the nodes in the
that the converters are used for protection paths only. network, i.e. some of the nodes possess the wavelength
One reason for this is also that protection paths conversion capability while others do not. This refers
typically need more flexibility in the wavelength to sparse wavelength conversion. Also the sparse
selection to be able to protect many working wavelength conversion fulfils the requirement of
wavelengths. A built-in advantage is also that because wavelength conflict rule. According to wavelength
a failed working link is replaced by a longer protection conflict rule, the number of wavelengths required in a
path, the converters (which are typically o-e-o WDM network is at least equal to the maximal
regenerative circuits) can ensure that the signal quality number of channels over a fiber (called maximal link
of affected paths is not degraded by the protection re- load) in the network. By placing wavelength
routing. In this basic approach, after detecting a span converters at some nodes in the network (i.e. sparse
failure the failure-adjacent nodes switch the working wavelength conversion), the number of wavelengths
link to a predetermined protection path on a p-cycle needed can be made equal to the maximal link load.
and, if necessary, convert the wavelength of the The converter allocation principle is explained with
working link to that of the p-cycle at the access point. the help of figure 4,4(a) and 4(b). In figure 4 there are
two working paths A-B-C and B-A-E and the p-cycle
configuration has been completed in the network. In
the figure, the shaded nodes indicate the places where
converters are needed and the numbers associated with
each edge represent the wavelengths assigned to each
link passed through the working paths and p-cycles.

Fig.4.1 (a). A wavelength converter with full wavelength


conversion

Fig 4: P-cycle configuration in two working paths network


Fig 4.1 (b): A wavelength converter with partial
wavelength conversion
When span (B − C) fails, as shown in the figure
4(a), two converters are needed at node B for the 3.3 Sparse partial wavelength conversion
working path (B − C) to access the p-cycle (converting A special case of partial wavelength conversion is
wavelength from 0 to 2 and vice versa) as the path is sparse partial wavelength conversion in which only a
bidirectional and one converter is needed in each subset of network nodes is having the wavelength
direction. If span (A −E) fails, similarly, we need not conversion capacity and also the nodes are not fully
only two converters at node E for the second working wavelength convertible. This network architecture can
path to access the p-cycle, but also two converters at significantly save the number of wavelength
node B and C, respectively, to transmit the on-cycle converters, yet achieving excellent blocking
traffic (converting wavelength from 2 to 1 and then to performance. Theoretical and simulation results[7]
2), as shown in fig 4.2(b). In the example, node B indicate that, the performance of a wavelength-routed
needs two converters for working path (A − C) to WDM network with only 1-5% of wavelength
access the p-cycle in the case of span (B − C) failure, conversion capability is very close to that with Full-
and two converters for on-cycle wavelength Complete Wavelength Conversion capability. Actually
conversion in the case of span (A −E) failure. Here it the sparse partial wavelength conversion (SPWC)
may be noticed that to minimize the number of technique combines the benefits of partial wavelength
converters, only two converters are needed at node B conversion and sparse wavelength conversion. There
to protect spans (B − C) and (A − E) since at most one are two kinds of nodes in the network: common
of the spans may fail at a time in the single failure wavelength routers without wavelength conversion
scenario. Thus in case of sparse partial wavelength capability, and WCRs with partial wavelength
conversion, the phenomenon of converter sharing conversion capability. By using sparse conversion and
comes in the picture. As per the simulation results [2], partial conversion together, only a small number of
the proposed approach significantly outperforms the wavelength converters are needed to achieve
approach for WP networks in terms of protection cost comparable performance as full-complete wavelength
and can obtain the optimal performance as achieved conversion. And it only requires that a small fraction
by the approach for VWP networks, but requires fewer of wavelength routers be replaced with WCRs, which
wavelength conversion sites and fewer wavelength is very flexible for the network carriers to migrate the
converters. existing network to support wavelength conversion.
The SPWC technique can be understood by the
following explanation-

Fig 4.2(a): span BC fails

Upon arrival of a lightpath request, if there is any link


in the selected route has no free wavelength, we have
to block this request. Otherwise, we first try to find a
common free wavelength on all the links along the
selected path. If there is no common free wavelength,
we will check whether wavelength converters can
help[8]. A lightpath is divided into several segments
by the intermediate WCRs which currently have free
converters, as shown in Fig. 5. Notice that, a WCR
can not provide conversion if its wavelength
converters have all been allocated. Each segment still
suffers the wavelength continuity constraint because
Fig 4.2(b): Span AE fails there are no WCRs in a segment (except the two end
nodes of the segment). The lightpath can be set up
successfully if and only if every segment has common
free wavelength(s). So we have to check whether there [4] D. A. Schupke, C. G. Gruber, and A. Autenrieth,
exist common free wavelengths for each segment “Optimal configuration of p-cycles in WDM
individually. Wavelength converters will be allocated networks,” in Proc. of IEEE International Conference
if necessary. Once the lightpath is terminated, the on Communications (ICC), New York, April/May
allocated converters will also be released. One 2002, vol. 5, pp. 2761–2765.
advantage of the sparse-partial wavelength conversion [5] Tianjian Li, Student member, IEEE, and Bin
is its flexibility for the network carriers to install Wang, member IEEE, “ An Optimal p-cycle based
WCRs gradually. From the performance analysis [9], protection in WDM optical networks with sparse
it is shown that the performance in terms of blocking partial wavelength conversion”.
probability is very much similar for sparse partial [6]. Tianjian Li, Student member, IEEE, and Bin
wavelength conversion and partial wavelength Wang, member IEEE, “Optimal Configuration of p-
conversion, if the total no of wavelength converters is Cycles in WDM Optical Networks with Sparse
not very large. Wavelength Conversion”
[7]. C. M. Assi, A. A. Shami, M. A. Ali, Z. Zhang,
5. Conclusion and X. Liu, “Impact of Wavelength Converters on the
Performance of Optical Networks,” SPIE/Kluwer
Wavelength conversion has been shown as Optical Networks Magazine, Vol. 3, No. 2, pages 22-
one of the key techniques that can improve the 30, March/April 2002.
blocking performance in a wavelength-routed all- [8]. X. Chu, B. Li, and I. Chlamtac, “Wavelength
optical network. Also we know that the wavelength Converter Placement under Different RWA Algorithm
converters are very expensive. To make effective use in Wavelength-Routed All-Optical Networks,” IEEE
of the limited number of wavelength converters Transactions on Communications, Vol. 51, No. 4,
different techniques are used. The different techniques pages 607-617, April 2003.
analysed in this paper are partial wavelength [9]. Xiaowen Chu, Jiangchuan Liu, Zhensheng Zhang
conversion, sparse wavelength conversion and sparse “Analysis of Sparse-Partial Wavelength Conversion in
partial wavelength conversion. It has been observed Wavelength-Routed WDM Networks” IEEE
that wavelength conversion can decrease the blocking INFOCOM 2004
probability by a large margin. The p-cycle concept is a
recent strategy to recover from network failures. So
the different techniques of wavelength conversion are
analysed in p-cycle network. Among all the three, the
partial wavelength conversion technique is best as it
comprises of the benefits of both partial wavelength
conversion and sparse wavelength conversion. But if
we add more wavelength converters into the
wavelength convertible routes, the performance of
full-partial wavelength conversion can be the same as
full-complete wavelength conversion.

6. References

[1]. W. D. Grover and D. Stamatelakis, “Cycle-


oriented distributed preconfiguration: ring-like speed
with mesh-like capacity for self-planning network
restoration,” in Proc. IEEE International Conf.
Commun.(ICC’98), Atlanta, GA, June 1998, pp. 537–
543.
[2] D.A. Schupke, C.G. Gruber, and A. Autenrieth.
“Optimal configuration of p-cycles in WDM
networks”. Proc. of IEEE International Conference on
Communications (ICC), New York, USA, 5:2761–
2765, April/May 2002.
[3] D.A. Schupke, M.C. Scheffel, and W.D. Grover.
“Configuration of p-cycles in WDM networks with
partial wavelength conversion”.
Photonic Network Communications, pages 239–252,
June 2003.
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

COMPARATIVE ANALYSIS OF WIRELESS


NETWORKS USING OPNET
Yaduvir Singh1, Vikas Gupta2, Rahul Malhotra3
1
Thapar University, Patiala, Punjab
2,3
Adesh Group of Institutions, Sri Muktsar Sahib
1
yad_pra@yahoo.com
3
blessurahul@gmail.com

Abstract: - The traditional wired transmission medium poses Reliability: The wireless networks are subject to interference
constraints like mobility and extensive cabling. As the networks are and can thus pose a problem in the administration of Wireless
being upgraded from scratch all over the world, network planning Infrastructure.
is becoming all the more important. Computing the viability and Security: Technically wired LANs are more secure than
performance of computer networks in real can be very expensive WLANs. Since wireless signals are transmitted through the air,
and painstaking task. To ease and comfort the process of
they can be captured by devices outside the network. However,
estimating and predicting a network design, simulation and
modeling techniques are widely used and put into practice. Among the majority of wireless LANs today protects their data with the
the variety of simulation tools available OPNET was used for the Wired Equivalent Privacy (WEP) encryption standard or Wi-Fi
purpose of modeling and simulation. In wireless networks, the Protected Access (WAP) which makes wireless communications
metrics like delay, retransmission attempts and throughput have almost as safe as wired ones in homes.
been estimated with varying number of nodes, physical Bit Error Rate (BER): The wireless network’s media is error
characteristic, buffer size, data rate, RTS threshold and prone hence its BER is higher than the wired LANs.
Fragmentation thresholds. Carrier Sensing: Carrier sensing is difficult in wireless
Keywords: OPNET, Buffer size, RTS, FTS, Data rate. networks because a station is incapable of listening to its own
transmissions.
I. INTRODUCTION Hidden Terminal Problem: The hidden terminals decrease the
Due to the various drawbacks of wired LANs like performance of the wireless LANs.
extensive cabling and immobility etc., the wireless technology
gained momentum. Wireless communication technologies II. PERFORMANCE ANALYSIS
employ infrared, spread spectrum and microwave radio Here, the simulation scenario of the wireless LAN has
transmission techniques with varying data rates. The demand of been described in figure 2.1. A snapshot of the wireless LAN
wireless LAN has increased over a span of time because of its models is shown here:
comparative simplicity, flexibility, high rate access and low
cost. The wireless network infrastructure is useful to provide
accessibility in rough terrains and even rural areas where
establishing wired infrastructure is difficult.

1.1 Issues in Wireless Network


Wireless technology provides convenience and advantages like
easy of mobility, scalability and flexibility but it has certain
downfalls like:
Speed: The speed of the wireless networks (ranging from
2Mbps to more than 100 Mbps according to the IEEE 802.11n
standards) is comparatively less than the wired LANs (available
in Gbps). The data rate decreases with the increase in the
number of nodes. Figure 1 Network Model of the Wireless LAN
Range: The devices operate within a limited distance from an
For performance analysis of wireless networks, following
access point. The distance between the devices is determined by
attributes can be considered:
the standard used. It is distance between the buildings and other
 RTS Threshold (bytes)
obstacles between the access point and the user.
 Physical Characteristics
Cost: The wireless connecting devices are more costly as
 Data rate
compared to connecting devices used in wired networks.
 Fragmentation Threshold (bytes)
 Buffer Size
COMO2O1-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

These attributes have been described below:


RTS/CTS control enable/disable: To reduce the throughput
reduction owing to hidden stations RTS/CTS exchange is used.
This mechanism is used for large packets to improve the system
performance.
Physical Characteristics: Based on the value of this attribute,
which determines the physical layer technology in use, the
WLAN MAC will configure the values of the protocol
parameters.
Data Rate: Specifies the data rate that will be used by the MAC
for the transmission of the data frames via physical layer. The
set of supported data rates depending on the deployed physical
layer technology are specified in IEEE's 802.11, 802.11a,
802.11b and 802.11g standards. The value of the sibling Figure 2 Media Access Delay for varying physical characteristics
attribute "Physical Characteristics" determines the deployed During the investigation IEEE 802.11 network
physical layer technology, and consequently, the set of the data performance using DSSS, FHSS, IR and ERP layers has been
rate values that can be configured under this attribute. compared. The Figures 2 showing delay characteristics, Figure 3
Fragmentation Threshold: The fragmentation allows a large showing retransmission characteristics and Figure 4 showing
MSDU to be divided into several smaller data frames. throughput are used for investigation of scenarios with varying
Fragmentation allows early detection of errors and hence type of Physical characteristics. The Physical characteristics
reduces the retransmissions and the duration of time for which used are Frequency Hopping, Direct Sequence, Infra Red and
channel is occupied when fragments collide. Extended rate PHY (802.11g). Based on the value of this
Buffer Size (bits): Specifies the maximum size of the higher attribute, which determines the physical layer technology in use,
layer data buffer in bits. Once the buffer limit is reached, the the WLAN MAC will configure the values of the following
data packets arrived from higher layer will be discarded until protocols parameters as indicated in the IEEE 802.11 WLAN
some packets are removed from the buffer so that the buffer has standard: SIFS time, SLOT time, Minimum contention window
some free space to store these new packets. size, Maximum contention window size and any other parameter
The rest of the parameters can be set to constant or standard value derived from the values of these parameters (like DIFS).
values. Table 2 The selected protocol parameter values for physical layers [IEEE
Case I: Performance Analysis for varying Physical 802.11, 2003]
characteristics:
Parameter
ERP
Table 1 Performance Analysis scenarios for varying physical characteristics / Physical IR DSSS FHSS
802.11g
layer type
Parameters Case 1.1 Case 1. 2 Case 1.3 Case 1.4 128 28/50
DIFS 23 µs 50 µs
µs µs
RTS Threshold None None None None 19/30
PIFS 15 µs 30 µs 78 µs
µs
Fragmentation SIFS 6 µs 10 µs 28 µs 10 µs
None None None None
Threshold Slot time 8 µs 20 µs 50 µs 9/20 µs
Length of
Data Rate 2 Mbps 2 Mbps 2 Mbps 2 Mbps
physical 16 µs(1Mbps) 144
96 µs 72 bits
Physical Frequency Direct Infra ERP layer 20 µs (2 Mbps) bits
Characteristics Hopping Sequence Red (802.11g) preamble
Buffer Size 256000 256000 256000 256000 Minimum
The scenarios mentioned in Table 1 presents a number of 63 31 15 67.5/15
slots- CWmin
performance analysis under influence of the physical layer type
Maximum
on the IEEE 802.11 network performance. number of
1023 1023 1023 1023
slots-
CWmax
The inter-frame spaces (xIFS) are compared. The
smallest values are defined for the IR layer. The longest times
are proposed for the FHSS layer. The smallest values are
defined for the IR layer. The longest times are proposed for the
FHSS layer. The figure 2 shows lower delays for IR and ERP as
compared to the higher delays for DSSS and FHSS. For each

COMO2O1-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

packet the delay is recorded when the packet is sent to the objects variation may occur in terms of number of stations, data
physical layer for the first time. The smaller Slot Time and SIFS rate and type of network load too among certain other
values can decrease the average media access delay, and hence parameters. The phenomenon of retransmissions as shown in
improve the performance of the wireless network. The increase Figure 3 is due to heavy congestion in the network or failures,
in delay leads to retransmissions as shown in Figure 3. Total which lead to an excess in maximum TCP retransmission
number of retransmission attempts by all WLAN MACs in the timeouts. The effect of change in physical characteristics on
network occurs until either packet is successfully transmitted or throughput i.e. on the bit rate sent to the higher layer is shown in
it is discarded as a result of reaching the short or long retry limit. Figure 4.
The retransmission attempt counts recorded under this statistic
Case 2: Performance Analysis for varying number of
also include retry count increments due to internal collisions. A
workstations
higher level of offered load brings a large number of collisions.
This section presents simulation analysis under the
influence of offered load, for ERP- 802.11g, in form of
increased number of stations. Throughput and Delay as a
function of offered load for different number of stations have
been realized. The network has been configured to 11 Mbps
medium capacity. All other parameters are according to the
standard specifications.
Table 3 Performance Analysis scenarios for varying number of workstations
Parameters Case 2.1 Case 2.2 Case 2.3
Number of
3 6 12
Workstations

RTS Threshold(bytes) None None None

Figure 3 Retransmission Attempts for varying physical characteristics Fragmentation


None None None
Threshold(bytes)
The lack of the RTS/CTS mechanism causes a high
Data Rate (bps) 11 Mbps 11 Mbps 11Mbps
level of losses that arises from a large number of collisions of
relative large data frames. When there is a collision, the Extended Rate
Extended Rate Extended Rate
Physical Characteristics PHY
contention window size is doubled, until a maximum value: (802.11g)
PHY (802.11g) PHY (802.11g)
CWmax is reached. Figure 4 represents the total number of bits
Buffer Size (bits) 256000 256000 256000
forwarded from wireless LAN layers to higher layers in all
nodes of the wireless network. The results of obtained simulations are presented in
Figure 5 – Figure 7. The average media access delay as a
function of offered load for different number of stations is
shown in Figure 5. The realized throughput as a function of
offered load for number of stations is shown in Figure 7.
A very low increase in the average delay for low load
offered network is shown in the Figure 7. The average media
access delay is in milliseconds. The Figure 8 also shows that the
increase in the number of stations has a large influence on the
transmission delay. The variations in the delay are notable as the
offered load increases with the increase in number of
workstations. The largest value of average media access delays
obtained is approximately 0.25s (for 12 workstations),
approximately 0.07s (for 6 workstations) and 0.03s (for 3
Figure 4 Throughput (bits/sec) for varying physical characteristics workstations).
The investigations show that the network attains the
maximum throughput using IR layer. The worst results are
achieved when IEEE 802.11 protocol uses FHSS layer. But an
important thing to focus on is, that the throughput may vary
according to the type of the network modelled, the network

COMO2O1-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Figure 5 Media Access Delay for varying number of workstations Figure 7 Throughput for varying number of workstations (node level)
The throughput/delay can vary due to collisions of data The analysis showed that the throughput (bits/sec) at
packets. When a collision occurs, the received packets are the client node reduces with the increase in the number of
discarded without recovering any data. New transmissions of the workstations in the network. The statistic Throughput (bits/sec)
same packets must follow, possibly inducing secondary –a Node Statistics: means the total data traffic in bits/sec
collisions, so that more slots are used than transmitted packets. successfully received and forwarded to the higher layer by the
Therefore, throughput decreases and delay can become WLAN MAC. This statistic does not include the data frames
excessive. High delay variability is also due to retransmissions that are 1) unicast frames addressed to another MAC, 2)
at the link level. One of the reasons the retransmission occurs is, duplicates of previously received frames, and 3) incomplete,
when ACK are not available in time, due to heavy delay or meaning that not all the fragments of the frame were received
congestion in the network. Link-layer retransmissions induce within a certain time, so that the received fragments had to be
delays which do not conform to the assumptions on which the discarded without fully reassembling the higher layer packet.
transport protocol is based. This causes undesired TCP control The network load applied increases with increase in number of
actions which reduce throughput. Through simulations, it has stations. The retransmission attempts and hence the delay in the
been found that the effective throughput of wireless networks increased number of nodes is also more. The reduction of the
decreases as the retransmission limit increases as shown in maximum of realized throughput with the increased number of
Figure 5. contending stations is very characteristic. A higher level of
offered load brings a large number of collisions. The lack of
RTS/CTS mechanism causes a high level of losses that arise
from a large number of relative large data frames. The graph in
Figure 7 shows that increase in delay occurs due to the offered
load, lower is the throughput for the particular scenario. Another
Simulation scenario focuses on such methods like increasing the
buffer size or reducing the network load by providing restricted
access to the users.
Case 3 Performance Analysis for varying Buffer Size
Buffer size (bits) specifies the maximum size of the
higher layer data buffer in bits. Once the buffer limit is reached,
the data packets arrived from higher layer will be discarded until
some packets are removed from the buffer, so that the buffer has
Figure 6 Retransmission Attempts for varying number of workstations some free space to store these new packets.
An analysis of the presented results in figure 5 (shows Table 4 Performance analysis scenarios for varying Buffer size
node statistics for throughput) allows drawing the conclusions Parameters Case 3.1 Case 3.2
regarding the impact of number of stations on throughput. Number of Workstations 12 12
RTS Threshold(bytes) None None
Fragmentation Threshold(bytes) None None
Data Rate (bps) 11 Mbps 11 Mbps
Physical Characteristics Direct Sequence Direct Sequence

COMO2O1-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Buffer Size (bits) 256000 1024000

The optimum size of buffer can stabilize the queue


size, the packet drop probability and hence the packet loss rate.
The benefits of stabilizing queues in a network are high resource
utilization. When the queue buffer appears to be congested the
packet discard probability increases. On the other hand, the
buffer overflow can be used to manage congestion.

Figure 9 Retransmission Attempts for varying buffer size


The increase of packet discard rate can lead to the
decrease of throughput. This happens due to frequent
retransmissions of the MAC layer data packets when the packet
loss rate increases. But the packet loss may happen due to low
buffer size.

Figure 8 Media Access Delay for varying buffer size

The buffer configuration defines the buffer size, the


maximum allocated bandwidth and minimum guaranteed
bandwidth. If an incoming flow suddenly becomes bursty, then
it is possible for the entire buffer space to be filled by this single
flow and other flows will not be serviced until the buffer is
emptied. If the buffer size is increased, (Figure 9) then the
number of retransmission attempts would be reduced. Also the
size of the queue will be decreased for larger buffer due to the
fact that the larger buffer will take less time to send the packets, Figure 10 Throughput (bits/sec) for varying buffer size (Node level)
so the queue size will not build up continuously for larger The analysis in figure 10 shows that if buffer size is
buffer. This shows the reduction in delay as shown in Figure 8. increased then the retransmission attempts would be reduced as
The result of packet loss is the change of queue length. When the size of the queue is decreased for a large buffer size. The
packet loss is relatively low, packets usually can be transmitted time to deliver the packets decreases, due to large buffer size.
without retransmission, so the queue length may be relatively The throughput always increases monotonically with the buffer
low. But when the packet loss is high, the MAC packet size, reaching a maximum above a threshold buffer size.
retransmission (Figure 9) will prolong the delay of packet
(Figure 8). So, a small buffer size can increase packet drop rate Case 4 Performance Analysis for varying Data Rates
and hence change the queue length and thus impact the This attribute specifies the data rate that will be used by
throughput and delay. Hence, the buffer size has been increased, the MAC for the transmission of the data frames via physical
to improve performance by reducing packet drop rate and thus layer. The set of supported data rates depending on the deployed
increasing throughput. physical layer technology are specified in IEEE's 802.11,
802.11a, 802.11b and 802.11g standards. The Wireless LAN
model in OPNET Modeler supports data transfer at 1, 2, 5.5, 11
Mbps. These data rates are modeled as the speed of the
transmitter and receiver connected to wireless network. A
station can transmit data only at the data rate specified by the
attribute. However, it can receive data at any rate. Four
scenarios are modeled as shown in Table 5. In these the data
rates have been varied while keeping the rest of parameters
same in all the scenarios

COMO2O1-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Table 5 Performance Analysis scenarios for varying Data Rates the number of bits received increases. Also the media access
Parameters Case 4.1 Case 4.2 Case 4.3 Case 4.4 delay reduces with the increases in the data rate. It occurs with
Number of increase in data rate the data will stay in the buffer for lesser
12 12 12 12
Workstations time and hence the number of retransmissions will decrease.
RTS This indicates that that more number of packets can be delivered
None None None None
Threshold(bytes)
safely with increase in data rate.
Fragmentation
None None None None
Threshold(bytes) Case 5 Performance Analysis for varying RTS Threshold
Data Rate (bps) 1 Mbps 2 Mbps 5.5Mbps 11Mbps
Physical ERP ERP ERP
RTS technique is used to reduce the probability of two
ERP
Characteristics (802.11g) (802.11g) (802.11g) (802.11g) stations colliding with each other. The collisions occur because
Buffer Size (bits) 256000 256000 256000 256000 they cannot hear each other due to the absence of medium
The Figures 11 shows the average media access delay (wired link) between them. In this mechanism, a station wanting
and figure 12 shows the average throughput for varying data to transmit a packet first transmits a short packet called RTS,
rates. The figure 11 shows that the delay is relatively more for which includes the source, destination and duration between the
data rates ( 1Mbps), whereas it is less for scenarios with high packet sent and the acknowledgement received. The destination
data rates (11 Mbps). station responds (if the medium is free) with a response control
packet called CTS (clear to send), which includes the same
duration information. The mechanism reduces the probability of
a collision. The reduction in collision reduces the overhead of
collisions.
Table 6 Performance Analysis scenarios for varying RTS threshold
Parameters Case 5.1 Case 5.2 Case 5.3
Number of
12 12 12
Workstations
RTS
None 256 1024
Threshold(bytes)
Data Rate (bps) 1 Mbps 1 Mbps 1 Mbps
Physical Frequency Frequency Frequency
Characteristics Hopping Hopping Hopping
Buffer Size (bits) 256000 256000 256000
Figure 11 Media Access Delay for varying Data Rate The RTS or the CTS overhead are short in size as
The high data rate provides more bandwidth, so the compared to the data packets to be transmitted. Moreover the
data packet utilizes this bandwidth to be transmitted at high RTS and CTS frame size is controlled per station by a parameter
speed. Thus the delay increases for low data rates called RTS Threshold.
whereas it is less for high data rates (Figure 12).

Figure 12 Throughput (bits/sec) for varying Data Rate (Global) Figure 13 Media access delay for varying RTS

From the above simulation scenarios it has been The increase in delay for high value of RTS threshold
observed that as we increase the data rate form 1 Mbps to 11 shows the increase in delay in Figure 13. Though RTS helps to
Mbps, there is increase in throughput. This is also predictable reduce the collisions occuring due to hidden nodes but the delay
from the theoretical viewpoint that as we increase the data rate, increases due to the additional overhead introduced by thehigh

COMO2O1-6
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

value of RTS threshold . So, RTS threshold value must be bytes long). The mechanism of fragmentation divides the large
optimally chosen. sized packets in to smaller packets called fragments. The need
of fragmentation i.e. smaller packets in a wireless LAN
environment occurs because of the high Bit Error Rate of a radio
link and the probability of a packet getting corrupted (it
increases with the packet size but if the data packet size is
smaller, the overhead to transmit the data packet is also less).
Table 7 Performance analysis scenarios for varying Fragmentation threshold
Parameters Case 6.1 Case 6.2 Case 6.3
Number of
12 12 12
Workstations
RTS
None None None
Threshold(bytes)
Fragmentation
None 256 1024
Threshold(bytes)
Data Rate (bps) 11Mbps 11 Mbps 11Mbps
Figure 14 Retransmission Attempts for varying RTS Physical Direct Direct Direct
Characteristics Sequence Sequence Sequence
The number of retransmission occur with an increase in Buffer Size
256000 256000 256000
number of collisions (Figure 14). The RTS standard reduces the (bits)
collisions, so, the retransmissions occuring due the RTS So, the fragmentation/reassembly mechanism at the MAC Layer
threshold value 1024 bytes decreases as compared to the has been used to deal with large sized packets. Table 7 shows
threshold value of 256 bytes. the various scenarios designed using varying fragmentation
thresholds. In this section average media access delay (sec)
shown in Figure 16 and average throughput shown in Figure 17
has been investigated .

Figure 15 Throughput (bits/sec) for varying RTS (Global)

RTS/CTS are a four way handshaking technique for


packet transmissions. The above scenarios indicate that the
Figure 16 Media Access Delay for varying fragmentation threshold
media access delay is increased when using the RTS/CTS
mechanism. It occurs because sending RTS frame and waiting to The investigations from Figure 16 reveal that the
receive CTS frame will take a certain period of time (while the average media access delay increases for scenario with FTS
data waits in the transmission buffer). However, without using value of 256 bytes. Also the value of average media access
RTS/CTS mechanism the data will be sent immediately once it delay is low for scenario with higher fragmentation threshold
is ready to send. The probability of sending RTS increases in (1024). This variation occurs because when the fragmentation
case of 256 byte threshold rather than 1024 byte threshold and threshold is very small and the packet overhead is heavy. This
correspondingly the throughput varies with the number of packet overhead deteriorates the wireless LAN performance,
reservations (Figure 15). causing increase in average media access delay.

Case 6 Performance Analysis for varying Fragmentation


Threshold
Typical LAN protocols use packets several hundred
bytes long (the longest Ethernet packet could be up to 1518

COMO2O1-7
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

an RTS threshold of 256 bytes and fragmentation threshold of


1024 bytes in the simulated networks.

REFERENCES
[1] Muhammad Ahsan, “Access Methods (Contention & Token
Passing),”
http://www.suite101.com/article.cfm/laning_retired/44958
[2] Todd Lammle, “CCNATM Cisco Certified Network
Associate Study Guide, 5th Edition,2006: BPB
Publications”.
[3] Behrouz A Forouzan, “Data Communications and
Networking, 4th Edition, 2007: Tata McGraw Hill”.
[4] Sameh H. Ghwanmeh, “Wireless network performance
Figure 17 Throughput (bits/sec) for varying fragmentation threshold (Global) optimization using Opnet Modeller”, Information
Longer frames tend to have higher error rates therefore Technology Journal 5(1): pp 18-24, 2006.
the transmission of long frames increases both the number of [5] ISO/IEC 8802-11: 1999, “Wireless LAN Medium Access
required retransmissions and the amount of data that is dropped. Control (MAC) and physical Layer (PHY) specifications,
To combat this inefficiency the 802.11 standards specify a Standard reaffirmed in June 2003", 1999.
mechanism for fragmenting frames when their length is above a [6] Bradley Mitchell,”Wireless Standards - 802.11b 802.11a
user-defined threshold. Fragmentation is allowed in the IEEE 802.11g and 802.11n: The 802.11 family explained”,
802.11b standard at the MAC layer; so that the fragment size available at
could vary from 100 to 2350 bytes. When a frame is fragmented http://compnetworking.about.com/cs/wireless80211/a/aa80
each segment is transmitted as if it were a separate frame, while 211standard_2.htm
the fragments are identified at the destination using various [7] Sarah Shaban, Dr. Hesham M.El Badawy, Prof. Dr. Attallah
fields in the packet header. Packet fragmentation allows large Hashad, “ Performance Evaluation of the IEEE 802.11
packets to be broken into smaller units when sent over the air, Wireless LAN Standards”, in the proceedings of the World
which is useful in congested environments or when interference Congress on Engineering-2008 , vol. I, July 2-4, 2008.
is a factor, since larger packets have a better chance of being [8] A. Goldsmith, “Wireless Communications, 1st Edition,
corrupted. This technique reduces the need for retransmission in August 2005: Cambridge University Press”.
many cases and thus improves overall wireless network [9] Manju Sharma and Manoj Kumar, “ HTTP and FTP
performance. The MAC layer is responsible for reassembling Statistics for wireless and wire-line network with and
fragments received, rendering the process transparent to higher without Redundancy based on OPNET” in the proceeding
level protocols. This fragmentation is implemented using the of National Conference on Optical and Wireless
built-in SAR (segmentation and reassembly) package in OPNET Communication (NCOW-2008)”, pp:70-75,September 27-
[2]. But fragmentation again increases the overall delay due to 28,2008.
the reassembling of multiple fragments at the destination. It also [10] Dr. Mohammad Hussain Ali and Manal Kadhim Odah,
increases the channel reservation time per data frame exchange. “Simulation Study of802.11b DCF using OPNET
Fragmentation threshold is thus an important parameter that Simulator”, Eng. & Tech. Journal,
affects WLAN performance. It is used to improve the WLAN vol.27,No6,2009,pp:1108-1117,2009.
performance when the media error rate is high. Packet [11] Hafiz M. Asif, Md. Golam Kaosar, “Performance
fragmentation for every data rate must be used to achieve Comparison of IP, ATM and MPLS Based Network Cores
throughput optimization (Figure 17). Using OPNET,” in 1st IEEE International Conference on
Industrial & Information Systems (ICIIS 2006), 8-11
III. CONCLUSION August, 2006, Sri Lanka.
In this paper, performance analysis of wireless computer [12] Manju Sharma, Manoj Kumar and Ajay K Sharma, "HTTP
networks has been done for improving the performance of and FTP Statistics for Wireless and Wire-line Network with
wireless LAN. The investigations reveal that the performance of and without Load Balance Based on OPNET",Published in
Wireless LAN can be improved by fine tuning and properly International Journal of Information and Systems Sciences,
choosing the WLAN parameters. For the tested simulation Volume 5,Number 1, pp. 112-125, 2009.
scenarios the performance is observed to be better with infra-red [13] Bradley Mitchell,” Wired vs. Wireless Networking:
type physical characteristics, higher buffer size (1024Kb), and at Which is better - wired or wireless?” available at
11 Mbps data rate. Increased throughput was also observed with http://compnetworking.about.com/cs/homenetworking/a/ho
mewiredless_3.htm

COMO2O1-8
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

[14] Arti Sood, “Network Design by Using Opnet™ IT Guru [22] Dr. Reinhard Kuch, “Studienbrief 2: Simulation of
Academic Edition Software", Rivier Academic Journal, networks using OPNET ITGURU Academic Edition v
2007, Vol. 3 (1). 9.1”, version: 1.0, 26-04-2009.
[15] Dibyendu Shekhar, Hua Qin, Shivkumar Kalyanaraman, [23] Marco Galeazzi, “Lezione 11: OPNET IT Guru Academic
Kalyan Kidambi, “Performance Optimization of TCP/IP Edition 9.1 A.A. 2007-2008 - OPNET ITGAE 9.1 (1/5)”
over Asymmetric Wired and Wireless Links” in the available at
proceeding of conference on Next Generation Wireless http://www.tlc.deit.univpm.it/sistemi/AA2007_08/Lezione1
Networks: Technologies, Protocols, Services and 1.pdf
Applications (EW-2002),February 25-28, 2002 – Florence, [24] “Opnet_Modeler_Manual”, available at
Italy,2002. http://www.opnet.com
[16] Guiomar Corral, Agustin Zaballos, Cesc Canet, “Proposal [25] T. Velmurugan, Himanshu Chandra and S. Balaji, “
of new challenge labs for the OPNET IT Guru Academic Comparison of Queuing disciplines for Differentiated
Edition”, available at Services using OPNET”, IEEE, ARTComm.2009.128, pp.
www.salle.url.edu/~zaballos/opnet/OPNET2004a.pdf 744-746,2009.Y
[17] Born Schilling, “Qualitative Comparison of Network
Simulation Tools,” 2005, www.ipvs.uni-
stuttgart.de/abteilungen/vs/lehre/.../CUBUS.../schilling.pdf
[18] Xinjie Chang, “Network Simulations with OPNET,”
Proceedings of Winter Simulation Conference, 1999, pp.
307-314
[19] “Introduction to OPNET Simulator” available at
http://bolero.ics.uci.edu/~ypan/OPNET/Introduction to
OPNET simulator.pdf
[20] “OPNET IT Guru Academic Edition” available at
http://www.opnet.com/university_program/itguru_academic
_edition
[21] Ranjan Kaparti, “OPNET IT GURU: A Tool for
Networking Education”
http://www.opnet.com/university_program/teaching_with_o
pnet/textbooks_and_materials/materials/ITGAE_Tool_Ntw
rk_Ed.pdf.

COMO2O1-9
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Comparative Analysis of Core Migration


Techniques in Wireless Ad Hoc Networks
Rahul Malhotra1, Reena Aggarwal2
1, 2
Department of Electronics & Communication Engineering
Adesh Institute of Engineering & Technology, Faridkot (Pb.), India
{blessurahul@gmail.com, reena12aggarwal@gmail.com}

Abstract—A number of application level multicast protocols Mobile ad hoc network (MANET) is based on rapid
have been proposed for core selection and core migration in deployment of independent mobile users. The routers are
Mobile Ad Hoc Networks. Core migration is necessary to free to move randomly and organize themselves arbitrarily
minimize any disruptions on the transmission of data due to thus; the network‟s wireless topology may change rapidly
the changes in tree structure and to achieve improvement in and unpredictably [1]. Such a network may operate in a
the delivery of media streams in multicast group. In this stand-alone fashion, or may be connected to the Internet.
paper, core migration is performed on the varying size Multihop, mobility, large network size combined with
network graph model. The nodes within this ad hoc
device heterogeneity, bandwidth, and battery power
arrangement take on the values of the edge (We) and node (W)
randomly. With the varying numbers of iterations done on the constraints [19] make the design of adequate routing
core migration algorithm, every node and every edge picks up protocols a major challenge. Multicast promises efficient
different random values. The core migration in wireless ad use of network bandwidth for multiparty communication by
hoc network has been achieved by comparing the experimental allowing point-to-multipoint communication.
results based on the node weight and the edge weight. Recent [5] multicast protocols such as, Protocol
Independent Multicast (PIM) and Core Based Trees (CBT)
are based on the notion of group-shared trees. Multicasting
Keywords—Core-based multicast, mobile ad hoc network, core
routing protocols generally build trees to deliver messages
based tree, dynamic multicast membership, and core
migration to a multicast group. Delivering the information only
through edges belonging to the tree generates an efficient
I. INTRODUCTION form of multicast communication minimizing the amount of
In the past few years, a new wireless architecture has the network resources as required with the unicast routing.
been introduced that do not rely on any fixed infrastructure. In PIM, a group-shared tree is rooted at a rendezvous point
In this architecture, all nodes are mobile and no node plays (RP) similar to the CBT, which is rooted at the core node.
any special role. In fact, nodes reach other nodes they need In a multicast tree, the core node maintains the flow of
to communicate with using their neighbors as shown in traffic in the network. The [14] selection of this core node
figure1. Nodes that are close to each other discover their influences the shape of the multicast tree influencing the
neighbors. When a node needs to communicate with performance of the multicast tree with respect to the amount
another node, it sends the traffic to its neighbors and these of the delay experienced by leaves of the tree. Due to the
neighbors pass it along towards their neighbors and so on. dynamically varying nature of the network topology, nodes
This repeats until the destination of the traffic is reached need to be migrated to the one that satisfies the specified
[6]. Such architecture requires that every node in the QoS metrics. Migration of the core node takes place after
network play the role of a router by being able to determine selecting a core node from the set of candidate core nodes
the paths that packets need to take in order to reach their that may be either the neighboring nodes or the nodes
destinations. adjacent to the neighboring nodes of the previous core
node.
In this paper [16] investigate the problem of finding a
best node in terms of maximum edge weight (distance
between two communicating nodes) and weight of
individual node. This implies the movement of the core
node on a hop-by-hop basis to reach an optimal location.
The rest of this paper is organized as follows: Section 2
discusses the basics of wireless ad-hoc network. Section 3
covers the need and the techniques of the core migration
followed by the results and conclusion the next two
Fig. 1. Ad hoc network (client to client) sections.

COMO202-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

II. WIRELESS AD HOC NETWORKS list. Furthermore, it forwards the QUIT-REQUEST to its
parent node if its children list becomes empty and it itself is
A. Network Model not a multicast group node.
A mobile ad hoc network can be modeled as a network The CBT protocol provides mechanisms to deal with
consisting of n identical mobile hosts (nodes). These mobile link/node failures and the core node failure. Link failures
hosts employ a packet radio network to communicate with are detected by the exchange of periodic keep-alive
each other. Multiple nodes falling in the radio coverage area messages between the neighboring nodes. In case a node
of another node are said to be neighbors of this node and does not receive a certain fixed number of consecutive
they can simultaneously receive a message transmitted from keep-alive messages from its parent node, it assumes that
that node. The topology of the mobile ad hoc network is the link to its parent node has failed. In case a non-core
dynamic. Such a network can be represented by an node detects that its parent node or link to its parent node
undirected graph G = (V, E, W, We), where V is the set of has failed, it has two options for failure recovery: it can
nodes represented V = Nx and E is the set of logical links attempt to rejoin the multicast tree by sending a JOIN-
between neighboring nodes, W is the weight of each REQUEST towards the core node and it can send a
corresponding node in a set of V nodes, and We represents FLUSH-TREE message downstream, thus allowing each
the weight of the edge connecting the two nodes. It is node in the sub-tree rooted at it to independently attempt to
assumed that nodes leave and join the multicast group reattach to the multicast tree [63]. The first option results in
arbitrarily. the formation of loops in the multicast tree and, hence, the
CBT protocol provides a loop detection mechanism.
B. Core Based Tree Core-based multicast routing provides a good mechanism
The core-based tree protocol is a network layer multicast for scalable multicasting. However, migration of a core to
routing protocol that builds and maintains a shared delivery an “optimal” location is an essential task. In static networks,
tree for the multicast routing. Core-based tree is suited to core nodes may be pre-assigned and manually configured.
inter- and intra-domain multicast routing in the Internet. To However, in mobile ad hoc networks this may not be
establish the path between the sender and the receiver, core- optimal since the topology often changes. Dynamic
based tree may use a separate multicast routing table, or it selection and hence the migration of center nodes is
may use unicast routing. The core migration protocol important for good performance. A good core node may be
followed by the core selection protocol is designed to one, which is at the center of the portion of a network which
construct core- based tree. The purpose of the core spans all the multicast of the multicast group, which is the
migration algorithm is to locate that network node or a member of the multicast group, and which is fairly stable
router whose use as the core of the multicast group results [18]. This core migration protocol is based on the edge
in the best-multicast tree with respect to the desired QoS weight of current multicast tree. Core-based multicast tree,
specified by multicast application. The core-based tree is as discussed about, have been effectively used in solving
the shortest path tree rooted at some core node. The core the multicast routing problem in mobile ad hoc networks. In
node is also referred to as center node or a Rendezvous this work, this technique has been used to find a core node
point [16], [22]. The core-based tree protocol was in which qualitative factor are of major significance with
developed for Internet [18]. It uses a shared multicast tree the objective to extract and exploit the goodness of routing
rooted at core node, Rendezvous point or center node. It and multicast strategies to reach at the best possible route in
uses a receiver-based tree construction. A node interested in mobile ad hoc networks. Performance of the core migration
joining the multicast tree associated with a certain multicast method is generally measured using delay as a metric that is
group sends a JOIN-REQUEST towards the core node of the distance of the child (downstream) node from its parent
that group. The JOIN-REQUEST is routed towards the core (upstream) node in the entire graph.
node using the underlying unicast routing protocol. An
intermediate node receiving a JOIN-REQUEST simply C. Dynamic Multicast Membership
forwards it to the next node in the route towards the core Multicast group membership is dynamic, nodes are able
node unless it happens to be an established node in the to join and leave the group at any time. One of the multicast
multicast tree in which case the node responds by sending group members randomly assigns the responsibility of a
the JOIN-ACK to the node, which initiated the JOIN- group leader viz. a core to the first node of a multicast
REQUEST. A node traversed by JOIN-ACK record the group which then starts broadcasting RREQs. Other group
parent (upstream) node and the child (downstream) node. In members on receiving RREQs unicasts RREPs along the
effect, the JOIN-ACK grafts a tree branch from the node, shortest path to the core. Therefore the nodes are joined to
which responded with the JOIN-ACK, and the node, which the tree when their corresponding RREPs are accepted
initiated the corresponding JOIN-REQUEST. A node either by the existing members or by the core node.
wishing to leave the multicast group simply sends a QUIT-
REQUEST towards the core node along its tree branch. A III. FUNDAMENTALS OF CORE MIGRATION
node receiving a QUIT-REAUEST deletes the node along
which it received the QUIT-REQUEST from the children

COMO202-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

A. Core Selection position equalizes the edge weight (delay) coming from all
Core selection is the problem of selecting the placement the subtree branches [13]. The core migration is based on
of a core or cores in the domain for the purpose of the core-based multicast routing. This means that, if the
improving the performance of the tree(s) constructed performance metrics such as edge weight and node weight
around these core(s). The core selection protocol is of an adjacent core node is superior to the previously
designed to construct core-based trees using one of the considered node, then that adjacent core will be addressed
several different QoS metrics. The core selection algorithm as the migrated core and the members of the multicast
tries to find a router whose use as the core of the multicast group will then use this migrated core node as their new
group results in an optimal multicast tree with respect to a core node [22]. Typical core-based routing selects one
designed performance metric. The selection of the core is router as a core for a multicast group and builds a single
based on the explicit as well as implicit core selection multicast tree rooted at the core to deliver data to the entire
algorithms where all network nodes of the multicast group multicast group [24].
compute their weight functions and exchange weights In this core migration approach, the core determines the
among themselves so as to select the node with minimum edge weight over the several edge weights to find a
weight as the core for the latter case, and for the former neighbor experiencing the highest branch weight. The
case, the core monitors the delay by calculating the time neighbor with the highest branch delay or weight is selected
difference relative to the itself between the transmission of by the core as the new core if and only if the final migration
a packet and their corresponding acknowledgements [28]. to this node will not increase the delay on other branches
Core-based multicast routing scheme allows the migration [14].
of the core node by selecting the candidate cores (the nodes 1) TEMP_CORE Selection Method: The selection of the
adjacent to the core node). The selection of the candidate intermediate node is termed the TEMP_CORE, which has
node is based on the heuristic of the comparison between been selected for temporary basis until you find another
the current core and the set of the adjacent nodes. The node optimal node based on the edge and the node weight. This
with the significant better performance (in terms of the node gets selected every time the algorithm is run for
distance between the adjacent nodes ad their individual finding an optimal position. For the node weight algorithm,
weight) than the current core is elected as the new core and the lowercase node with largest path delay is selected and
finally migrated. The migration of the core takes place for for the edge weight algorithm, that particular node is
the node having largest path delay and minimum node considered as the intermediate node having the minimum
weight, resulting into the optimal core selection and weight value as mentioned by the table I. The on-route node
migration. In a typical ad hoc environment, network hosts with the largest path delay accounts for the largest amount
work in a group to carry out a given task. Multicasting is of time required for the transmission of the queries sent and
used for group-oriented communications. their corresponding replies received. Then it is required to
These core selection techniques given in [23] used Core- migrate to this on-route node after comparing the weight
Based tree having a single node, or router, which acts as the parameters of the current core with all its children nodes.
core of the tree, which enhances the scalability of the The migration to the on-route node with largest path delay
multicast algorithm, also the tree creation was receiver and minimum node weight is desirable.
based. The core selection protocol was designed to
construct core-based tree so that the core located for the C. Reasons for Migrating the Core Node
multicast group resulted in the best-multicast tree with Due to unconstrained movement of nodes in mobile ad
respect to the desired QoS specified by the multicast hoc networks, the topology of the network keeps changing.
application. Core Migration can be invoked when the quality of the tree
Performance of the core selection method was measured degrades due to membership dynamics or w tree followed
using Bandwidth i.e. the sum of all weights from the by addition of the node to the tree. Further, new nodes may
join the multicast group and hence the tree [18]. Hence, a
B. Core Migration
node which is a good core node for the multicast tree at a
Core migration occurs after selecting a new core for a given time may not remain good due to these changes.
multicast group. During the lifetime of a multicast Hence, a new core node must be periodically chosen.
application, the members of a group may change, and the However, changing the core node involves informing all the
resources in the network may fluctuate. The motive of the other nodes of the new core node as well as modifying the
ore migration is to identify a new core node for the group multicast tree so that the new core becomes the root of the
whose corresponding multicast tree, determined by the multicast tree. The core migration in the multicast tree of
current set of group members and present network status, mobile ad-hoc network is invoked due to [1]:
will likely result in significantly better multicast
performance than that of the current core [6]. For a fixed 1) Recovery from Core Failure: In core-based
network topology, the core migrates to an optimal position multicasting, core is a single point of failure. If the core
on a hop-by-hop basis as opposed to the dynamic network fails, there is a large amount of packet loss, as many of the
topology where the migration of the core to an optimal receivers cannot receive the data sent by the senders.

COMO202-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Therefore, recovery from both core failure and node/link 2. Then it waits for (Edge_Reply) message from all
failure are important issues. its ORNs.
3. On Route Node List is the union of all the received
2) Core Degeneration & Migration: Due to the dynamic
edge weights (here the delays are entered
nature of multicast group, core „degenerates‟ with time.
randomly)
That is, the quality of the core and hence the multicast tree
ORNL = U (received delays from all ORNs)
will deteriorate with time. This means that the core
4. The node with the largest edge weight is
migration has to be invoked when the quality of the core
considered as the Temp_Core.
degenerates.
5. It then finds the edge with the maximum weight by
Core migration involves the following: (i) selecting a set of candidate nodes.
selecting a new core that can offer better performance than 6. If the selected candidate core has the maximum
the current core, (ii) constructing a multicast tree based on edge value then it will be considered as the new
the new core, and (iii) migrating the group members from core.
the current multicast tree to the new multicast tree (tree 7. Else pick the adjacent node with larger delay to be
migration). Thus, core migration plays a vital role in tree the next Temp_Core, until the node with larger
maintenance and core failure recovery. delay is found.
D. Parameterized Techniques 2) Edge Weight: An algorithm based on the path delay
When the membership migrates throughout the network, between the two nodes is as follows:
current routing technique involves selection of a “core” 1. Core multicast the (Node_Query) message to all its
router through which all multicast communication is routed On Route Nodes (viz. to children nodes, here core
[11]. Then the routing scheme is adapted efficiently to is the parent node for there ORNs)
support randomly varying groups by allowing the core node 2. Then it waits for (Node_Reply) message from all
to migrate. In order to evaluate the performance of a core its ORNs.
migration method, and therefore that of the resultant 3. On Route Node List is the union of all the received
multicast trees, the following techniques are generally weights (here the node values are entered
considered. randomly)
ORNL = U (received weights from all ORNs)
1) Node Weight: It indicates the unique value assigned to
every node in the network. The core node compares its 4. The node with the minimum node value is
weight with all the neighboring nodes. If the weight of any considered as the Temp_CORE.
5. It then finds the node with the minimum weight by
of the neighboring or the adjacent node is lower than the
selecting a set of candidate nodes
weight of the core node, then it will stop looking for
6. If its own weight is less than lowest adjacent node
another node and the node with the lower weight will be
considered as the new Core. The core migration is based on weight viz. Temp_Core weight < weight of the
the core-based multicast routing. This means that, if the lowest adjacent node
7. Then migrate the core (viz. now the Temp_Core
techniques such as path delay and weight of an adjacent
will be considered as the new core)
core node are superior to the previously considered node,
8. Else pick the adjacent node with smaller node
then that adjacent core will be addressed as the migrated
value to be the next Temp_Core, until the node
core and the members of the multicast group will then use
this migrated core node as their new core node. Simulations with less weight is found.
can be done using high level programming using C++ or
any another platform.
2) Edge Weight: It is the distance between the core and
the child nodes adjacent to it. The distance of the core from
its group members should be less for efficient routing. The
core monitors the delay of all its adjacent nodes on a hop-
by-hop basis. Delay represents the weight of the edge
(connecting the two nodes). Therefore, a node with the
largest delay is taken for the new core node.
E. Core Migration Algorithm
1) Node Weight: An algorithm based on the individual
weight of the node is as follows:
1. Core multicast the (Edge_Query) message to all its
On Route Nodes (viz. to children nodes, here core
is the parent node for there ORNs)

COMO202-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Since the edge weight, the delay between the two


adjacent nodes are entered randomly, every edge
(connecting link between two nodes) takes on a different
values for a number of iterations done. Similarly the node
weight algorithm takes on the random values for each
iteration. For every network model designed, total of
5iterations are done to achieve the core migration.
A. Case Study I (using 7 nodes)
In the first case, the network graph was modeled using 7
nodes forming a multicast wireless ad hoc network. All the
nodes communicate through mesh structure. When the
above-mentioned issues related to the wireless ad hoc
network appears then core migration algorithm repeats for a
number of times, until a best core has been selected and
then migrated.

Fig. 2. Core Migration


TABLE I
MINIMUM NODE VALUE FOR CORE MIGRATION

Nodes Node Weight Nodes (Nx) Node Weight


(Nx) (W) (W)
1 17 8 16
2 15 9 16
3 5 10 11
4 12 11 7
5 11 12 11
6 9 13 9
7 14 14 7

The algorithm for the migration of the core node in


wireless ad hoc networks given in this section illustrates Fig. 3. Core Migration using 7 nodes
the node migration based on the two migration
techniques discussed above in a D subsection. From Table II shows the core migration based on the random
figure 2, it is stated that the core migrates on a hop-by- value of the link (edge) connecting the two nodes, where
hop basis by continuously comparing the delay and node the number of on-route nodes are participating in achieving
values with their child (downstream) nodes, until a node the core migration for the larger value of the link between
with a minimum node weight and largest delay value the parent node and the child node. Similarly, table III
appears. Here, the core migration takes place at the N x = shows the core migration on a hop-by-hop basis using the
10, as it is having the largest edge value of W e = 40 when minimum node value.
the edge weight algorithm is run and and Nx = 3 for
TABLE II
minimum node value of 5 for the case when the node CORE MIGRATION USING 7 NODES FOR EDGE WEIGHT
weight algorithm is run. The minimum node value of W
= 5 compared to TEMP_CORE nodes. But if the node
value of node 3 is changed to a value of 20, then the node
4 becomes the finally migrated core.

IV. RESULTS
The qualitative analysis of the core migration protocol
for wireless ad hoc network has been carried out by
comparing the two different algorithms for core migration
such as: edge weight algorithm and the node weight
algorithm.

COMO202-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

TABLE III No. T Del T Del T Del Migra Delay


CORE MIGRATION USING 7 NODES FOR NODE WEIGHT of C ay C ay C ay ted of
No. T Val T Val T Val Migra Value Itera
No. 1T of
Val 2T of
Val 3
T of
Val Core
Migra Migra
Value
of C ue C ue C ue ted of tion
of C TC
ue C TC
ue C TC
ue ted ted
of
Itera 1 of 2 of 3 of Core Migra Itera 1 1
of 2 2
of 3 3
of Core Core
Migra
tion TC TC TC ted tion TC TC TC ted
1 2 3 Core 1 2 3 Core
1 3 2 4 4 6 31
1 5 17 7 13 9 8 2 2 10 4 11 5 20 6 31
2 4 20 8 16 5 11 31 35 14
5 4 11 57 10
33
3 1 11 4 8 10 6
4 2 11 6 10 7 4 42 43 11
11 56 9
31 64 5
34
5 3 16 9 12 7 11 10 6 53 42 11
11 54 6
35 66 5
38
4 7 24 5 16 3 11 1 9
B. Case Study II (using 10 nodes) 5 5 11 3 9 1 6 6 5
Finally, the network graph was modeled using 10 nodes
forming a multicast wireless ad hoc network.

No. T Del T Del T Del Migra Delay


of C ay C ay C ay ted of
Itera 1 of 2 of 3 of Core Migra
tion TC TC TC ted Fig. 4. Core Migration using 10 nodes
1 2 3 Core

1 2 23 4 29 5 31 8 32 TABLE IV
2 2 23 4 31 5 33 CORE MIGRATION USING 10 NODES FOR EDGE WEIGHT
3 5 12 9 13 10 35
4 5 5 6 18 8 30 10 40
5 5 18 8 30 7 33 10 40 TABLE V

CORE MIGRATION USING 10 NODES FOR NODE WEIGHT

Table IV and V shows the core migration using the edge


weight and the node weight respectively.

V. CONCLUSIONS
Research in wireless networks is progressing very fast
and numerous researchers from various fields focusing to
develop some workable scheme to find the best core node
or migration of the core if necessary. The motive of this
paper was to migrate the core to an optimal position by

COMO202-6
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

considering the two different techniques (edge weight and [10] M. Fleury, A. C. Downton, and A. F. Clark, “On the
node weight) related to the core migration. These two performance and feasibility of multicast core selection
parameterized techniques take on the random values every heuristics,” IEEE Transactions on Parallel and Distributed
time the core migration algorithm is run. This paper Systems, vol. 11, no. 11, pp. 164+, 2000.
[11] M. J. Donahoo and Ellen W. Zegure, “Core Migration for
suggests the need and possible technique of core migration Dynamic Multicast Routing,” Proceedings of International
wherever applicable. The results for the core migration in Conference, Computer Communication and Networks, 1996.
wireless ad hoc networks obtained with edge weight were [12] Mario Gerla, Rajive Bagrodia, et.al, “A Performance
verified with that obtained with the node weight, and it was Comparison Study of Ad Hoc Wireless Multicast Protocols,”
concluded that the core migration achieved with the edge in Proceedings of 19th Annual Joint Conference of the IEEE
weight technique results into the optimal location of the Computer and Communications Societies (IEEE INFOCOM
core than that obtained from the network graph modeled 2000).
using node weight parameter. This was due the fact that the [13] Manish Kochhal, Sandeep Gupta et.al, “An Efficient Core
edge weight considered the distance between the parent and Migration Protocol for QoS in Mobile Ad Hoc Networks,”
IEEE International Performance Computing, and
the child node and hence maintained a path delay between Communications Conference, pp.387-391, 2002.
the nodes which was not achieved with the node weight [14] Manish Kochhal, Sandeep Gupta et.al, “QoS-Aware Core
algorithm. But on the whole, core migration achieved with Migration for Efficient Multicast in Mobile Ad Hoc
node value parameter was faster compared to the edge Networks,” IEEE International Performance Computing, and
weight parameter. Communications Conference, October 2002.
[15] Nen-Chung Wang and Si-Ming Wang, “An Efficient Location-
VI. FUTURE WORK Aided Protocol for Mobile Ad Hoc Networks,” Proceedings
Further some other parameters except delay and node of 11th International Conference on Parallel and Distributed
systems, Vol.1, pp. 335-341, July 2005.
weight such as hop count, total path cost, throughput, and
[16] Rahul Malhotra and Savina Bansal, “Investigation of Core
bandwidth need to be considered in future when migrating Selection techniques in multicasting,” M.Tech thesis, 2008.
the core to a best optimal position. [17] Roger Zimmermann, Rahul Hampole, and Beomjoo Seo,
“Distributed Core Migartion in Multicast Peer-to-Peer
REFERENCES Streaming,” in Proceedings of International Conference on
[1] A. Chakrabarti and G. Manimaran, “A case for Scalable Parallel and Distributed processing techniques and
Multicast Tree Migration,” Global Telecommunications Applications (PDPTA 2004), June 21-24,2004.
Conference (GLOBECOM 2001), IEEE, Vol.3, pp. 2026 – [18] S.K.S.Gupta, P.K.Srimani et.al, “Adaptive Core Selection and
2030, August 2001. Migration method for Multicast Routing in Mobile Ad Hoc
[2] A. Chakrabarti and G. Manimaran, “A case for tree migration Networks,” IEEE Transactions on parallel and Distributed
and integrated tree maintenance in QoS multicasting,” Systems, Vol.14, No.1, January 2003.
Computer Communications, Volume 26, Issue 9, No.2, pp. [19] Stephen Mueller, Rose P. Tsang, and Dipak Ghosal,
1007-1017, June 2003. “Multipath Routing in Mobile Ad Hoc Networks: Issues and
[3] A.Dvir and M.Segal, “Placing and Maintaining a Core Node Challenges,” In Performance Tools and Applications to
in wireless Ad Hoc Networks,” in Wireless Communications Networked Systems, volume 2965 of LNCS 2004.
and Mobile Computing, Vol.00, pp.1-14, 2008. [20] Sudarshan Vasudevan, Jim Kurose and Don Towsley, “Design
[4] Ayse Karaman and Hossam Hassanein, “Core-Based and Analysis of a Leader Election algorithm for Mobile Ad
Approach in Multicast Routing Protocols,” 2003. Hoc Networks,” Proceedings of 12th IEEE International
[5] C.V.Ravishankar et.al, “Distributed Center- conference on Network protocols (ICNP), pp. 350-360,
Location Algorithms,” IEEE Journal on Selected October 2004.
Areas in Communications, Vol.15, No.3, pp.293-303, [21] Ting-Yuan Wang, Lih-Chyau Wuu, and Shing-Tsaan Huang,
April 1997. “A Scalable Core Migration Protocol for Dynamic Multicast
[6] Eric Fluery, Philip K. McKinley, and Yih Huang, “LCM: A Tree,” Journal of Information Science and Engineering,
Multicast Core Management Protocol for Link-State Routing Vol.19, pp.479-501, 2003.
Networks,” in Proceedings of IEEE International Conference [22] Deering, S., Estrin, D. L., Farinacci, D., Jacobson, V., Liu, C.
on Communications, Vol.2, pp.1197-1201, June 1998. and Wei, L., (1996), “The PIM Architecture for Wide-Area
[7] Hwa-Chun Lin, Zhe-Hong Lin, “Selection of Candidate Multicast Routing,” IEEE/ACM Transactions on Networking,
Cores for Core-Based Multicast Routing Architectures,” in 4(2), pp.153-162.
Proceedings of IEEE International Conference on [23] Gerla, M., Chiang, C. C., and Zhang, L., (1999), “Tree
Communications, pp.2662-2666, 2002. Multicast strategies in mobile, multihop wireless networks,”
[8] Jean-Francois Macq and Michel X. Goemans, “Trade-offs on In Mobile Networks and Applications, 4 (3), pp. 193-207.
the Location of the Core Node in a Network,” Wiley [24] Puthhividhya, W., Tavanapong, W., Tran, M., and Wong, J.,
InterScience Vol. 44, Issue: 3 (October 2004) pp. 179 – 186, (2004), “Distributed Core Selection with QoS Support,” In
2004. IEEE International Conference on Commumnications, 4, pp.
2132-2137.
[9] Kenneth L. Calvert, Ellen Witte Zegura, and Michael J.
Donahoo, “Core selection methods for multicast routing,” in
Proceedings of the 4th International Conference on Computer
Communications and Networks (ICCCN ‟95), Las Vegas,
Nevada, pp. 638+, September 20–23, 1995

COMO202-7
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Performance comparision of RI & TBI based system


in fading envoirement using MRC scheme

M. Shukla Aasheesh Shukla, Rohit, Sankalp


Department of Electronics Engineering Department of Electronics & Communication Engineering
Harcourt Butler Technological Institute GLA University
Kanpur, India Mathura, India
manojs@hbti.ac.in aasheeshshukla@gmail.com

Abstract—In interleave division multiple access (IDMA), version of CDMA, which inherits many advantages from
interleavers are used for the user separation. This paper CDMA such as dynamic channel sharing, mitigation of
presents the performance comparison between random and cross-cell interferences, asynchronous transmission, ease of
tree based interleaver based IDMA system, in fading cell planning, and robustness against fading. It also allows a
environment. We also know that Maximal Ratio Combining low complexity multiple user detection (MUD) techniques
(MRC) diversity approach is popularly used to mitigate the [7] (CBC detection) applicable to systems with large
fading problem in any wireless system so here MRRC scheme numbers of users in multi-path channels.
is used with both RI & TBI based system to mitigate fading.
The approach involves receiver diversity analysis as 1:2 The objective of this paper is to use MRC diversity in
antenna systems in fading environment; no. of antennas can be IDMA communication system to reduce the effect of fading.
increased to improve diversity order. The study of transmit as well as receiver diversity is taken
separately, because both have their own application area [2].
The paper is organized as follows. Concept of IDMA is
introduced in section II. Section III deals with classical
Keywords- Random Interleaver,Tree Based Interleaver, MRRC diversity approach used with IDMA. In section IV
MRC diversity, IDMA
transmit diversity is discussed with IDMA. Performance
I. INTRODUCTION analysis is provided in section V. Finally conclusions are
presented in section VI.
The goal for the next generation mobile communications
system is to seamlessly provide a wide variety of II. IDMA SCHEME
communication services to anybody, anywhere, anytime
such as high voice quality, higher data rates etc. The A. IDMA Mechanism
technology needed to tackle the challenges to make these The performance of conventional code-division multiple-
services available is popularly known as the Third access (CDMA) systems [1] is mainly limited by multiple
Generation (3G) Cellular Systems using multiuser detection access interference (MAI), as well as intersymbol
[1]. The fundamental phenomenon which makes reliable interference (ISI). Also, the complexity of CDMA multi-user
communication difficult is time varying multipath fading, detection has always been a serious problem for researchers
which is major impairment in any wireless communication all over the world. The problem can be visualized from the
system. The performance improvement is very difficult in angle of computational cost as well complexity of multi-user
such situation detection algorithms in CDMA systems. The use of user-
specific signature sequences is a characteristic feature for a
Theoretically, improvement in signal to noise ratio may be conventional CDMA system. The possibility of employing
achieved by providing higher transmit power or additional interleaving for user separation in CDMA systems is briefly
bandwidth which are not feasible solution as they are inducted in [1] but the receiver complexity is considered as a
contrary to the requirements of next generation wireless main problem. In interleave-division multiple-access
communication [2]. On the other hand, the problem of fading (IDMA) scheme, users are distinguished by user specific
may be handled with suitable diversity technique without chip-level interleavers instead of signatures as in a
expanding communication resources easily. conventional CDMA system. The scheme considered is a
In most wireless channels, antenna diversity is a special case of CDMA in which bandwidth expansion is
practical, effective and widely used technique for reducing entirely performed by low-rate coding. This scheme allows a
the effect of multipath fading. The maximal ratio combining low complexity multiple user detection techniques applicable
(MRC) diversity technique, is implemented with interleave- to systems with large numbers of users in multipath channels
division multiple-access (IDMA) scheme, as MRC is in addition to other advantages.
performed well in comparison with selection or equal gain
combining.[3]-[5]. The IDMA scheme is known as advanced

COMO203-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

In CDMA scheme, signature sequences are used for user p( y / xk ( j ) 1)


e( xk ( j )) log , k , j. (2)
separation while in IDMA scheme, every user is separated
p( y / xk ( j ) 1)
with user-specific interleavers, which are orthogonal in
nature. The block diagram of IDMA scheme is shown in
figure 1 for K users. The principle of iterative multi user
detection (MUD) which is a promising technique for
multiple access problems (MAI) is also illustrated in the
lower part of Fig. 1. The turbo processor involves elementary
signal estimator block (ESEB) and a bank of K decoders
(SDECs). The ESEB partially resolves MAI without
considering FEC coding. The outputs of the ESEB are then
passed to the SDECs for further refinement using the FEC
coding constraint through de-interleaving block. The SDECs
outputs are fed back to the ESEB to improve its estimates in
the next iteration with proper user specific interleaving. This
iterative procedure is repeated a preset number of times (or
terminated if a certain stopping criterion is fulfilled). After
the final iteration, the SDECs produce hard decisions on the
information bits [8]-[11].
The complexity involved (mainly for solving a size KxK
correlation matrix) is O(K2) per user by the well-known
iterative minimum mean square error (MMSE) technique in Figure 1. Transmitter and Receiver structures of IDMA scheme with K
CDMA, while in IDMA, it is independent of user. This can simultaneous users.
be a major benefit when K is large [12].
B. Scheme Model These LLRs are further distinguished by the
Here, we consider an IDMA system [1], shown in Figure subscripts i.e., eESEB ( xk ( j )) and eSDEC ( xk ( j )) , depending
1, with K simultaneous users using a single path channel. At
upon whether they are generated by ESEB or SDECs.
the transmitter, a N-length input data sequence d k= [d k (1),
………, d k (i) , … d k (N) ]T of user k is encoded into chips Due to the use random interleavers {Π k}, the ESEB
ck= [c k (1), ………, c k (j) , … c k (J) ]T based on low rate operation can be carried out in a chip-by-chip manner, with
code C, where J is the Chip length. only one sample r(j) used at a time. So, rewriting (2) as
The chips c k is interleaved by a chip level interleaver r( j) hk xk ( j ) ( j) (3)
k
„Πk‟, producing a transmitted chip sequence x k= [x k (1),
……,x k (j) , … x k (J) ]T . After transmitting through the where
channel, the bits are seen at the receiver side as r = [r k (1),
……,r k (j) , … r k (J) ]T . The Channel opted is additive white k ( j) r ( j ) hk xk ( j ) hk ' xk ' ( j ) n( j ) (4)
Gaussian noise (AWGN) channel, for simulation purpose. k' k

In receiver section, after chip matched filtering, the is the distortion in r( j) with respect to user-k. k ( j ) is
received signal form the K users can be written as
the distortion (including interference-plus-noise) in received
K signal with respect to user-k.
r( j) hk xk ( j ) n( j ), j 1, 2,.......J . (1)
k 1 A brief description of CBC algorithm [1] used in IDMA,
th
has been presented in [3]. The operations of ESEB and APP
where h k is the channel coefficient for k user and decoding are carried out user-by-user.
{ n( j ) } are the samples of an additive white Gaussian The outputs of the ESEB as extrinsic log-likelihood
noise (AWGN) process with mean as zero and variance σ 2 ratios (LLRs) is given as,
=N0 / 2. An assumption is made that {h k} are known priori at r ( j ) E (r ( j )) hk E ( xk ( j ))
the receiver. eESEB ( xk ( j )) 2hk . 2
Var (rj ) hk Var ( xk ( j ))
The receiver consists of a elementary signal estimator
block (ESEB) and a bank of K single user a posteriori The LLR output of SDEC is given as,
probability (APP) decoders (SDECs), operating in an
iterative manner. The modulation technique used for S

simulation is binary phase shift keying (BPSK) signaling. eSDEC ( xk ( ( j ))) eESEB ( xk ( ( j ))) j 1,..., S
The outputs of the ESEB and SDECs are extrinsic log- j 1

likelihood ratios (LLRs) about {x k} defined as Now, these steps are repeated depending on no. of
iterations and users.

COMO203-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

III. RECIEVER DIVERSITY ANALYSIS FOR IDMA


The block diagram of maximal ratio combining (MRC)
diversity with IDMA scheme is shown in figure 2. In this
method, the diversity branches are weighted for maximum IV. PERFORMANCE ANALYSIS
SNR. As shown in block diagram in figure 2, dk is data of kth For simplicity, IDMA system with BPSK signaling is
user, after encoding and spreading the data is randomly assumed with uniform repetitive coding and spread length
interleaved and termed as „chips‟. Now this chip Signal xk is 16, for all users along with 15 iterations.
sent from the transmit antenna, which will propagate from
both the channel. TABLE I
If we consider 1 transmit and 2 receive antenna, then COMPARISON OF COMPUTATIONAL COMPLEXITY WITH TREE BASED
channel between transmit antenna and the first received INTERLEAVER AND RANDOM INTERLEAVER
antenna is h0 and between the transmit antenna and second
receive antenna one is denoted by h1. The channel can be User No. of times Interleaving No. of times Interleaving
modeled having magnitude and phase response [16]. So, Number in Tree Based Interleaver in Random Interleaver
2 1 2
h0 = 0 ei 0
6 2 6
14 3 14
h1 = 1 ei 1
(5)
30 4 30
Noise can be added at both the receiver. The resulting
62 5 62
received signals are
126 6 126
R0=h0xk+n0
R1=h1xk+n1 (6) The interleavers used in simulations are random
where n0 and n1 represents the noise and interference at interleaver (RI) [3] and tree based interleaver (TBI)
both the receiver separately. [15].
6
x 10
Now the Receiver combining scheme for two branches 5

MRRC can be written as 4.5

4
=h0 R0 + h1 R1 (7)
Memory Requirement of Interleaver

K
With Random Interleaver
3.5
With Tree Based Interleaver
Now this output of maximal ratio combiner can fed to the 3
detector for the proper estimation of transmitted signal xk.
2.5

1.5

0.5

0
0 10 20 30 40 50 60 70 80 90 100
User Number

Figure 3. Memory requirement comparison of Random Interleaver and


Tree Based Interleaver.

Table 1 denotes the computational complexity analysis


for both interleavers and it is clear that for large no. of users,
computational complexity of TBI based system is lower.
Figure 3 demonstrates the memory requirement of RI and
TBI. The memory requirement of RI is dependent on user
count [3] [4] while that of TBI is constant [15] due to use of
only two master interleaving sequences for generating the
other user specific interleaving sequences. The memory
required by Tree Based Interleaver generation method is
Figure 2. IDMA with proposed two branches MRRC diversity scheme for
kth user

COMO203-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

extremely less than that required for random interleaver the reference of computational complexity and memory
generation method [3] and is independent of user count. requirement it takes edge on random interleaver. It can also
conclude that IDMA with suitable diversity technique can
generate fruitful results in the area of wireless
communication. study can also enhanced to Multiple input
and multiple output (MIMO) antenna system to improve the
diversity order and hence the performance of IDMA
Figure 4 demonstrates the performance of IDMA scheme communication system.
with using random interleaver and tree based interleaver.
Here we refer the channel as slow fading Rayleigh channel. REFERENCES
The interleavers used in simulations are random interleaver [1] M. Moher and P. Guinand, “An iterative algorithm for asynchronous
[3] and tree based interleaver [15]. The block length is 200 coded multi-user detection,” IEEE Commun. Lett., vol. 2, pp. 229–
231, Aug. 1998.
with spread length to be 16. The iterations selected for
[2] S.M.Alamouti. “A Simple transmitter diversity scheme for wireless
simulation in receiver is 15. The simulations have been communications” IEEE J. Select. Areas Commun., vol.16 , pp. 1451-
performed for one transmitter and two receiver arrangement 1458, Oct.1998.
in the case of receiver diversity. [3] Li ping, Lihai Liu, Keying Wu and W. K. Leung, “Interleave-
Division Multiple-Access,” IEEE Trans. Wireless Commun., vol. 5,
The BER performance shows that although RI based IDMA pp. 938-947, April 2006.
performs better but TBI based system also performs good for [4] H. Wu, L. Ping and A. Perotti, “User-specific chip-level interleaver
larger value of Eb/N0 design for IDMA systems,” IEEE Elec. Lett., vol. 42, no. 4, Feb.
2006.
[5] Li Ping and L. Liu, “Analysis and design of IDMA systems based on
SNR evolution and power allocation,” in Proc. VTC‟2004-Fall, Los
Angles, CA, Sept. 2004
[6] S. Brück, U. Sorger, S. Gligorevic, and N. Stolte, “Interleaving for
-1
10 outer convolutional codes in DS-CDMA Systems,” IEEE Trans.
TBI with receive diversity.k=131072 Commun., vol. 48, pp. 1100–1107, July 2000.
TBI with receive diversity,k=65536
RI with receive diversity, k=65536 [7] Tarable, G. Montorsi, and S. Benedetto, “Analysis and design of
-2
10
RI with receive diversity, k=131072
interleavers for CDMA systems,” IEEE Commun. Lett., vol. 5, pp.
420–422, Oct. 2001.
[8] F. N. Brannstrom, T. M. Aulin, and L. K. Rasmussen, “Iterative
-3
multi-user detection of trellis code multiple access using a posteriori
10
probabilities,” in Proc. ICC 2001, Finland, June 2001, pp. 11–15.
Bit Error Rate

[9] S. Verdú and S. Shamai, “Spectral efficiency of CDMA with random


spreading,” IEEE Trans. Inform. Theory, vol. 45, pp. 622–640, Mar.
-4
10 1999.
[10] L. Liu, W. K. Leung, and Li Ping, “Simple chip-by-chip multi-user
detection for CDMA systems,” in Proc. IEEE VTC-Spring, Korea,
-5
10
Apr. 2003, pp. 2157-2161.
[11] Li Ping, L. Liu, K. Y. Wu, and W. K. Leung, “A unified approach to
multi-user detection and space time coding with low complexity and
-6
nearly optimal performance,” in Proc. 40th Allerton Conference,
10
2 3 4 5 6 7 8 9 10 Allerton House, USA, Oct. 2002, pp. 170–179.
Eb/No
[12] L. Liu, W. K. Leung, and Li Ping, “Simple iterative chip-by-chip
multiuser detection for CDMA systems,” in Proc. IEEE VTC 2003,
Figure 4. Performance of RI- IDMA & TBI-IDMA with t receive diversity Korea, Apr. 2003.
[13] M. Shukla, V.K. Srivastava, S. Tiwari, “Analysis and Design of
Optimum Interleaver for Iterative Receivers in IDMA Scheme”,
Wiley Journal of Wireless Communication and Mobile Computing,
V. CONCLUSIONS Vol 9. Issue 10, pp. 1312-1317. Oct, 2009.
[14] M. Shukla, Aasheesh Shukla,V.K. Srivastava, S. Tiwari, “Performance
evaluation of MRC diversity scheme for iterative IDMA receiver”,in
proc. INDICON 2009, India, Dec. 2009.
After doing performance comparison, we proposed that TBI
based IDMA system can be optimum selection in fading
environment. It has been explained that tree based interleaver
performs well, near to random interleaver [figure 4], but in

COMO203-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Security Constraints in Peer-to-Peer Network on Overlay Networks

Raj Kumar Gaur Divya Gupta


M. Tech. (Information Security) M. C. A.
Computer Science & Engineering Department, Computer Science & Engineering Department,
M.M.M. Engineering College Gorakhpur M.M.M. Engineering College Gorakhpur
rajkumargaur@gmail.com guptadivya28@gmail.com

Abstract about establishing multimedia communication


networks based on Peer-to-Peer concepts or resource
Network Information System, is not only the sharing. Peer-to-Peer networks just as a collection of
information sharing and information exchanging; recently heterogeneous distributed resources which is
there has been a significant amount of work on security connected with a network [1]. A lot of problems still
and privacy. Network Information System is not only have to be solved and one of them is certainly the
include information system network security and data traffic engineering problem encountered especially in
security, but also include the security of network business completely [15]. A large number of peer processes
running on information system network which is the are distributed in a peer-to-peer (P2P) overlay
confidentiality, integrity, continuity and real-time of
network. Various types and a huge number of peer
network. The proposal of the concept "network information
security" provides theoretical basis for security defense of
computers are interconnected and the membership is
enterprise automatic production system; and enterprise dynamically changed in a peer-to-peer overlay
management information system. Overlay network network. P2P Security is concerns one major concern
monitoring enables distributed Internet applications to of using P2P of network security. Security concerns
detect and recover from path outages and periods of stem from the architecture itself. Now a day find most
degraded performance. Our scheme assumes only the blocking and routing handles by a specific server
knowledge of underlying peer to peer topology, and any within network, but the P2P architecture has no single
link may loss or return to normal through paper, introduce fixed server responsible for routing and requests. In
security constraints for privacy preserving set union in the
securing network against attacks and viruses there are
malicious adversary model. The proposal of the concept
"network information security" provides theoretical basis
two main strategies where focus is on controlling the
for security system and enterprise management information network access or the focus is put on controlling the
system. files. Open networks are often insecure and provide
an opportunity for viruses and DoS activities to
Keywords: Information Security, Network Security, P2P Security spread. To make such networks more resilient against
Model. these kind of threats, the propose the use of a peer-
to-peer architecture whereby each peer is responsible
1. Introduction for: (a) detecting whether a virus or worm is
uncontrollably propagating through the network
resulting in an epidemic; (b) automatically
P2Pnetworking allows computers to dispatching warnings and information to other peers
communicate directly with one another rather than of a security-focused group and (c) taking specific
through a central server like a website. P2P networks precautions for protecting their host by automatically
have been the centerpiece especially involving hardening their security measures during the
illegally; File-sharing across the peer-to-peer network epidemic. Vulnerabilities may result from software
can lead to inadvertently breaking copy-right laws. bugs, weak passwords, or system miss configurations.
An application the Peer-to-Peer networking concept CVE (Common Vulnerabilities and Exposures) , a
is used to share files, i.e. the exchange of files. standard dictionary with information about security
However, Peer-to-Peer is not only about file sharing vulnerabilities and exposures, includes a set of
[3], it is also common identifiers that enable data exchange of
security products and provide a baseline index point
to evaluate coverage of tools and services. As a
result, a similarity method for security vulnerability

COMO205-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

can speed up the process of vulnerability mitigation overlay for streaming applications should not only
as similar vulnerabilities may require similar accommodate global design goals such as scalability
solutions. In other words, in order to take any action, and resilience but also satisfy the local design goal of
a level of priority can be determined based on known maximizing delivered quality to individual peers. The
data from other vulnerabilities. For example, a low commonly have the two drawbacks as follows. First,
priority level can be assigned to a newly found the systems have static overlay networks [5] consisted
vulnerability if it is proved that it is similar to a of reliable nodes under the administrative control, or
known vulnerability that has a low impact, while the assume that a spanning tree of entire node is known
basic idea is simple, a practical system design is not beforehand. Clearly, this is not feasible when a
easy. P2P reputation system are consists of three system involves an enormous number of nodes, which
functional components collecting information on peer join and leave the overlay network dynamically. In
behavior, scoring and ranking peers, and responding extreme case applications, nodes systems may be
based on peers’ scores. organized only by client nodes without any
All these components are nontrivial, especially specialized node. Second, a node keeps a large
given the following consideration [4]: amount of routing states and its control message
Scalability: A large P2P network may have overhead is huge. This is because every node can be
hundreds of thousands of peers. For example, Skype an intermediate router on the paths of an event
has several million online users. A reputation system dissemination tree. A distributed sender/receiver
should be highly scalable in terms of peer number. needs a structured overlay network, but it must be
Adaption to peer dynamics: Peers may join or designed with great care since the underlying peer-to-
leave at any time. If reputation information is peer overlay substrate has a significant effect on the
maintained at peers, peer leaving may lead to performance of the system.
information loss. A robust reputation system should To solve the above challenges, a new peer-to-peer
take peer dynamics into account. overlay; one important metric in evaluating
Security: Malicious peers may endeavor to break distributed content based systems is the percentage of
down the reputation system so that they can conduct false positives over the total number of messages. A
malicious actions without being detected. For false positive is defined as a message received by the
example, peers may purposefully leave and rejoin the node not interested in the message. This section
system with a new identity in order to shed any bad presents some preliminary evaluation of the security
reputation. protocol [9] using simulations.
There are two fundamental issues in P2P So peer-to-peer file-sharing are so dangerous?
reputation systems. Reputation estimation [10]: An There are a number of reasons:
estimation method describes how to generate peer Illegal, Unethical, and immoral--unfortunately the
reputation based on others’ feedback. These classify most common uses of P2P are illegal ones such as
existing estimation methods into three categories: copy-righted music, copyrighted software,
social network, probabilistic estimation, and game pornographic material, and even child pornography.
theoretic model. As many estimation methods rely on Viruses--when downloading a shared file, one big
specific feedback collection mechanisms the feedback danger is to download a computer virus or Trojan
collection mechanisms. The necessary networks are horse onto your computer. These viruses can cause all
not trivial. First, efficient data storage and retrieval is kinds of problems from erasing all files on the hard
always a challenging issue in P2P networks. Then drive to the automatic sending of pornographic e-
efficient retrieval becomes nontrivial. Peer dynamics mails to all of your friends in your mail directory.
bring more difficulty. Second, reputation data are Overlay Network Centralization--Although in their
highly security-sensitive. There are many other purest form peer-to-peer overlay networks are
important issues in P2P reputation systems; for supposed to be totally decentralized in practice this is
example, how to prevent targeted and adversarial not always true, and systems with various degrees of
attacks? How to interpret reputation? Interested centralization are encountered.
readers may refer to for a comprehensive overview of This typically renders them inherently un-scalable
P2P reputation issues to the end, each peer can and vulnerable to censorship, technical failure or
connect to the overlay topology [6] at multiple points malicious attack and peer to peer objective in figure 1
(i.e., receive content through multiple parent peers). shows.
Therefore, participating peers form an unstructured
overlay [2] that can constructing a peer-to-peer

COMO205-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Distributed Computation: This category includes


systems whose aim is to take advantage of the
available peer computer processing power (CPU
cycles). This is achieved by breaking down a
computer-intensive task into small work units and
distributing them to different peer computers.
Internet Service Support [10]: A number of
different applications based on peer-to-peer
infrastructures have emerged for supporting a variety
of internet services. Examples of such applications
Figure: 1 Peer to Peer Objective include peer-to-peer multicast systems, internet
indirection infrastructures, and security applications
2. Application of P2P Network and providing protection against denial of service or virus
Architecture attacks.
Database Systems: Considerable work has been
done on designing distributed database systems based
Peer-to-peer networks, which will hereafter
on peer-to-peer infrastructures. The purpose of Local
reference as p2p networks, are often considered to be
Relational Model (LRM), in which are the set of all
security threats for organizations, companies or plain
data stored in a peer-to-peer network.
users, mainly due to the use of p2p-based applications
Content Distribution: Most of the current peer-to-
for illegal file sharing, and to the ability of worms to
peer systems fall within the category of content
be spread through such applications. Our scheme
distribution, which includes systems and
indicates, however, that p2p networks can also be
infrastructures designed for the sharing of digital
positively utilized to significantly reinforce network
media and other data between users.
security, by offering substantial help in the protection
The applications on p2p architectures or
against malicious applications. The propose an
infrastructures derives to a large extent from their
effective way to achieve this by collecting and
adaptability to variable operating environments, i.e.
exchanging information that will allow us to obtain a
their ability to function, scale and self-organize in the
global overview of the network status, with reference
presence of a highly transient population of nodes (or
to ongoing security attacks. The goal of our
computers/users), hardware failures and network
methodology is to select the most appropriate security
outages, without the need for a central administrative
policy, based on the level of danger posed by rapid
server.
malicious code circulating in the network. P2p
networks leverage the principle that a much better
utilization of resources (processing power, 3. Pee-to-Peer Network Architecture
bandwidth, storage etc.) is achieved if the
client/server model is replaced by a network of Streaming is a process of generating and
equivalent peers networks. delivering a stream flow of data packets over
The applications on p2p architectures or networking medium, e.g., the Internet, from a source
infrastructures derives to a large extent from their to a destination. Streaming media usually denotes
adaptability to variable operating environments, i.e. digital audio and video data; however other data may
their ability to function, scale and self-organize in the be streamed as well. Theoretically, P2P architecture
presence of a highly transient population of nodes (or can be built over any networking medium and at
computers/users) hardware failures and network potentially different layers of the network. However,
outages, without the need for a central administrative most of the existing P2P implementations and their
server. associated research have focused on application-level
Peer-to-peer architectures have been employed for overlay networks [11].
a variety of different application categories, which A streaming process can be separated into three
include the following. stages that overlap in time: data acquisition, data
Communication and Collaboration: This category delivery and data presentation. Data acquisition is the
includes systems that provide the infrastructure for stage that determines how the streaming content is
facilitating direct, usually real-time, communication acquired, packetized and distributed for streaming.
and collaboration between peer computers. The data presentation stage represents the methods on
how to buffer, assemble and render the received data.

COMO205-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Data delivery is the process of how the stream data is solutions that examine, as well as their relationship to
transported from the source to the destination. The the relevant non-functional characteristics, were
source, the destination and all the intermediate nodes assembled through a detailed analysis and current
in a streaming system participate in a topology that is peer-to-peer content distribution systems that are
constructed based on the specific system’s protocol in either deployed
a P2P streaming system. The most important are attributes of peer to peer
contents distribution systems namely [14, 15].
Security: Further analyzed in terms of:
Integrity and Authenticity: Safeguarding the
accuracy and completeness of data and processing
methods. Unauthorized entities cannot change data
adversaries cannot substitute a forged document for a
requested one.
Privacy and Confidentiality: Ensuring that data is
accessible only to those authorized to have access and
Figure 2: The Architecture of P2P Network that there is control over what data is collected, how
with security system it is used and how it is maintained.
Availability and Persistence: Ensuring that
Data Acquisition and Presentation: At the streaming authorized users have access to data and associated
source, the content is prepared for distribution. If the assets when required. For a peer-to-peer content
data was prerecorded and is available as files, it distribution system this often means always. This
categorizes this as on-demand streaming. Data property entails stability [7] in the presence of failure
Delivery: The transition of one or multiple copies of or changing node populations.
the content from a source node to a destination node Scalability: Maintaining the system's performance
is called a streaming session. A streaming session attributes independent of the number of nodes or
starts when a streaming request is made and ends documents in its network. A dramatic increase in the
when all associated destination nodes have received number of nodes or documents will have minimal
the last byte of the content. Depending on the number effect on performance and availability.
of source and destination nodes involved in a Performance: The time required for performing the
streaming session. Peer-to-Peer System Operation: operations allowed by the system, typically
From the perspective of a peer, the life-cycle of a P2P publication, searching and retrieval of documents.
streaming session can be decomposed into a series of Fairness: Ensuring that users offer and consume
four major processes: finding the service, searching resources in a fair and balanced manner. May replies
for specific content, joining or leaving the service, on accountability, reputation and resource trading
and failure recovery when there is an error. mechanisms.
Resource Management Capabilities: In their most
3.1 Analysis of Architecture basic form peer-to-peer content distribution systems
allow the publishing, searching and retrieval of
In this description and analysis of architecture, documents. More sophisticated systems may afford
systems and infrastructures that are based on peer-to- more advanced resource management capabilities
peer architectures and aim at either offering content such as editing or removal of documents,
distribution solutions or supporting content management of storage space and operations on
distribution related activities: metadata.
Our approach is based on: identifying the feature Semantic Grouping of Information: An area of
space of non-functional properties and characteristics research that has attracted considerable attention
of content distribution systems, recently is the semantic grouping and organization of
Determining the way in which the non-functional content and information in peer-to-peer networks.
properties depend on and can be affected by various Various grouping schemes are encountered, such as
design features, and semantic grouping based on the content itself,
Providing an account, analysis and evaluation of grouping based on locality or network distance,
the design features and solutions that have been grouping based on organization ties as well as others.
adopted by current peer-to-peer systems, as well as
their shortcomings, The various design features and

COMO205-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

destination key and ensures that with very high


4. Security in Peer to Peer Network probability at least one copy of the message reaches
each correct replica root for the key.
Peer-to-peer content distribution architectures
present a particular challenge for providing the levels 5. Results and Evaluation
of availability, privacy, confidentiality, integrity, and
authenticity often required, due to their open and The experimental is result security architecture that
autonomous nature. The network nodes must be provides to support multimedia communications in
considered un-trusted parties [13], and no heterogeneous and homogeneous network
assumptions can be made regarding their behavior. environments. The implementation is shown in
This Section discusses various approaches that Figure-2; message flows in the implementation are
address a number of security issues characteristic of nodeId to nodeId. In this implementation [15], the
peer-to-peer content distribution systems. The architecture provides assumption results but aims at
particularly focus on secure storage, secure routing, providing dynamic multicast/uncast conversion
access control, authentication, and identity services to support an interactive multimedia
management. application. Our assumption is implemented some
Attackers who can choose nodeIds [12] can major components:
compromise the integrity of a structured p2p overlay, Secure Application Layer: that provides request
without needing to control a particularly large and key management of the multicast/uncast
fraction of the nodes. For example, an attacker may conversion services.
partition a Pastry or Chord overlay if she controls two Detection System: All incoming and out going
complete and disjoint neighbor sets. Such attackers queries the available and checked the authentication
may also target particular victim nodes by carefully service providers.
choosing nodeIds. Secure Adaption Layer: provide the Service
One solution to securing the assignment of confidentiality and integrity selected by the user using
nodeIds is to delegate the problem to a central, as the peer-to-peer networking protocol.
trusted authority. A set of trusted certification
authorities (CAs) [12,13,14] to assign nodeIds to 6. Conclusion and Future Work
principals and to sign nodeId certificates, which bind
a random nodeId to the public key that speaks for its In this paper to improve the security and efficiency
principal and an IP address[8]. The CAs ensures that of a peer-to-peer system by data streaming with
nodeIds are chosen randomly from the id space, and secure transformation of data nodes to nodes with
prevent nodes from forging nodeIds. similar content in peer to peer Overlay Networks.
Sybil attacks: While nodeId assignment by a CA This can an efficiently process queries while
ensures that nodeIds are chosen randomly, it is also preserving a high degree of node autonomy. In this
important to prevent an attacker from easily obtaining introduced security, an approach that improves query
a large number of nodeId certificates. One solution is performance even more at a cost of a slight reduction
to require an attacker to pay money for certificates, in the maximum achievable recall level. So conclude
via credit card or any other suitable mechanism. With is security and efficiency offer significant
this solution, the cost of an attack grows naturally improvements versus random overlay networks, while
with the size of the network. The identity-based CA is keeping more secure system, that believe security and
the preferred solution in “virtual private” overlays run efficiency in particular nodes can help improve the
by an organization that already maintains employment search performance of current and future P2P systems
or membership records with strong identity checks. where data is naturally clustered with secure
For small overlay networks, must require that all transmission. The general architecture is for creating
members of the network are trusted not to cheat. robust and efficient use of the heterogeneity of the
Attacks: All structured p2p overlays provide a hierarchical P2P systems.
primitive to send a message to a key. In the absence
of faults, the message is delivered to the root node for The Network Security topology is self-maintained
the key after an average of routing hops. Solution: and self healing, with low maintenance cost and
detect faults, use diverse routes that is important to resilience to failures. The Network Security topology
devise mechanisms to route securely. It is secure allows the users to take advantage of the different
routing primitive that takes a message and a peers’ characteristics and the ability to rapidly adapt

COMO205-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

to different situations (load balancing, failures, [8] Yongjin Choi and Daeyeon Park “Mirinae: A
network traffic, etc.). Network Security is well suited Peer-to-Peer Overlay Network for Large-Scale
to heterogeneous P2P networks. Our assumptions Content-Based Publish/Subscribe Systems” acm
show that Network Security efficiently handles 2005.
failures. It is highly stable and the resource oriented-
hierarchy provides an optimal use of the network. The [9] Laurent Massouli ´Erwan Le Merrer, Anne-
technique used to define and maintain the routing Marie Kermarrec and Ayalvadi Ganesh
tables allows the use of routing algorithms having a Microsoft Research, Cambridge, U.K. IRISA and
logarithmic complexity. FTR&D, Lannion, France INRIA/IRISA,
Rennes, France “Peer Counting and Sampling in
7. References Overlay Networks: Random Walk Methods”
2006.
[1] Wu Kehe, Zhang Tong, Li Wei, Ma Gang
“Security Model Based on Network Business [10] S. Zhou, M. Hogan, S. Ardon, M. Portman, T.
Security” IEEE 2009. Hu, K.Wongrujira, and A. Seneviratne “ALASA:
When Service Overlay Networks Meet Peer-to-
[2] Diego Doval and Donal O’Mahony Trinity Peer Networks” IEEE 2005.
College Dublin “Overlay Networks a Scalable
Alternative for P2P” IEEE 2003. [11] Mei Li Wang-Chien Lee Anand
Sivasubramaniam “Semantic Small World: An
[3] William Couch “Peer-to-Peer File-Sharing Overlay Network for Peer- to-Peer Search” IEEE
Networks: Security Risks” 2002 2004.

[4] Yan Chen, David Bindel, Randy H. Katz [12] Chunqiang Tang, Zhichen Xu, Sandhya
“Tomography-based Overlay Network Dwarkadas “Peer-to-Peer Information Retrieval
Monitoring” acm 2003. Using Self-Organizing Semantic Overlay
Networks” acm 2003
[5] Kenichi Watanabe and Yoshiona kajima
“Ranking Factors in Peer-to-Peer Overlay [13] www http://napster.com.
Networks” acm 2007.
[14] www http://vivisimo.com
[6] Arturo Crespo and Hector Garcia-Molina”
Semantic Overlay Networks for P2P Systems” [15] www.grokster.com
2004.
[7] Junghee Han, David Watson, and Farnam
Jahanian “Topology Aware Overlay Networks”
2004.

COMO205-6
ENERGY CONSERVATION THEORY IN CONTEXT AWARE COMPUTING
Umesh Chaudhary , Chandrika Prasad
Department of Computer Science, Banaras Hindu University
Varanasi-221005
umesh9794@gmail.com, chandrika.bhumca08@gmail.com

Abstract – Awareness to the context is an energy II. CONTEXT-AWARE COMPUTING


consuming phenomenon. In the modern era of computing it
is plausible to design a handheld device which can perform Context-aware systems consist of several types of
apparently to the higher level of energy conservation. Today sensors like accelerometers, location-sensors,
Ubiquitous Computing is an evolving area of computing temperature-sensor, voice-sensor, light-sensor etc.
challenges. As per Ubiquitous Computing concepts it is Sensors used to sample the input provided by the
totally based on context-awareness. Context aware systems changing-context and process that data on the defined
consist with a large range of sensors which work on various ontology and inference rules to produce calculated
levels of energy ranges. Those energy ranges consumes output. As sampling frequency is directly proportional to
battery charge accordingly. So it is necessary to devise some
the accuracy of sensor [1], and also to the energy
vital techniques which have control over the energy
consumption of battery and improving the on site
consumption therefore it is inferred that there is a trade-
performance of hand-held devices. off between energy and accuracy of a sensor. In this
paper we propose a mechanism whereby energy-accuracy
Deliberating the performance of current hand-held devices, trade-off can be reduced up-to 22%. The samples here are
the extracted result is found that it give more time in sensing taken by Sony Ericsson K530i with battery specification
the context rather than sleeping. Hence some maintain the
unbeatable accuracy on the cost of extremely energy
3.6 volt, 950 mAh. Block diagram (Fig-1) shows the
consumption. Many times it is unnecessary to sense the mechanism of a sensor equipped with back-off and
context because the context is not changing with the advance functions.
corresponding time. Here the concept is to minimizing
energy consumption while the sensors are not sensing the SENSE‐   SENSE  SENSE ‐
context. WORTHY  UNWORTHY 
Keywords – energy efficiency, context aware computing, EVENTS  EVENT 
sensors, Bluetooth, microphone, back-off function, advance
function.
I. INTRODUCTION
Energy efficiency is a vital issue in mobile context
sensitive system design, and for this reason, it has been
researched in many recent works. The EEMSS system [6]
is one of the most impressive works. This platform used a ADVANCE  SLEEP  BACK‐OFF 
hierarchical sensor management strategy to recognize FUNCTION  FUNCTION 
user states as well as to detect state transitions. Kiran et.
al.[3] proposed dynamic adaptation methods. Kang et. al.
[2] proposed SeeMon, a context monitoring service for
Figure-1: Block diagram of mechanism of a sensor
mobile devices based on several sensors, and it achieves
energy efficiency by performing context recognition only Sensors can react to the two types of events [3] i.e.
when the there is a change in the context. Musolesi et. al. sense-worthy and sense-unworthy. The events which are
[4] showed that continuous sensing is a viable option for recognizable to the sensors are known as sense-worthy
mobile phones by adopting efficient data uploading (to a events. The sensor uses sampling algorithms to sample
remote server) strategies. Ra et. al. [5] addressed the these events and consumes energy from battery. In order
problem of energy-accuracy trade-offs in smart phone to distinguish among these events CPU of device is
applications. Abdesslem et. al. [7] devised SENSELESS programmed at design time which is powered from the
systems which uses accelerometer extensively to sense battery for its operation. For a microphone a sense-
the movements and reduces overall energy consumption. worthy event is some available voice signals and for an
Jatinder Pal Singh [8] provided a method for GPS accelerometer a sense-worthy event is something that is
location sensing with improving energy efficiency. The moving. On the other hand those events for which sensors
Cell2Noitify [9] protocol developed for the management are not aware are called sense-unworthy events. A sensor
of energy in smart-phones using VOIP over wireless can neglect these types of events by not wasting its
network. E-Watch [1] sensor platform consists with sampling-cycle.
several sensors like Bluetooth, accelerometer, GPS etc
and perform good to tackle energy-accuracy trade-offs.
Finally, several energy saving schemes for mobile
devices are discussed and compared by Wang et. al. [6].
III. MINIMIZING ENERGY CONSUMPTION
In order to insinuate the energy conservation,
adaptive sampling based on dynamic method [3] can be
used. A sensor works on the “sense and sleep” cycle
which is shown in figure 1. Here back-off functions are
used by the sensors to control their sampling interval
during sense-unworthy events and advance functions are
used to control sampling interval. During these events, it
is devised to choose back-off and advance functions
strategically so as to minimize energy consumption.
Back-off Function - This function controls the sampling
interval in context of sense-unworthy events so it was Figure-3: Energy consumed in Joule per hour (Y-Axis) vs
sampling interval in seconds (X-Axis) for Bluetooth.
taken as-
f(x)=(√|sin(x)|) where x is the sleep interval. Another relationship between energy consumed
per hour (Joules) and minimum sampling interval (in
The function values ranges from 0 to 1. Hence the seconds) in the Bluetooth sensor has been studied (fig-3).
sensor can’t delay more than 1 second while taking Energy consumption decreases gradually. Further, using
samples and for a value other than boundary value the the relation between energy and current (E=Vit, where
sampling interval is greater than sleep interval. V=Voltage (volt), i=current (Ampere), t=time interval (in
Advance Function - This function controls the sampling seconds)) we can infer the relationship between battery
interval in context of sense-worthy events so it was taken life and the sampling interval of device (fig-4).
as-
f(x)=(|sin(x)|) where x is the sleep interval. 30
This function also ranges from 0 to 1 but the 25
largest boundary value is monolithic for the sense-worthy 20
events, it can be reduced by adjusting sleep interval 15
according to the context. 10
The back-off function and the advance function 5
were then tested for Bluetooth sensor and microphone 0
sensor of K530i. 0 0.5 1 1.5
IV. RESULTS AND DISCUSSION-
Figure-4: Battery life in hours (Y-Axis) vs sampling interval in
Relationship between minimum sampling interval seconds (X-Axis) for Bluetooth.
(in seconds) and accuracy of the Bluetooth sensor has
been studied (fig-2). The graph depicts an inverse Similarly, using the above defined trade-off and
relationship between accuracy and sampling interval. advance functions we have taken samples of microphone
sensor. The plot between accuracy and sampling interval
1 (in seconds) is prepared (fig-5). The plot presents that
accuracy decreases with respect to increment of sampling
0.8
interval while sampling from microphone.
0.6
0.4 1
0.2 0.8
0
0.6
0 0.5 1 1.5
Figure-2: Accuracy (Y-Axis) vs sampling interval in seconds 0.4
(X-Axis) for Bluetooth.
0.2
0
0 0.5 1 1.5
Figure-5: Accuracy (Y-Axis) vs sampling interval in seconds
(X-Axis) for microphone.
The graph (fig-6) represents the cumulative
behavior of energy consumption in Joules per hour and
sampling interval in seconds. It clearly depicts that the battery survives 6.4 hours with this sampling interval.
energy consumption also decreases with the increment in The results achieved reflect an approximate gain of about
sampling interval 22% over the results of dynamic adaptation methods used
in microphone sampling.
V. FUTURE EXPECTATIONS
200
Ubiquitous computing is an emerging research
150 field of implementations in which many computing-
100 elements are present everywhere and abstracted from
human-beings. Therefore, future hand-held devices will
50 be equipped with numerous real or virtual sensors to
increase context-awareness. Hence it will be highly
0 desired that the accuracy of these sensors should be high
0 0.5 1 1.5 as well as the energy consumption should be minimized.
So energy management will be the most challenging issue
Figure-6: Energy consumption in Joules per hour (Y-Axis) vs in those implementations. It will really influence nano-
sampling interval in seconds (X-Axis) for microphone. computing as how to compensate the nano particles to
store charge which can fulfill the energy requirements of
The graph (fig-7) represents the spatial peripheral of computing-elements. So we find energy
relationship between sampling interval in seconds and management will play a vital role in future computing
battery life in hours. The graph shows that by increasing techniques.
the sampling interval, battery life increases gracefully.
VI. CONCLUSION
We observed that all these curves stabilize at
certain values. Therefore, high values of these intervals In this paper we proposed how energy can be
do not necessarily imply low accuracy and high savings conserved in other hand-held devices and wearable
in energy. It should suffice to use the values after which sensors. The experimental results are experienced with
there are no considerable improvements in terms of Sony Ericsson K530i having battery configuration of 3.6
performance. Above described functions appear to be the volt, 995 mAh. Results clearly reflect that using the
best in terms of accuracy as compared to the other devised back-off and advanced function we can
functions for most of the cases; however, it is not always experience the lower battery-power consumption by
the worst in terms of energy consumption. Bluetooth as well as microphone sensors. The graphs
plotted above against accuracy vs. sampling interval,
energy consumed vs. sampling interval, and battery life
12 vs. sampling interval, each for Bluetooth and
10 microphone, highlights the energy conservation achieved.
Another significant finding is that with the taken back-off
8
and advance functions, we find that the sleeping time as
6 well as sampling interval is not more than 1 second. This
4 incredible nature of these functions allows the sensors to
2 maintain their accuracy. These functions can also be
0 implemented in real time systems which support delay
not more than 1 second. The increasing and decreasing
0 0.5 1 1.5 nature of the defined functions take place in a particular
interval so if we are talking about a primary sleep interval
Figure-7; Battery life in hours (Y-Axis) vs sampling interval in of more than 1 second then all next sleep intervals and
seconds (X-Axis) for microphone. corresponding sampling intervals will be within 1 second.
Figure-2 reveals that the sampling interval of 0.3 Hence the gain on battery life is found while taking
seconds provides dominant results with respect to other samples. These functions perform better than dynamic
sampling rates as while taking sampling interval 0.3 adaptation method functions in context of sensors in
seconds, accuracy of Bluetooth sensor remains 80%. Also hand-held devices.
as per figure-3 the energy consumption is 88 Joules per
REFERENCES
hour and figure-4 states the battery life of the
experimented device is 11.98 hours which is a better [1] Andreas Krause, Matthias Ihmig, Edward Rankin,
performance in comparison with other back-off and Derek Leong, Smriti Gupta, Daniel Siewiorek, Asim
advance functions experimented previously on Bluetooth Smailagic, Michael Deisher, Uttam Sengupta-“
sensors. Similarly figure-5, figure-6 and figure-7 describe Trading off Prediction Accuracy and Power
the results for microphone sensor. In figure-5 sampling Consumption for Context-Aware Wearable
interval of 0.3 seconds suffices 80% accuracy and from Computing” 2005 IEEE.
figure-6 energy consumption found to be 155 Joules per
hour. Also from figure-7 it is noted that fully charged
[2] S. Kang, J. Lee, H. Jang, H. Lee, Y. Lee, S. Park, T.
Park, and J. Song. SeeMon Scalable and Energy-
efficient Context Monitoring Framework for Sensor-
rich Mobile Environments. In Proceedings of
MobiSys '08, 2008.
[3] Kiran K. Rachuri, Mirco Musolesi, Cecilia Mascolo-
“Energy-Accuracy Trade-offs in Querying Sensor
Data for Continuous Sensing Mobile Systems” 2010.
[4] M. Musolesi, M. Piraccini, K. Fodor, A. Corradi, and
A. T. Campbell. Supporting Energy-Efficient
Uploading Strategies for Continuous Sensing
Applications on Mobile Phones. Proceedings of
Pervasive '10, 2010.
[5] M.-R. Ra, J. Paek, A. B. Sharma,R. Govindan, M. H.
Krieger, and M. J. Neely. Energy-Delay Tradeoffs in
Smartphone Applications. In Proceedings of
MobiSys '10, 2010.
[6] Y.Wang, J.Lin, M. Annavaram, Q. A. Jacobson, J.
Hong, B. Krishnamachari, and N. Sadeh. A
Framework of Energy Efficient Mobile Sensing for
Automatic User State Recognition. In Proceedings of
MobiSys '09, 2009.
[7] Fehmi Ben Abdesslem, Andrew Phillips, Tristan
Henderson-“Less is More: Energy-Efficient Mobile
Sensing with SenseLess”. In Proceedings of
MobiHeld’09,2009.
[8] Zhenyun Zhuang, Kyu-Han Kim, Jatinder Pal Singh-
“Improving Energy Efficiency of Location Sensing
on Smartphones” In Proceedings of MobiSys '10,
2010.
[9] Yuvraj Agarwal, Ranveer Chandra, Alec Wolman,
Paramvir Bahl, Kevin Chin, Rajesh Gupta-“Wireless
Wakeups Revisited: Energy Management for VoIP
over Wi-Fi Smart-phones”. In Proceedings of
MobiSys '07, 2007.
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 1

AUTHENTICATE SECURE ROUTING PROTOCOL

ABHAY SHUKLA (M.Tech., persuing P.hd.)


connect network and communicate to each other. It
Abstract—Mobile ad hoc networks (MANETs) can be allows the devices to maintain connections to the
defined as a collection of large number of mobile nodes
that form temporary network without aid of any existing network as well as easily adding and removing
network infrastructure or central access point. Each node devices in the network. User has great flexibility to
participating in the network acts both as host and a router design such a network at cheapest cost and
and must therefore is willing to forward to packets for minimum time. Mobile ad hoc network consist large
other nodes. The characteristics of MANETs such as:
number of node, it form temporary network with
dynamic topology, node mobility, provides large number of
degree of freedom and self-organizing capability of that dynamic topology. In this network each node
make it completely different from other network. Due to communicates with each other through radio
the nature of MANETs, to design and development of channel without any central authority. In MANETs
secure routing is challenging task for researcher in an each node operates in a distributed peer-to-peer
open and distributed communication environments. The
main work of this paper is to address the security issue,
modes [2], serves as an independent router to
because MANETs are generally more vulnerable and we forward message sent by other nodes. MANETs has
proposed a secure routing protocol for MANETs, are shows distinct characteristics, such as:
named ASRP (Authenticate Secure Routing Protocol) Weaker in Security
based on DSDV. This protocol is work on various modes; Device size limitation
each mode corresponds to specific state of the node. This
protocol is design to protect the network from malicious Battery life
and selfish nodes. We are implementing Extended Public Dynamic topology
key Cryptography mechanism in ASRP in order to achieve Bandwidth and slower data transfer rate
security goals. Apart from these limitation MANETs has many
Keywords: - MANETs, Security, Cryptography. extensive application like: Military application,
Natural disaster, Medical service.
I. INTRODUCTION

T1980s.
Wireless cellular system has been in use since
HE
Wireless system operates with the aid of
a centralized supporting structure such as an access
point. These access points assist the wireless users
to keep connected with the wireless system, when
they roam from one place to other. In wireless
system the device communicate via radio channel to
share resource and information between devices.
Due to presence of a fixed supporting structure,
limits the adaptability of wireless system, so this
generation of wireless system is required easy and
quick deployment of wireless network. Recent
Figure 1.1
advancement of wireless technologies like
In ad hoc network there can be node that will try to
Bluetooth [3], IEEE 802.11 [4] introduced a new
disrupt the proper functioning network. These nodes
type of wireless system known as Mobile ad-hoc
can be malicious or selfish [8]. They try to disrupt
network (MANETs) [1, 2, 5, 6], which operate in
network function by modifying packets, injecting
the absence of central access point. It provides high
packets or creating routing loops. So, security is an
mobility and device portability’s that enable to node
important task, because MANETs has
characteristics such as; dynamic topology,
COMO207-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 2

infrastructure less. There are large numbers of 3. DESIGN, DEVELOPMENT AND


secure routing protocols proposed by many SIMULATION OF ASRP
researchers they fulfill different security 3.1 Introduction
requirements and prevent specific attacks. They are The ASRP is a proactive secure routing protocol.
divided into three categories: Reactive routing The design of ASRP follows the table driven
protocol [5, 6], Proactive routing protocol [5] and approach, each node maintain a node info table
hybrid routing protocol [6]. In reactive routing regarding to network structure, route information
protocol the route is discovered when it required, in from a particular source to its all possible
proactive each node maintain network information destination and information about others node.
regarding to network connectivity and route When a new node enters into network all the node
information to all others node within the network updates its own info table.
and proactive is one which is neither reactive nor This means that every node have complete
proactive. knowledge about the network structure. The ASRP,
Now, the Most of the solution uses cryptography protocol works on the terminology of the modes of
mechanism to detect selfish, malicious behavior of node, in which each mode define the working
nodes and securing information from other types of pattern of node. The different modes correspond to
attacks. The mechanisms which are used by the activity of node and each mode defines
different secure routing protocol to detect malicious particular state of the node in ASRP. When
and selfish node have address separately in different MANETs is established then every node in the
protocol. No secure mechanism has been proposed Initialization Mode (IM: it is the network
till date that can address to detecting malicious and initialization mode) which enables every node setup
selfish node collectively. We proposed a the network infrastructure and store initial
mechanism, Extended Public key Cryptography information in its own Node Info table and also the
(EPKCH) [9, 10] that able to detect the malicious information regarding to network structure. When
nodes and selfish nodes collectively in order to nodes are finish initialization they switch
achieving security goals such as; themselves to Lazy mode (LM), where they are
Authentication, Integrity, Confidentiality and Non- waiting for forwarding the packet. Lazy mode is the
Repudiation. Also, we proposed a routing protocol default mode of the each node when they do not do
named Authenticate and Secure Routing protocol anything. If any node wants to forward the
for mobile Ad hoc Network (ASRP). We PackFoward packet they switch from lazy mode to
implemented EPKCH mechanism in monitor mode monitor mode (MM), then packet forwarding mode
of ASRP to securing MANETs. To design of this (PFM). As soon as they finished the packet
protocol follows the table-driven approach, in which forwarding they switch to lazy mode. In lazy mode
each node maintain the information, regarding to the lazy node forward the PackLazy packet to its
network structure and route from a particular source neighbor node.
to its all possible destination in its node info table. So, there are four mode correspond to different
ASRP is a proactive secure routing protocol. activity of node. The IM responsible for the
2. PURPOSE establishment of MANET, LM is the default mode
The secure protocol design and development has of the node where they do not do anything. MM
become the most challenging task in securing mode is responsible monitoring the network and
mobile ad hoc network. Most of the existing node, while node leaving the network and joining
protocol has been develop based on specific security the network. The IM also detect the malicious and
scenarios. So the main purpose of this research is to selfish node within the network. The PFM is also
understand and evaluate the existing secure protocol detects the malicious and selfish node during packet
and implement a secure protocol which is not forward operation.
address the solution for particular security (attacks)
3.2 Assumptions
but it is prevent different kind of security scenarios.

COMO207-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 3

Our proposed secure protocols aim to protect the PacketType PackLazy


network from attackers. Our proposed schemes
work under several assumptions as follows: 3.3.2 Conditional Packet
The conditional packets are those packets that a
The network link is bidirectional. That is, if
node sends after detecting the particular condition
node A is able to transmit to node B, then B is also
has been satisfied. Some of the conditional packets
able to transmit to A.
are fixed size and some are variable size.
The wireless interface supports promiscuous I. Conditional Fixed Size Packet
mode operations. That is, each node can receive a a) PackUpdate
copy of the messages being transmitted by other This packet is send by various nodes to their
nodes within its receiving range. neighbor when they detect that there is any change
A public key infrastructure exists in the in their neighbor position of the nodes.
MANET under consideration. Each mobile node Table 1.3 PackUpdate Packet and Structure
stores the public key of all other nodes.
The trust relation could be instantiated. For Packet_Id
example: by knowing public key of other nodes.
There is a security association between source NodeName ………
node and destination node.
The existence of security association is justified PacketType Conditional
because, host chose to employ a secure
communication schemes and consequently, should NodeNomeother Info_about_other_node
be able to authenticate each other.
3.3Packet types and their Structure b) PackError
There are two types of packet being transmitted by This packet is send by the node to the source node
the various nodes; conditional packet and to indicate that the destination does not exists
unconditional packet. Table 1.4 PackError Packet and Structure
3.3.1 Unconditional Packets Packet_Id
The unconditional packets are those packets that a
node sends to neighbor which have fixed size and NodeName ………
irrespective of the condition.
a) Pack Hello PacketType Conditional
This packet is send by node to its entire neighbor
when the node is in IM. NodeNomeother PackError
Table 1.1 PackHello Packet and Structure
Packet_Id c) PackMalicious
This packet is send by the node to its neighbor when
NodeNameA1 Signatur_of_A1 it finds any malicious activity by some node.
Table 1.5 PackMalicious Packet and Structure
PublicKey KA1+ Packet_Id

b) PackLazy NodeName ………


This packet is send by the node to all its neighbour
when the node is in LM. PacketType Conditional
Table 1.2 PackLazy Packet and structure
Packet_Id NodeNomeother Info_about_other_node or
NodeName ……… PackMalicious

COMO207-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 4

d) PackSelfish PacketType PackForward


This packet is send by the node to its neighbor when
it finds any selfish activity by some other node PrivacyPart(PPart) Non-repudiation
Table 1.6 PackSelfish Packet and Structure part(NPart)
Packet_Id
3.3.3General Structure of Packet
NodeName ……… I. Fixed Size Packets
The general structure of fixe size packets for ASRP
PacketType Conditional is
Table 1.9 Fixed Size Packets Structure
NodeNomeother Info_about_other_node Packet_Id
or PackSelfish
MAC Sender
II. Conditional Variable size packet
The variable size packets are. Cond_control_bits
a) PackInitialized
This packet is send by node to neighbor when MACother
neighbor node sends PackHello to him.
Table 1.7 PackInitialized Packet and Structure Info_control_bits
Packet_Id
Table 1.10 Cond_control_bits meaning
NodeNameA1 PublicKey(KA1+) Bits Meaning

NodeNameA2 PublicKey(KA2+) 00 Unconditional PackHello


Packet
-
------------ ---------
01 Unconditional PackLazy
Packet
Nonce_of_A1 TimeStamp_of_At
11 Conditional Packet
Number_of_node No_of_Hopes
The Info_control_bits are meaningful only if the
PacketType PackInitialized Cond_control_bits are 11. The 3 bit for the
Info_control_bits stand for whether the packet has
b) PackForward left neighbour of the sender node has just joined the
This is the packet which is prepared by the source network or sender node detect the MACother to be the
node first then it is modifying by the all node which malicious node or the selfish node. These 3 bit stand
are on the route to destination, by adding its own for the conditional packets so for the
information. All the intermediate node encrypt the Cond_control_bits are 11.
NPart of packet by the public key of source node II. Variable Size Packets
and verify it and then sign on it by using its own The variable size packet structure for the ASRP is
private key. Table 1.11 Variable Size Packets Structure
Table 1.8 PackForward Packet and Structure Packet_Id
Packet_Id
MAC Sender
NodeName ………
Cond_control_bits

COMO207-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 5

MACother simultaneously and for latter situation the node


added to the network is in IM. After initialization
Info_variable_size mode node switches to lazy mode. In IM mode,
each node got the public key of all other nodes
The Info_control_bits identifies whether the packet participating in the network before joining the
is PackForward or PackInitialized Packet. network. In this mode a trust relationship exists
Table 1.12 Info_control_bits Meaning between each node that participates in the network
Bits Meaning by sharing public key and other information.
II. Procedure
00 PackForward Packet In this mode during the initialization of the
network the node, say A, send the PackHello packet
01 PackInitialized Packet to its neighbour to get the PackInitialized packet. If
other node send the PackHello packet to the node,
3.4Activities of Nodes say B, it send the PackInitialized to the node.
When node receives the PackInitialized packet
There are various activities of node in mobile ad
it updates its NodeInfo table, and broadcast
hoc network:
PackInfo to all node that participate in the network
I. The node is sending HelloPacket packet to it all
which contains its public key, timestamp t,
neighbor node to getting the certificate and public
timestamp e, address and signature and then switch
key of all other nodes that participate in the
to lazy mode.
network.
When the others node receive the information
II. The neighbor node sends the public key and
about new node updated its NodeInfo table and also
certificate signed by its own private key, to the node
check there is any change in the table or not. If there
by sending PackCert packet.
is no change in the table then it switches to LM.
III. The node will forward the PackFoward packet
If the node not receives the PackInitialized to
that will receive from its neighbor if it is not
any its neighbour it again sends the PackHello
destination node.
packet.
IV. The node will monitor any topology change in
This mode is can also help to find the malicious
its neighborhood that is if any node is leaving the
nodes as they may change the public key of node
network, joining the network or changing its
intentionally. The node can compare the public key
position with respect to neighborhood.
send by particular node with the public key send by
All these activities correspond to the specific state
others nodes and if the find any deviation it send the
of node in mobile ad hoc network. And the group of
PackMalicious packet to other neighbour nodes.
activities represents the mode of nodes. The first
It the node find that a particular node is not
and second activity grouped into IM (network
responding with the PackInitialized packet
initialized mode), the third activity will come under
corresponding to its PackHello it send the
the PFM (packet forward mode) and the fourth
PackSelfish to its neighbour nodes.
activities come under MM (monitor mode). We
All the nodes switch to IM from the LM if all
discussed every mode of the node detailed in next
the node going to change their keys. Then they
section.
perform the process of Initializing with neighbors.
3.5Initializing Mode (IM) The node can change their mode according to their
I. Description activity, if a node finish the Initialization process it
This mode is responsible for the establishment of switch to lazy mode and if they want to be forward a
MANETs. It can be established MANET in two PackForward to any node then it switch lazy mode
ways. One way can be that all the nodes get on at to packet forward mode through monitor mode.
the same time and second way can be that the node Transition between modes depends upon the activity
get added to the network with the posses of the of nodes.
time. For the first way all the node are in the IM Table 1.13 Packet exchanged and Transition in IM

COMO207-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 6

In the Network Initialization procedure, if a node is nodes (such as public key, address, timestamp t,
in IM and send the PackHello Packet. timestamp e, nonce and signature to all others node
that participate in the network)
Mode Packet Transition to
NodeName Publi Nonc Timestamp NextNodeName NumberofHop
exchanged Mode c key e s

IM PackHello, MM, LM ----- ----- ----- ---- ---- ----


PackInitialized

Table 1.14 Structure of NodeInfo Table


1. Start IV. Main Goals
2. Send the PackHello packet to all node which The main goals of Network Initialized mode are
are at the distance i=0. listed below
3. Stop. All the nodes can get the public key of all other
nodes that participate in the network.
After IM procedure ever node updates its
A. In the Network Initialized procedure, if a node is NodeInfo table and gets complete knowledge about
in IM and get the PackHellopacket. the route of all other nodes that are reachable to
source node and also get the information regarding
1. Start to the network topology.
2. Update its NodeInfo table. All the node have get approximate idea about
3. Send the PackInitialized packets which how much distance and time (millisecond) taken to
contain the public key all other sending a data to the particular node.
node and other information regarding to network This mode can help us to detect malicious and
structure which are selfish node within the network, by comparing the
available in its own NodeInfo. It send to that same node public key send by the different nodes.
node which are at the This mode is responsible for the establishment
distance of i=0. of mobile ad hoc network, by adding node in the
4. Stop. network.
The transition from IM to either lazy mode or
MM or packet forwarding mode via monitor mode.
B. In the Network Initialized procedure, if a node is
in IM and get the PackInitialized Packet. Lazy Mode
1. Start I. Description
2. Set change = false The LM is the default mode of the node. The node
3. Updates its NodeInfo table switches this mode either from IM, or from MM, or
4. Check for any change in its NodeInfo table, if from PFM. When MANET is established every
there is any change node are in the network initializing mode, as soon
Then as they finished the network initialization process it
Change = True switch form IM to LM. The node is in PFM, and if
5. If change = true there is no packet to be forwarded they switch LM.
Send the PackHello again In this mode, if all the nodes want to change their
Go to step 2. public keys it switch from lazy mode to initializing
6. Stop mode. If the node in LM and detect any
neighborhood activity it switch to MM or it has
receive any PackForward packet it switch itself to
III. Structure of the NodeInfo table from lazy mode to packet forwarding mode through
monitor mode, and after finishing packet forwarding
The node stores the information regarding the
network structure and information about other
COMO207-6
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 7

procedure it again back to LM, and forward This mode is basically for monitoring the network
PackLazy packet to all neighbor nodes. topology when nodes leaving the network, joining
the network or changing its position with respect to
1. Start neighborhood. This mode also detect malicious and
2. Set Flag = 1 (i.e. If there is any activity of selfish node. If the node in mode found any activity
nieghbour, then) of neighbour node or receives any PackMalicious
Switch to MM Packet or PackSelfish Packet or PackForward
Else flag = 0 Packet it switches itself to MM. This is the main
End loop mode for ASRP, it provide high level of security.
3. It check the neighbour node, for malicious or This mode is also called the protector mode. These
selfish , if yes then send above condition can divided into two part; general
PackSelfish to all neighbour node condition and special condition.
4. If not then return to LM
5. Stop.

Figure 1.3 Transitions between Modes in MM

A. General Condition
I. Procedure for MM when node are in Lazy mode
and detect any activity of neighbor nodes
Figure 1.2 Transitions between Modes in LM II. Procedure for MM when nodes are in Lazy mode
II. Procedure and receive PackMalicious or PackSelfish or
The Lazy mode is called the default mode of PackForward to neighbour node.
the node.
As soon as the node finished the network B. Special Condition
initialization they switch from IM to LM. Nodes joining the network, nodes leaving the
If there is no PackForward packet to be network and nodes are changing its position within
forwarded they switch from PFM to LM. the network. These three special conditions are also
When the node in LM detect that there is handling in monitor mode by sending or receiving
activity of neighbor node it switch itself to MM PackUpdate packet. These three conditions are
If the PackForward packet comes from the explained below. In the case of new node joining
neighbor node then it switch them to PFM through the network, say node A want to join the network.
MM and after finishing packet forwarding There are two cases possible, first is the network
procedure it comeback to LM. has no any node initially and the second case is
If all the nodes want to change their public key node A join the network through nodes B1 to Bn. in
then they are also switch LM to IM. first case node A enter into network without any
In the nodes comes in the Lazy mode it send the condition. In second case if n=1, then it join through
PackLazy packet to all the neighbor node. B1 and if n=2, then it join through B1 and B2.
Monitor Mode
COMO207-7
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 8

a. The Procedure for node joining the network is The ASRP is proactive secure routing protocol, so
given below when MANET is established every source node
1. Start know the route to the all its possible destination
2. Flag Join = False node which are reachable from it. The source node
3. While ( Join = False) then prepare the packet and send it to first node
The node A checks that if at least one node out of which are on the route of destination node, then first
all the node through which it is node send to next in this way the data is reaches to
going to join the network. if there is one node, then the destination node. Now the packet that is sent,
Join = True consist two parts
Else Join = False PPart NPart
End Loop
4. The node A switch to IM and send the
PackHello packet to nodes out of B1 to Bn The first part i.e. Privacy part contain the data
which are in LM. which is to be transmitted is encrypted by source
5. The node which are in LM, out of B1 to Bn node by using public key of destination node. The
switch themselves to IM, when it receive NPart i.e. non-repudiation part contains the address
the PackHello packet from node A, then updates it of destination node, a nonce of destination node and
NodeInfo table and then it send the timestamp, and the address of all the node along
PackInitialized Packet to A. they transmitted. It also contains the address of
6. Node A match all the PackInitialized packet source and signature of source node which is
send by B1 to Bn if there is no change, encrypted by private key of source node. Every
then intermediate node along the decrypt the NPart of
Node A Update its NodeInfo table and send its Packet and verify its addresses, if itself destination
own information to all node within the node or not then forwards the packet to the next
network node in the path. This way packet reached to
All node in MANET Updates its own NodeInfo destination node. The NPart contains only the
table information related to route. This mode detect
Else send PackMalicious to all neighbours. malicious and selfish node by verifying NPart of
7. After finishing IM procedure they switch to LM packet. It also detect if link is broken to destination
8. The resident nodes again switch to LM. node or if destination not exists by generating
9. Stop. PackError packet. If any node behaves as a selfish it
b. Procedure when the node, say D changes its detect by resending packet again. If packet send by
position or detects the change in its neighborhood or source node to particular destination and the
node D leaving the network. intermediate node not find the particular destination
it send PackError packet to source node.
1. Start The data forwarding process is better understood by
2. Check any change in its neighbors, if node D using an example. Let us suppose the source node
leave the network, then want to send the data in PackForward packet has to
3. The neighbor node E of D send the destination node along the way to destination
PackUpdate to all its neighbor through the source A B C D destination.
4. If node D change its position, then D send
the PackUpdate to its neighbors
5. The neighbor node after receiving it switch
to MM, and updates their NodeInfo
table and send PackUpdate to all its own
neighbors
6. Stop.
Packet Forward Mode

COMO207-8
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 9

Source A {PPart, NPart} The ASRP is the protocol that works by enforcing
PPart =EPA (EPB (EPC (EPDestination (Data, Source, t, the cooperation between the nodes. That makes the
n, C), B,) A), Source) nodes are more responsible for their action and the
NPart = EPRSource (A, B, C, (Destination, t, n) probability of the non-intentional behavior of the
KSource- node also become less. The MANET does not pass
A B{PPart, NPart} the any infrastructure so the nodes have to cooperate
PPart = EPB (EPC (EPDestination (Data, Source, t, fully in order to enforce the operation on the nodes
n, C), B), A) in the correct way.
NPart = EPRA (B, C, Destination, t , n) KBB II. Robust Modular Implementation
C {PPart, NPart} There are four modes of the ASRP each mode has
PPart= EPC (EPDestination (Data, Source, t, n, C), B) its own modular implementation and independent
NPart = EPRB (C, Destination, t, n) KCC working. That paves the way for the modification of
EPDestination {PPart, NPart} the working of the modes in the future as the need
PPart= EPDestination (Data, Source, t, n, C) arises without modifying the working of the other
NPart = EPRC (Destination, t, n) modes. Moreover the modular approach is best for
the debugging also.
Simulation
Additional Security Features We are using turbo C, for the simulation, has been
The others security features enhanced the security of performed to simulate the transfer of data between
MANET, are given below. various nodes in initializing mode, lazy mode,
I. The key of the nodes have to be change regularly packet forward mode and monitor mode. The
after some specific time interval, so as keep the simulation has been performing on eight nodes.
MANET secure all time. Figure 1.4 Simulated MANET
The simulation program after taking the input
5 1 performs the simulation as mention below.
I. Network input by adding the node in form of
adjacency list
6 4 2 0 II. Simulate the packet transfer in the Initializing
Mode
III. Simulate the packet transfer in the Lazy Mode
7 3
IV. Simulate the packet transfer in the Packet
Forwarding Mode
Since the ASRP is a proactive secure routing
II. The dummy packet forwarding operation can be
protocol, so in every step it displays the status of
implemented by the intermediate node to detect the
tables of all the nodes. The simulation step along
node who misbehaves.
with screenshot has been given below. I. Firstly the
III. To detect malicious and selfish during the
simulation program takes the input, in terms of
network initializing process by verifying public key
asking for the number, name and name of neighbour
and signature send by all neighbour node to new
of nodes.
node.
IV. In case of special scenario of military operation,
like some nodes are to join the network latter on,
only those nodes join which has the MAC address
that has been already verify
Inbuilt Defense
The various inbuilt defense are in ASRP, to
securing MANET, these are:
I. Cooperation Enforcement

COMO207-9
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 10

II. Program to display the packet during the IM, i.e.


one node sends the PackHello packet to other and it
sends the PackInitialized to previous node.
Initially we assume that node 0 is only single node
in MANET, and then enter node 1, and then 2, and
so on.

Figure 1.5 Network Input


a) The simulation program displays the network
enters in terms of adjacency list.

Figure 1.8 Step II Network Initialization Mode


IV. Packet Transfer in Monitor Mode
In this mode if a node detect any activity of
neighbour node it goes to MM and send a
PackCheck to all its neighbour for detecting
Malicious node.
a) After step II every source node shows the
route information to its all destination, and
time in millisecond.

Figure 1.6 Adjacency list of Nodes in the Network

b) The simulation program input the time (in


millisecond) to sending the data between
each nodes.

Figure 1.7 Input Times in Millisecond Figure 1.9 Information about the route of all
destinations from a source

COMO207-10
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 11

b) Network after (establishing MANET) step II. Conclusion and Future Scope
Wireless mobile ad hoc networks present difficult
challenges to routing protocol designers. Mobility,
constrained bandwidth, and limited power cause
frequent topology changes. The very basic nature of
the mode of communication is the main concern
because anything that moves over the open air
medium is susceptible to be picked up by
unauthorized access. For any mission critical or
organizationally sensitive information, ad hoc
networks add an element of insecurity. In the existing
Figure 1.10 MANET after step II secure routing protocols most of the security attacks are
III. Packet Transfer in Lazy Mode possible with a compromised node. In this work we focus on
how to detect malicious and selfish node and to design and
implement a secure routing protocol.
In ASRP protocol we discuss various activity of node which
they are shown during the MANET operation and these
activities are grouped into modes along their working. We also
discussed the packets that are going to be exchanged in
different mode of nodes. The conclusion that comes are given
below.
I. The problems of malicious and selfish node are handling
simultaneously. We discussed Extended Public key
cryptography mechanism to handle the malicious and selfish
node during network operation. As the selfish node cannot
malicious at same time, but if nodes are not malicious then
Figure 1.11 Packet transfer during Lazy Mode
they may be malicious.
IV. Packet Forwarding Procedure
II. The protocol is handling the some special situation like
nodes joining the network, node leaving the network and nodes
are changing its position within the network. The monitor
mode of ASRP handles all three situations.
III. In ASRP, there are four modes, the IM corresponds to
network initialization phase, the LM corresponds to default
phase, PFM responsible for forwarding the packet form source
to destination and MM is the protector mode of the network.
IV. The protocol has to develop in the way so that the future
modifications are possible without changing overall protocol.

Future Scope
The proposed secure routing protocol, ASRP, is a
proactive routing protocol based on table driven
approach. For the future work we can use the hybrid
approach or reactive approach in ASRP to
implement a new secure routing protocol. We can
also add another mode or existing one can be
extended to handle some exceptional conditions.
The public key cryptography algorithm can also be
extended to securing MANET.

Figure 1.12 Shows the data are going to source to


References
1] C. Perkins, “Ad hoc Networks,” Addison-
destination via same route.
Wesley, 2001.
COMO207-11
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 12

[2] M. Ilyas, “The Handbook of Ad Hoc Wireless


Networks,” CRC Press, 2003.
[3] Chatchik Bisdkian, “An overview of Bluetooth
Wireless technology”IEEE Communication
Magazine, Vol. 39, No. 12, pp 86-94 December
2001.
[4] Brian P. Crow, Indra Widjaja, Jeon Geun Kim
and Prescott T. Sakai, “ IEEE 802.11 Wireless
Local Area Network,” IEEE Communication
Magazine, Vol. 35, No. 9, pp 116-126, September
1997.
[5] C.K.Toh, “Ad Hoc Mobile Wireless Networks:
Protocols and Systems,” Prentice Hall Englewood
Cliff, NJ 07632, 2002
[6] C. Murthy and B.Manoj, “Ad hoc Wireless
Networks: Architectures and Protocols,” Prentic
Hall PTR,2005.
[7] IETF MANET Working Group. Mobile Ad Hoc
Networks (MANET). Working Group, Charter
available at
http://www.ietf.org/html.charters/manet-
charter.html.
[8] Sonja Buchegger and Jean-Yves Le Buddec,
“Increasing Routing Security in Mobile ad hoc
Network,” IBM Research Report: RR 3354, 2001
[9] B. Schneier, Applied Cryptography,Wiley, 1996.
[10] A. Salomaa, “Public-Key Cryptography,”
Springer-Verlag, 1996.

COMO207-12
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Improvement of Hata Propagation Prediction


andexperimental works have been done for radio
propagation in mobile environment by using measurement as
Model for Suburban Area
in [1, 2]. In this
optimization using
paper, Hata-model is selected for

Himani Kaur*, Er. Ravneet Singh **

*A.B.E.S. Institute of Technology, NH-24, Vijay Nagar, Ghaziabad (UP) 201009


** JTO, BSNL India

Abstract— This paper describes a study on RF numerical analysis and simulation in Matlab since this model
attenuation path loss behavior in suburban coverage shows good performance compared to other models. The
areas in Haryana. Hata model is the most popular model field measurement data was collected using suitable
that extensively used in Europe and North America. The equipment for outdoor measurements and is then compared
model developed by Y. Okumura and M. Hata and is with the simulation results.
based on measurements in urban and suburban areas at
Japan. There are practical problem faced in India if this II. THEORETICAL ANALYSIS
model is used for the prediction of the signal in suburban
areas of India. The main problem is that the path loss Hata model is the most popular model that extensively used
calculated with Hata model for less than 1km shows in Europe and North America. The model developed by Y.
large practical difference. This difference can be due to Okumura and M. Hata [3] and is based on measurements in
the empirical Okumura Hata model is not according to urban and suburban areas at Japan in 1968. Validity range of
the land profile of India, building and environmental the model is frequency fc between 150 MHz and 1500 MHz,
conditions are different from the basic inferences used in TX height hb between 30 and 200 m, RX height hm between
formulation of this model in Japan and the Vegetation 1 and 10 m and TX-RX distance r between 1 and 10 km.
and foliage losses etc. are different. An effort to improve Hata's Equation is classified into three models [4]:
the Hata empirical model for sub urban area is done in
this research paper. Rural: open space, no tall trees or building in path
Suburban area: Village Highway scattered with
Keywords- Path Loss; Okumura Hata model; Optimization; trees and house with some obstacles near the mobile
Suburban but not very congested.
Urban area: Built up city or large town with large
building and houses.
I. INTRODUCTION
Definition of parameters:

W e are facing degradation of the mobile phone signal


due to various obstacles between base station and
mobile stations in suburban area. Thus, an accurate
hm: mobile station antenna height above local terrain
height [m]
path loss model is useful to predict the signal strength at dm: distance between the mobile and the building
suburban area as well as to achieve a high quality of signal. h0: typically height of a building above local terrain
Path loss models are important for predicting coverage height [m]
area, interference analysis, frequency assignments and cell hte: base station antenna height above local terrain
parameters which are basic elements for network planning height [m]
process in mobile radio r: great circle distance between base station and
systems. The propagation models can be divided into three mobile [m]
types of models, namely the empirical models, semi- R = r x10-3 great circle distance between base station
deterministic models and deterministic models. Empirical and mobile [km]
models are based on measurement data, statistical properties
f: carrier frequency [Hz]
and few parameters. Examples of this model category will be
fc = f x 10-6 carrier frequency[MHz]
Okumura model and Hata model. Semi-deterministic models
are based on empirical models and deterministic aspects, = free space wavelength [m]
examples being the Cost-231 and Walfsh-Ikegami. Path loss for Hata-model is defined as below:
Deterministic models on the other hand are site-specific,
requires enormous number of geometry information about (i) Urban area path loss (dB)
the city, computational report and more accurate model. L(dB) = 69.55+26.16 log fc-13.82 log hte+(44.9-
The literature review shows that a lot of analytical 6.55 log hte) log R-E (1)

COMO209-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

(ii) Suburban Areas Path Loss, different BTS is available for post processing. The
2 measurement data is available in the log file [7].The details
fc
L(dB) = L(urban) -2 log 5 .4 (2) of planning data for the selected sites consists of Lat/long,
28 Height of Antenna, Azimuth, BCCH frequency, Cell ID etc
in TABLE I.
(iii) Rural path loss, TABLE I
PLANNING DETAILS OF 2G SITES
L (dB) = L(urban) - 4.78 (log fc)2 + 18.33 log Site name Azimuth Long Lat
Ant.
ARFCN
Height
fc + 40.94 (3)
15 37 75
KABLANA 165 76.747 28.621 37 71
For urban area divided into: 260 37 87
0 38 111
BUPANIA 120 76.824 28.636 35 73
For large cities: 190 38 71
E = 3.2 [log (11.7554hm)]2 – 4.97 fc 400 MHz 350 35 76
DABHODA
E = 8.29 [log (1.54hm)]2 – 1.1 fc 200 MHz KHURD
80 76.825 28.662 35 71
180 35 87
0 35 74
For small and medium-sized cities: GUBANA MAJRA 120 76.845 28.603 35 80
240 35 88

E= [1.1 log (fc) – 0.7] hm - [1.56 log (fc) – 0.8] SAHU


0
120 75.832 29.493
38
38
112
87
230 38 76
340 37 113
DABWALI ROAD 120 75.024 29.552 37 76
The area of Haryana is mostly is as sub-urban area. Thus,
200 37 78
the Hata Equation being used as below: 140 37 78
2 SAWANTKHERA 235 74.768 29.907 37 76
fc 320 37 112
L (dB) = L (urban) - 2 log 5 .4 (4) 50 37 78
28 OTTU 120 74.888 29.501 37 111
305 37 71

As like any other empirical propagation models, Hata model IV. OPTIMIZATION OF MODEL
contains three basic elements [5, 6]:
Initial offset parameter, Figure 1 shows the optimization process to optimize the Hata
Initial system design parameter, propagation model. Optimization process was obtained by
Establish slope of the model curve. using least square method. The optimized model will be
validated based on sub urban areas of Haryana. Statistical
L (dB) = 64.54 + 22.16 log (fc) – 13.82 log (hte) + [44.9 – analysis such as relative error, standard deviation and
6.55 log (hte)] log (R) –E (5) variance were used to compare between the optimized model
and other known models.
From Eq (5), the initial offset parameter is fixed at:
E0 = 64.54
From Eq (5), the initial system design parameter may be
expressed as:
Esys = 22.16 log (fc) –13.82 log (hte)
The slope of model curve is a constant as expressed from
Eq. (5) as follow:
sys = [44.9 –6.55 log((hte)]

III. METHODLOGY

The optimization process was obtained by using least square Fig. 1. Optimization flow diagram
method. The theoretical path loss is to be compared with the
measured data in the field. As the suburban area is to be
optimized the BTS should be selected in the different Algorithm for Optimization
suburban areas of Haryana. The optimization process can be For the optimization into the Hata model, initial system
done with the help of data extracted from the various design parameter Esys and slope parameter sys are
measured log files recorded in the field with the TEMS Kit. considered [8].
The accuracy of the result will be based on as many data of In this paper, a new appropriate tool is proposed in

COMO209-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

optimizing to fit the measurement data with Hata model. The ~ n xi . yi xi . yi


condition of a best fit of the theoretical model curve with a b 2 2
; (9)
given set of experiment data would be met if the function of n xi ( xi )
sum of deviation squares is minimum :
Eq. (9) allows for calculation of parameter a and b of the
Hata model. The offset and slope parameters in the original
2
n Hata model can be calculated from Eq. (5):
P (a, b, c…) = yi ER xi , a, b, c,..... min ~
i 1
~ ~ ~ b
Eo a Esys ;
Where: 44.9 6.55. log hte
yi = measurement result at the distance xi;
ER (xi; a; b; c; ) = modeling result at the xi based on
optimization; V. CALCULATIONS
a, b, c = parameter of the model based on optimization;
n = Number of the experiment data set.
The log file exported contains information Time, MS, Frame
All differential of the P function should be equal to zeros: Number, Direction, Message Type, Event, EventInfo,
Latitude, Longitude, Cell Name, Cell Id, ARFCN BCCH, Rx
P P P Level (dBm). Data is collected with the help of software tool
0; 0; 0;.......... (6) that consists of test MS, Global Positioning System Receiver
a b c Set (GPS system) and a laptop equipped with TEMS
software for various measurements in the field i.e Lat/long,
Solution of Eq. (6) may simplify based on Parameters of the Rx power, Cell ID, ARFCN, various other events. The
P function are expressed simplified as: measured data is to be collected in the dedicated mode i.e
Active Call from MS. The log files are to be exported in MS
a = E0 + Esys b = sys Excel files with the help of TEMS Investigation 9.0 software
This would then mean that the expression of the Hata model tool [9]. The parameters used for various calculations as
in Eq. (5) transformed into: shown in TABLE II and the planning details of 2G BTS sites
ER = a + b. log R (7) selected the log files are to be processed. The measured data
Simplified logarithm base log R = x, the Eq. (7) becomes is to be collected in the dedicated mode i.e Active Call from
ER = a + b. x MS. The base station information is required for each base
ER is the path loss (dB). station, its location in longitude, latitude, antenna height,
transmit frequency, receive frequency, equivalent
Both factors a and b are constant for a given set of isotropically radiated power (EIRP), bearing and base
measurements. The solution of Eq. (6) may be expressed: station name, its power link budget for the calculation of
path loss[10].
n
ER
yi ER ( xi , a, b, c). yi a bxi .1 0 TABLE II
i 1 a PARAMETERS FOR CALCUATIONS
S no Parameters Values
n 1 Base Station Transmitter Power 43dBm
E
yi ER ( xi , a, b, c). R yi a bxi .xi 0 2 Mobile Transmitter Power 30 dBm
i 1 b 3 Base Station Antenna Height 27-58m
4 Mobile Antenna Height 1.5m
5 Transmitter Antenna Gain 17 dBi
By re-positioning of elements in above equations would
6 Threshold Level for Mobile 102dBm
provide the following expression: 7 Threshold Level for Base Station 110dBm
8 Frequency Band 900MHz
n.a b xi yi 9 Connector Loss 2dB
10 RF Cable Loss 1.5 dB
2
a xi b xi ( xi . yi ) (8) 11 Duplexer loss 1.5 dB

Mapinfo 9.0 tool is used for plotting of sites as well as Rx


By substituting the variable a and b into equation eq. (8), this
power level with the help of TEMS export [11]. MS Excel
would give the statistical estimates of parameter a and b:
for processing of log files. MATLAB is to be used for the
calculation and plotting of the various results.
~ xi2 . yi xi xi . yi
a 2
;
n. xi2 ( xi )

COMO209-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

The results from the different exported log files for each 2G
BTS site in processed in excel as discussed in previous
chapter. The MS Excel for each site is processed with
Matlab program as per eq. (5) for the calculation of values a
and b in eq. (9). The value for each site for a and b is
recorded in a TABLE III as shown in

TABLE III
VALUES OF A AND B
Site name Long Lat Value of a Value of b
KHERI JAT 76.7853 28.5971 127.44 15.7066
KABLANA 76.7474 28.6214 123.211 11.4782
BUPANIA 76.8248 28.6362 123.975 12.9398
DABHODA KHURD 76.8259 28.6628 124.572 7.5206
GUBANA MAJRA 76.8459 28.6033 123.227 8.2963
SAHU 75.8327 29.4936 133.073 12.1442
DABWALI-2 74.6984 29.9561 134.098 17.1094
DABWALI RD 75.024 29.5522 130.229 14.0702
DABWALI-3 74.7102 29.964 130.8 6.3378 Fig. 2. Sahuwala BTS Pathloss Plot
OTTU 74.8883 29.5008 126.345 7.1927

The Sawantkhera BTS plot is shown in the figure 3. The


The value of a and b is to be averaged and the final value is average relative error of path loss is 0.0282 and with original
calculated from the Table III equation of Empirical Hata Model the error is 0.1684.
On taking average the value are as below:-
a = 130.706 b = 9.827

The value of Eo and β is as below:-

(10)

V. COMPARISON OF RESULTS

The modified equation derived is to be validated on different


sites in the suburban area of Haryana and for each site the Fig. 3. Sawantkhera BTS Pathloss Plot
error is calculated to validate the results and to be compared The Ottu BTS plot is shown in the figure 4. The average
with the results of original Empirical Okumura Hata model. relative error of path loss is 0.0416 and with original
The results are verified on various sites in suburban area and equation of Empirical Hata Model the error is 0.0919.
the modified results are better than the results of original
Empirical Okumura Hata model.
The plots are made with the help of Matlab code in
the previous chapter to see the results graphically and two
curve are plotted one curve is for the modified Hata model
and other is according to original empirical Okumura Hata
model as shown in the following plots. The relative error is
also calculated for each site to show the comparison of
modified model with original Empirical Hata model.

The Sahuwala BTS plot is shown in the figure 2 the


average relative error of pathloss is 0.0506 and with original
equation of Empirical Okumura Hata Model the error is
0.1562.
Fig. 4. Ottu BTS Pathloss Plot

COMO209-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

standard deviation is 0.01222 for original empirical


The Dabwali Rd BTS plot is shown in the figure 5. The Okumura Hata Model as shown in table IV.
average relative error of path loss is 0.0162 and with original
equation of Okumura Hata Model the error is 0.1972. TABLE IV
STISTICAL ANALYSIS

RELATIVE ERROR
SNO NAME OF BTS Modified Hata Empirical Hata
Model Model
1 BUPANIA 0.0532 0.1045
2 DABWALI ROAD 0.0162 0.1972
3 GUBANA MAJRA 0.0648 0.0827
4 KABLANA 0.0586 0.0723
5 JANDWALA JATTAN 0.0356 0.1157
6 HABUANA 0.0506 0.1562
7 KALANWANLI 2 0.0053 0.1473
8 OTTU 0.0416 0.0919
9 SWANTKHERA 0.0282 0.1684
10 DOHBA KHURD 0.0507 0.1416
AVERAGE 0.04048 0.12778
VARIANCE 0.000033 0.000149
STANDARD DEVIATION 0.00574 0.01222

The actual path loss results are compare with the original
Empirical Hata Model as well as Modified Hata model with
new parameters value as Eq.(10). The results are compared
Fig. 5. Sawantkhera BTS Pathloss Plot as shown in the Table IV.

The Gubana Majra BTS plot is shown in figure 6. The


average relative error of path loss is 0.0827 and with original VI. CONCLUSION
equation of Empirical Okumura Hata Model the error is An effort to improve The Hata empirical model for sub
0.0648. urban area is done in this research work. The results obtained
from the modified model are better than the theoretical
empirical Okumura Hata model as shown in the comparison
of results. The calculation of constant initial system design
parameters with value of a and calculation of related to slope
of model curve βsys. The average relative error for sites
0.04048 and standard deviation is 0.00574 for Modified
Hata Model and the average relative error for sites 0.12778
and standard deviation is 0.01222 for the for original
empirical Okumura Hata Model.

REFERENCES
[1] Andrea Goldsmith “Wireless Communications”, Cambridge
University Press 2005,pp 1-2.
[2] Micheal, D. Y., "Foundation of Mobile Radio Engineering",
CRC Press Inc., 2000.
[3] M. Hata, “Empirical formula for propagation loss in land mobile
radio services,” IEEE Trans. Veh. Technol., vol. VT-29,, Aug.1980,
Fig. 6. Gubana Majra BTS Pathloss Plot pp. 317–325.
[4] John S. Seybold "Introduction to RF propagation", Willy
Puplisher,2005 pp 11-12.
[5] Micheal, D. Y., "Foundation of Mobile Radio Engineering", CRC
V. Statistical Analysis of Modified Model Press Inc., 2000.
[6] Jacques, L. and S. Michel, "Radio Wave Propagation Principles and
The modified model is verified for other sub urban sites Techniques", John Wiley & Sons Ltd., 2000.
as shown in above section. Statistical analysis such as [7] K.Ayyappan, P. Dananjayan, "Propagation Model For Highway In
Mobile Communication System", UBICC journal,2009.
relative error and standard deviation is calculated from [8] M. B. Roslee and K. F. Kwan, "Optimization of hata propagation
the measured log files both for Modified model as well prediction model in suburban area in malaysia," Progress In
as Original Empirical Okumura Hata Model .The Electromagnetics Research C, Vol. 13, 91-106, 2010.
average relative error for sites 0.04048 and standard [9] Ericsson Radio Systems AB, TEMSTM CellPlanner 3.4 User
Guide, 2001.
deviation is 0.00574 for the for Modified Hata Model [10] http://www.wifinerd.com/wifi-calculators.html.
and the average relative error for sites 0.12778 and
[11] http://reference.mapinfo.com/software/mapinfopro/english/9.5/ Map
Info Professional Supplement.pdf.

COMO209-5
1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Design of Stepped-Impedance Microstrip Line


Low Pass Filter for Wireless Communication
Navita Singh, Saurabh Dhiman, Prerna Jain, Shrestha, Tanmay Bhardwaj

Microstrip line is a good candidate for filter design due to its


Abstract— Microwave systems have an enormous impact on advantages of low cost, compact size, light weight, planar
modern society. Applications are diverse, from entertainment via structure and easy integration with other components on a
satellite television, to civil and military radar system. In single circuit board. Conventional filter structures like equal
particular, the recent trend of multi-frequency bands and multi- ripple and butterworth low pass filters are requirement of
function operations in wireless communication systems along with
special fabrication methods. Conventional low frequency
the explosion in wireless portable devices are imposing more
stringent requirements such as size reduction tunability or techniques for fabrication does not fit at these frequencies due
reconfigurability enhancement and multiband operations for to the very high losses associated. Although microstrip is not
microwave circuits. This paper describes about the design of the highest performance filter technology, still it is the
stepped-impedance microwave low pass filter by using Microstrip preferred choice in many thin-film on ceramic and printed
layout which works at 2.3 GHz for permittivity 4.4 value with a circuit board applications. RF pre-selector filters, image
substrate thickness 1.6 mm. The stepped-impedance low pass rejection filters, local oscillator (LO) filters and intermediate
filter have a pass band ripple 0.1 dB. The development of the frequency (IF) filters can all be realized in microstrip. The
Microstrip filters are simulated by using IE3D simulator recent advance of novel materials and fabrication technologies,
software.
including monolithic microwave integrated circuit (MMIC),
microelectromechanic system (MEMS), micromachining,
Index Terms— Low pass Filter, Dielectric Constant,
high-temperature Superconductor (HTS), and low-temperature
Microstrip filter, Millimeter wave filter. co fired ceramics (LTCC), has simulated the rapid
development of new microstrip and other filters.
In this paper, filter is optimized for high performance and an
I. INTRODUCTION efficient. Microstrip technology is used for simplicity and ease
of fabrication. The design and simulation are performed using
I n a microstrip filters that we are trying to achieve are to have
an exact center frequency, good bandwidth and low return
loss level. There are no active devices to add uncertainty to
3D full wave electromagnetic simulator IE3D. This filter is
widely used today in radar, satellite and terrestrial
the fabricated results. The filters are one of the primary and communication applications.
necessary components of a microwave system.
II. MICROSTRIP FILTER DESIGN
Manuscript received March 4,2011. This work was supported in part by
the Department of Electronics & Communication Engineering, Krishna
The design of low pass filter involves two main steps. The first
Institute of Engineering & Technology, Ghaziabad. one is to select an appropriate low pass prototype. The choice
Navita Singh is Sr. lecturer with Department of Electronics & of the type of response, including Pass band ripple and the
Communication, Krishna Institute of Engineering & Technology, Ghaziabad, number of reactive elements will depend on the required
INDIA. Phone: 9999227633; e-mail: singh.navita@ rediffmail.com.
Saurabh Dhiman is Student with Department of Electronics &
specifications. The element values of the low pass prototype
Communication, Krishna Institute of Engineering & Technology, Ghaziabad, filters, which are usually normalized to make a source
INDIA. Phone:9953480681;e-mail:dhiman.saurabh28@gmail.com. impedance go = 1 and a normalized frequency Ωc = 1.0, are
Prerna Jain is Student with Department of Electronics & Communication, then transformed to the L-C elements for the desired cutoff
Krishna Institute of Engineering & Technology, Ghaziabad, INDIA.
Phone:08860898015 ;e-mail: jainprerna20@gmail.com.
frequency and the desired source impedance, which is
Shrestha is Student with Department of Electronics & Communication, normally 50 ohms for microstrip filters. The next main step in
Krishna Institute of Engineering & Technology, Ghaziabad, INDIA. the design of microstrip low pass filters [3] is to find an
Phone:9811374345 ;e-mail: shresthachita89@yahoo.com. appropriate micro strip realization that approximates the
Tanmay Bhardwaj is Student with Department of Electronics &
lumped element filter. The element values for the low pass
Communication, Krishna Institute of Engineering & Technology, Ghaziabad,
INDIA. Phone: 9899249500 ; e-mail: tanmaybhardwaj2008@yahoo.com.

COMO210-1
2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

prototype with Chebyshev response at pass band ripple factor TABLE I


LAR = 0.1 dB, characteristic impedance source/load Zo = 50 DIMENSIONS FOR A STEPPED-IMPEDANCE LOW PASS FILTERS (FOR N=3)
ohms, are taken from normalized values gi i.e. g1, g2, g3, g4......,
gn. The filter is assumed to be fabricated on a substrate of S.No. Dimension Value
dielectric constant r and of thickness h mm. for Angular
1. Microstrip line width WC=7.027,WO=3.059,
(normalized) cutoff frequency Ωc, using the element
in mm WL= 1.707
transformation[1].
The filter design steps are as follows: 2. Characteristic impedance ZOC = 20,ZO = 50, ZOL = 60
in ohm
1.Determine the number of sections from the specification
characteristics for microstrip parameters.
3. Effective dielectric (εre)C = 3.5799, (εre)O = 3. 381,
Filter Specifications: constant (εre)L = 3.1858

Relative Dielectric Constant, єr = 4.4


Cut-off frequency, fc = 2.3 GHz
Height of substrate, h = 1.6 mm
The substrate used –
The loss tangent tanδ = 0.02
Zo= 50 Ω
Ωc = 1
Fig.1. Layout of a 3-pole, stepped- impedance Microstrip low
2. Determine the values of the prototype elements to realize pass filter on a substrate with εr= 4.4and h = 1.6 mm at 2.3
the specifications. Also we have taken the element value for GHz frequency.
low pass from table 3.2[1] for n=3.
Li = (Zo/go) (Ωc/2πfc) gi ......................... (1)
III.SIMULATION RESULTS
Ci = (go/Zo) (Ωc/2πfc) gi ...................... (2)
In order to verify the validity of the above expressions in
lL = λgl /2π Sin-1 (ωc Li / ZOL)…………… (3) millimeter wave regime, a simulation study was performed
lC = λgc /2π Sin-1 (ωc Ci Zoc).................... (4) using IE3D. To get the exact response for our purpose, an
optimization was performed using software. The design of the
3.To calculate the width of capacitor and inductor we use the filter is completed, the layout of the filter is given in figure 1
following formula with all the determined dimensions. Figure 2 shows the EM
W/h = 8 exp (A)/exp(2A)-2 …………… (5) simulated performance of the filter.

Where A= Zc / 60 {εr+1}^0.5+ εr+1/ εr-1{0.23+0.11/ εr}


................... (6)
Where Zc = η / 2 π √ εre [ln (8h/w+ 0.25 w/h)]
................... (7)
Where η = 120 π ohms is the wave impedance in free space.

4. The effective dielectric constant can be found by the


following formula

εre = (εr+1)/2+(εr-1)/2 [(1+12h/W)-0.5]...... (8)

5. Effective wavelength is also found as

λge=300/(6√εree) ................................. (9)


Fig.2. Full-wave EM simulated performance of the stepped-
impedance low pass filter for n = 3 at 2.3 GHz.

COMO210-2
3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Microwave Theory and Techniques,” IEEE Trans, Vol


49, No.3, March 2001.
[8] M. Makimoto and S.Yamoshita, “Microwave Resonators
IV. RESULTS & ANALYSIS and Filters, “IEEE Trans.Wireless communication Vol.2,
The Simulated filter as shown in Figure 1 and 2 shows the August 1986.
geometry & response of low pass filters for n=3.The graph is [9] H. Ozalki and J. Ishii, “Synthesis of transmission -line
plotted by taking gain (dB) on the Y-axis and frequency in networks and the Design of UHF filter,” IRE Trans. On
GHz on the X-axis. From the graph it is clear that the cut-off circuit theory, vol. CT-2, pp.325- 336; December 1955.
frequency is found to be 2.3 GHz for stepped-impedance low [10] Jen-Tsai Kuo,,“ Parallel Coupled Microstrip Filters With
pass filter. Hence the stepped-impedance low pass filter is Suppression of Harmonic Response” In IEEE, Wei-Hsiu
capable of passing the frequency less than 2.3 GHz & rejects Hsu, and Wei-Ting Huang Vol. 12, No. 10, Oct 2002
the frequency after 2.3GHz for the thickness of the substrate 383.
1.6mm and also have the return loss performance up to -38 dB. [11] Z.M. Hejazi and A. Omar, “Modeling and simulation of
novel ultra narrow band miniature microstrip filters for
mobile and wireless critical applications,” Microw.
V. CONCLUSION Opt. Technol. Lett., vol. 45, no. 1, pp. 35–39, 2005.
The filters are one of the primary and necessary components of [12] Ozaki, H.,and J.Ishii, “Synthesis of a Class of Stripline
a microwave system and they are the very essential part of the Filters,” IRE Trans., Vol. CT-5, 1958, pp. 104 – 109.
microwave system, not only in microwave are they very
important in communication field. Any communication system
cannot be design without filters. Low pass filter must be
included at the transmitting end and the receiving end of the
system to get desired spectrum. In conclusion, the authors
believe that the design can be archived compact filter design.
The measured characteristics of the filter agree with the
theoretical simulations. The conventional geometry of the
stepped-impedance low pass filter, shown in figure 1 and the
simulated response of the conventional geometry shown in
figure 2.The symmetrical approach tends to produce a more
compact filter with less coupling effect in its realization. Its
compact nature minimizes required space for realization and is
suitable for integration within Wireless system. The most
efficient way in order to obtain a filter with maximum size
reduction is by using the microstrip technique in which each
filter’s lumped component is realized as microstrip
transmission line.
ACKNOWLEDGMENT
The authors would like to thank authorities of Krishna
Institute of Engineering & Technology for all the support
provided.

VI. REFERENCES
[1] Jia-Shen G. Hong & M.J. Lancaster, “ Microstrip Filters
for RF/ Microwave Applications” John Wiley & Sons
Inc., 2001.
[2] D.M.Pozar, “Microwave Engineering, “John Wiley,2000.
[3] Jia-Sheng Hong, Lancaster M .J, “ Recent progress in
Planar microwave filters,” IEEE Trans. Antenna
Propagat, Vol. 2, pp. 1134 – 1137, August 1998.
[4] G. Mathaei, L.Young & E.M.T. Jones, “Microwave Filter
Impedance matching networks and coupling structures,”
Artech House, Norwood, MA, 1980.
[5] IEEE Trans.vol.CT-5, January 1964.
[6] IE3D Software Release – 8, Developed by M/S Zeland
Software Inc.
[7] Tae - Yeoul Yun and Kai Chang, “Transaction on

COMO210-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 1

End Coupled Band Pass Filter for Wireless


Communication
Avnish Kumar ,Manohar Kumar, Ashish Kumar Verma, Navita Singh

Phone: 9557593123; (e-mail: ashishvermadng@gmail.com).


Abstract—Filters are significant RF and Microwave components.
Lumped element filters are impractical for compact designs of
The frequency boundary between RF and microwaves is
wireless communications equipment, especially hand-held devices.
Distributed element filter design offers a much smaller area and somewhat arbitrary, depending on the particular technologies
profile. With the advent of advanced substrate materials offering developed for the exploitation of that specific frequency range.
high dielectric constants with low loss, the size reduction with Therefore, by extension, the RF/microwave applications can
preserved efficiency is greatly enhanced. be referred to as communications, and other that explore the
In this paper we discuss end coupled band pass filters at usage of frequency spectrums, some of these frequency
millimeter wave frequency for same permittivity condition. Both spectrums are further divided into many frequency bands[1].A
end coupled band pass filters are designed at 10.5 GHz and 6 microwave filter is a two-port network used to control the
GHz. The cost and the fabrication complexity are much less since frequency response at a certain point in a microwave system
it uses the thick-film process. The design and simulation are by providing transmission at frequencies within the pass band
performed using 3D full wave electromagnetic simulator IE3D .
of the filter and attenuation in the stop band of the filter.The
electromagnetic spectrum is limited and has to be shared for
Index Terms—Band pass filter, coupled lines, Micro strip filter,
Millimeter wave filters.
many RF/microwave applications; here filters play important
roles. The filters are used to separate or combine different
I.INTRODUCTION frequencies or to select or confine the RF/microwave signals
within assigned spectral limits [1]. Emerging applications such
as wireless communications continue to challenge
T HE term microwave may be used be describe
electromagnetic waves with frequencies ranging from
300MHz to 300GHz, which correspond to wavelengths (in
RF/microwave filters with ever more stringent requirements
i.e. higher performance, smaller size, lighter weight, and lower
cost. Depending on the requirements and specifications,
free space) from 1meter to 1mm. The EM waves with
RF/microwave filters may be designed as lumped element or
frequencies above 30GHz and up to 300GHz are also called
distributed element circuits; they may be realized in various
millimeter waves because their wavelengths are in the milli----
transmission line structures, such as waveguide, coaxial line,
meter range (1-10 mm). Above the millimeter wave spectrum
and microstrip lines. The recent advance of novel materials
is the infrared, which comprises electromagnetic waves with
and fabrication technologies, including monolithic microwave
wavelengths between 1 μm and 1 mm beyond the infrared
integrated circuit (MMIC), microelectromechanic system
spectrum is the visible optical spectrum, the ultraviolet
(MEMS), micromachining, high-temperature Superconductor
spectrum, and x-rays. Below the microwave frequency
(HTS), and low-temperature co fired ceramics (LTCC), has
spectrum is the radio frequency (RF) spectrum.
simulated the rapid development of new microstrip and other
filters. In the meantime, advances in computer-aided design
Manuscript received March, 01 2011. This work was supported in part by
(CAD) tools such as full-wave electromagnetic simulators
the Department of Electronics & Communication Engineering, Krishna have revolutionized the filter design.
Institute of Engineering & Technology, Ghaziabad.
Microwave filters specifically band pass filters have found
Navita Singh is Sr. lecturer with Department of Electronics & large number of application in varying fields such as satellite
Communication, Krishna Institute of Engineering & Technology, Ghaziabad, communication, GSM networks, Bluetooth, remote sensing,
INDIA. Phone: 9412582436; (e-mail: singh.navita@ rediffmail.com).
Avnish Kumar is student of Electronics & Communication, with Krishna
navigation etc. Due to their short wavelength, highly directive
Institute of Engineering & Technology, Ghaziabad, INDIA, and smaller antennas which are therefore more practical and
Phone: 9997866775; (e-mail: avi.k.prajapati@gmail.com). enable the use of multiple channels. Filters play important
Manohar Kumar is student of Electronics & Communication, with Krishna
roles in many RF/microwave applications. They are used to
Institute of Engineering & Technology, Ghaziabad, INDIA,
Phone: 9634477323; (e-mail: manoharkumarald@gmail.com). separate or combine different frequencies. The
Ashish Kr. Verma is student of Electronics & Communication, with Krishna electromagnetic spectrum is limited and has to be shared ,
Institute of Engineering & Technology, Ghaziabad, INDIA, filters are used to select or confine the RF/microwave signals
within assigned spectral limits..

COMO211-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 2

BJ,J+1/Y0 = (Jj,j+1/Y0 )/(1-( Jj,j+1/Y0)2) (4)

In this paper, filters are optimized for high performance and an Өj = ∏ -1/2[tan-1 (2 BJ,J+1/Y0 ) + tan-1 (2 BJ-1,J/Y0)] radians
efficient and cost effective fabrication methods is proposed. (5)
Microstrip technology is used for simplicity and ease of Where the BJ,J+1 and Өj are evaluated at fo. Note that the
fabrication. The design and simulation are performed using 3D second term on the right hand side of second equation
full wave electromagnetic simulator IE3D. This filter is widely indicates the absorption of the negative electrical length of the
used today in radar, satellite and terrestrial communication J-inverters associated with the jth half wavelength resonator.
applications.
As referring to the equivalent circuit of microstrip gap, the
coupling gaps sj,j+1 of the microstrip end- coupled resonator
filter can be determined as
II.MICROSTRIP FILTER DESIGN C g j,j+1 = BJ,J+1/ωo (6)
An nth order filter will use N resonant sections of transmission
line With N+ 1 capacitive gap between them. The Where ωo = 2∏ fo is the angular frequency at the midband.
discontinuities may be approximated as Series capacitors. The
resonators are approximately λ/2 long at the resonant The physical length of resonator are given by
frequency ω0.
lj = (λg0/2∏ ) Өj - ∆ lje1 - ∆ lje2 (7)

where ∆ lje1,e2 are the effective lengths of the shunt


capacitances on the both ends of the resonator j because the
shunt capacitances C p j,j+1 are associated with the series
capacitances C g j,j+1 as defined in the equivalent circuit of
microstrip gap, they are also determined once C g j,j+1 in
equation are solved for the required coupling gaps. The
FIGURE 1. General Configuration of end coupled microstrip effective lengths can then be found by [1]
band pass filter
∆ lje1 = (ωo C p j-1,j /Y0)(λg0/2∏ ) (8)

Where each open end microstrip resonator is approximately a ∆ lje2 = (ωo C p j,j+1 /Y0)(λg0/2∏ ) (9)
half guide wavelength long at the mid band frequency f 0 of
the band pass filter [1]. The coupling from one resonator to the III.SIMULATION RESULTS
other is through the gap between the two adjacent open ends,
and hence is capacitive. The gap can be represented by the In order to verify the validity of the above expressions in
inverters [1]. These J-inverters tends to reflect high impedance millimeter wave regime, a simulation study was performed
level to the end of each of half wave length resonator, and it using IE3D. To get the exact response for our purpose,an
can be shown that this cause the resonator to exhibit a shunt optimization was performed using software.The dimensions of
type resonance . Thus, the filter under consideration operates the filters are given in the table and the simulated filter
like the shunt resonator type of filter whose general design responses are depicted below.
equations are:

J01/Y0 = √(∏ FBW/2g0g1) (1) TABLE I

Jj,j+1/Y0 = (∏ FBW/2)1/√ (gjgj+1) j = 1 to n-1 (2) DIMENSIONS OF THE FILTERS FOR n=3 (in mm)

Jn,n+1/Y0 = √(∏ FBW/2gngn+1) (3) S.No. Type Physical Wid Gap


Length th
Where g1, g2, g3, g4......, gn .are the element of a ladder low pass 1. BPF at l1=l3=6.4274 W= s01=s34=0.3
protype with a normalized cutoff Ωc = 1.0, and FBW is the 10.5GHz l2=6.4794 3.05 s12=s23=0.84
frational bandwidth of bandpass filter. The Jj,j+1 are the
characteristic of J-inverters and Y0 is the characteristics
admittance of the microstrip line[3].
Assuming the capacitive gaps act as perfect, series-
capacitance discontinuities of susceptance Bj,j+1 as

COMO211-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 3

2. End Coupled l1=l3=12.56 W= s01=s34=0.03 the Butterworth band pass filter, shown in figure 2 & 4. The
Filter at l2=13.32 1.1 s12=s23=0.601 simulated response of the conventional geometry in figure 3 &
6GHz 5, the attenuation decreases at 10 GHz frequency shows in
figure. for the band edge frequency of 9.66 GHz and 11.34
GHz, we getting a sharp cutoff at 10.5 GHz & maximally flat
response without any ripples in the pass band with non
attenuated frequency in the desired band. Considerable
improvements in the frequency response can be seen.
V. CONCLUSION

Fig.2. Layout of end coupled BPF on a substrate with a The filters are the very essential part of the microwave system,
not only in microwave but they are also very important in
relative dielectric constant of 4.4 and a thickness of 1.6mm
communication field. Any communication system cannot be
designed without filters. The simulated end coupled band pass
filters achieved an insertion loss of less than 1.0dB and
10.5Ghz filters is designed on 1.6 mm thick substrate for εr =
4.4 with loss tangent 0.0024. And 6Ghz filters is designed on
1.27 mm thick substrate for εr = 4.4 with loss tangent 0.0024.
As frequency of operation increases return loss decreases.
Considerable improvements in the frequency response can be
seen.

ACKNOWLEDGEMENT
The authors would like to thank authorities of Krishna
Institute of Engineering & Technology for all the support
Fig.3.Full-wave EM simulated frequency response of the filter
provided.
for εr = 4.4 and h =1.6.
VI.REFERENCES
[1]. Jia-Shen G. Hong & M.J. Lancaster, “Microstrip Filters
for RF/ Microwave Applications” John Wiley &
SonsInc.,2001.
Fig.4. Layout of end coupled BPF on a substrate with a
relative dielectric constant of 4.4 and a thickness of 1.27mm [2]. D.M.Pozar, “Microwave Engineering,”John Wiley,2000.
[3]. Jia-Sheng Hong; Lancaster M.J, “Recent progress in
planar microwave filters,” IEEE Trans. Antennas Propagat.,
Vol. 2, pp. 1134 – 1137, August 1998.
[4].G. Mathaei, L.Young & E.M.T. Jones, “Microwave Filter
impedance matching networks and coupling structures,”
Artech House, Norwood, MA, 1980.
[5]. IEEE Trans.vol.CT-5, January 1964.
[6].IE3D Software Release – 8, Developed by M/S Zeland
Software Inc
.
[7].Tae-Yeoul Yun; and Kai Chang, “Transaction on
Microwave Theory and Techniques,” IEEE Trans, Vol 49,
Fig.5.Full-wave EM simulated frequency response of the No.3, March 2001.
filter for εr = 4.4 and h =1.27.
[8].M.Makimoto; and S.Yamoshita, “Microwave Resonators
IV. RESULTS & ANALYSIS and Filters, “IEEE Trans.Wireless communication Vol.2,
August 1986.
The conventional geometry of the chebyshev band pass filter,
shown in figure 1. the simulated response of the conventional [9].H.Ozalki and J.Ishii, “Synthesis of transmission -line
geometry in figure 2 & 4. The attenuation decreases at 10 GHz networks and the Design of UHF filters,” IRE Trans. On
frequency shows in figure 3 & 5 for the band edge frequency circuit theory, vol. CT-2, pp.325- 336; December 1955.
of 9.66 GHz and 11.34 GHz(at 6 Ghz the band edge frequency
of 5.85 GHz and 6.15 GHz). The conventional geometry of
COMO211-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 4

[10]. E.N. Torogow, Senior member, IEEE, and G. E Collins,


“Band-Stop Filters for High-Power Applications”, IEEE
Trans. Vol 40, pp 185-196; September 1965.

[11]. Jen-Tsai Kuo,,“ Parallel Coupled Microstrip Filters With


Suppression of Harmonic Response” In IEEE, Wei-Hsiu Hsu,
and Wei-Ting Huang Vol. 12, No. 10, Oct 2002 383

COMO211-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

SECURITY OF ELECTRONIC MONEY


(RFID CREDIT CARD)
Mr. Pankaj Singh Mr. Sanyam Agarwal Mr. Rohit Sharma
Asst. Professor Asst. Professor Lecturer
SRM University, Modinagar SBBGI Engg. College, Meerut DVSIET, Meerut

Abstract: Recently, payment card issuers in the credit card and the reader. These same
the United States have begun mass deployment features, however, are also the basis for our
concern about security and privacy
of radio-frequency enabled payment cards. We
vulnerabilities. Traditional credit cards require
examine a few examples of this new class of that an entity have visual access or direct
payment card and observe that while the card physical contact in order to obtain information
issuers have implemented some new security from the card such as the cardholder's name and
features, all of them admit practical attacks to a the credit card number. By contrast RFID credit
greater or lesser degree. This paper serves as the cards make these and other sensitive pieces of
data available using a small radio transponder
first of two installments describing the threats
that is energized and interrogated by a reader. In
and vulnerabilities of RFID-based credit cards the remainder of this technical report we will
as demonstrated by our laboratory experiments examine what data are conveyed, what kind of
and also proposed a model to make card more equipment is required to receive such a
secure. transmission, and whether such transmissions
can be initiated by adversarial (not authorized
Keywords: RFID, credit cards, contactless, by credit card companies) readers.
vulnerabilities, proposed model
2. Summary of Findings: We examined
1. About RFID Credit Cards: An increasing representative RFID enabled credit cards from
number of credit cards now contain a tiny the major payment associations. We engaged
wireless computer known as an RFID chip each of the cards in various transactions with
(Radio Frequency Identifier) or a contactless several different kinds of RFID readers,
smart card chip. There are reportedly over 20 including specialized point-of-sale equipment
million RFID credit cards already deployed in deployed by major retailers. We determined that
the U.S., and this number is increasing rapidly. cheap off-the-shelf hardware and software are
According to Visa ``This has been the fastest sufficient for an adversary with only modest
acceptance of new payment technology in the technical skills to obtain critically sensitive data
history of the industry'. RFID credit cards are from the RF interface of the cards. While it is
growing in popularity because they permit possible that some existing RFID credit cards
contactless payment transactions which are fast, have mechanisms for protection of sensitive
easy, can be more reliable than magstripe information, cards from most issuers reveal all
transactions, and require only physical of the following information totally unprotected
proximity (rather than physical contact) between by any cryptographic security mechanism:
COMO212-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

modified by readers at each transaction, and


1. Cardholder Name perform computation.
2. Complete credit card number Our security analysis considers a set of
3. Credit card expiration date adversaries of various capabilities and goals.
4. Credit card type RFID threat models have already been well
5. Information about software version and explored [6]. Our investigation focuses on
supported communications protocols informal scenarios specific to RFID credit cards.

Cards from one issuer revealed this same 3.1 Attacks and scenarios: The RFID
information with the exception that the credit security and privacy community defines several
card number used by the RF interface is a conventional adversaries by their methods and
different number from that encoded onto the goals. Our informal analysis considers four
magnetic stripe. Off-the-shelf hardware requires basic attacks to privacy and security.
reasonably close proximity (on the order of Clandestine bearer tracking violates a tag
10cm) in order to read these data from an RFID bearer’s privacy by exceeding expected
credit card. This is sufficient to read a credit interactions. Clandestine scanning allows an
card through clothing or a wallet, but the reader adversary to energize and read tags from either
must still be close to the targeted card. The close proximity or a distance. The replay and
maximum potential range available seems to be relay attacks allow a more powerful man-in-
hotly debated in press accounts of the situation. the-middle adversary to impersonate a card
Experiments conducted by Royal Dutch Shell of holder. Finally, a cross-contamination attack
Canada and reported in indicate a read range of allows an adversary to use information from RF
26 inches, while retailer 7-Eleven insists that the transmissions to attack non-RF media such as
range is only 2 inches. This longer range is magstripe. This study does consider more
supported by the academic literature: detailed advanced attacks such as side-channel analysis
instructions on how to build and operate such a and physical probing.
specialized reader are already available to the
public on the World Wide Web. Clandestine bearer tracking: In this scenario,
Additionally the range at which it is possible to a legitimate merchant exceeds the expected use
detect the presence of a tag or eavesdrop on a of their RFID credit card readers. For example,
transaction may be much longer than the range a merchant A may want to know whether a
required for an active skimming attac. This fact credit card C has been used with any other
is apparently behind the report from the vendor since the last transaction between A and
National Institute of Standards and Technology C. Avoine describes examples of how such
that the protocol used with these kinds of RFID attacks on the cardholder’s privacy could be
chips can be read from up to 30 feet away using implemented. Some of the cards we examined
specialized reading hardware. admit this sort of attack by means of a
transaction counter that could be co-opted.
3. Threat Model: RFID-enabled credit cards
include several noteworthy features that open Clandestine scanning: In this attack an
vulnerabilities not found on traditional unauthorized and potentially clandestine reader
magstripe cards. For instance, RFID cards can reads tags from either close proximity or from a
receive external power, communicate distance. One such scanning attack we call the
wirelessly, include persistent state that is “Johnny Carson” attack. In a famous series of
comedic skits, Johnny Carson’s character
COMO212-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Carnac the Magnificent used his mental powers


to read the contents of sealed envelopes. Since Replay and relay: In a replay attack, an
containers that are visually opaque are not adversary broadcasts an exact replay of the
necessarily RF-opaque, security measures transponder end of the radio signal recorded
sufficient for traditional credit cards such as from a past transaction between an RF device
“security envelopes” must be re-examined in the and a reader. Where mechanisms exist to foil
context of RFID-enabled cards. The Johnny simple replays (such as time stamps, one-time
Carson attack on RFID credit cards occurs when passwords, and challenge-response
an attacker uses access to the physical mail cryptography) a related but more sophisticated
stream to read RF data from credit cards in attack can frequently still succeed. This attack,
transit to their owners. This attack is particularly commonly known as the relay attack, uses a
powerful because the adversary gains accessory man in the middle adversary to relay an
knowledge such as cardholder address, and ephemeral connection from a legitimate reader
because physical access to postal mail is through one or more adversarial devices to a
commonly easy in many areas (such as areas legitimate tag which may be at a considerable
with side-of-the-road mailboxes). Many other distance. The distance at which the relay attack
scanning attack scenarios exist, such as the can succeed is limited only by the latency which
“bump-and-run” close range skimming of the will be tolerated by the attacked protocol.
contents of a victim’s wallet while standing in a However, many portions of ISO-14443-B are
crowded line, elevator, or subway. Long range extremely tolerant to latency.
skimming attacks are even more diverse, but the
absolute maximum read ranges for ISO 14443-B Cross contamination: The cross contamination
transponders have not yet been clearly attack occurs when private information such as
established. Even if the read ranges of RFID- cardholder name, number, and expiration date
enabled credit cards are short, their new uses learned by an adversary in an RF context are
and form factors will engender new then used by the adversary in a different
opportunities for attack. Cards that support context. For example, the adversary could use
sufficient read range may tempt consumers to this data to create a magstripe card, re-encode
hold their wallets up to readers, rather than to the stripe on an existing card, or use these data
remove their cards first. In this case, a corrupted in a card-not-present transaction such as a
reader for, say, physical access to a parking telephone or online mail-order purchase.
garage, could skim customers’ credit-card
information at the same time that they read the 4. Methodology and Experiments: Our
parking pass. Fob-type RFID credit cards are experiments used two different kinds of
now available for attachment to key rings, commercial readers that read RFID credit cards
exposing them to attack when consumers leave and produce serial output compatible with
their keys unattended. This behavior is seen standard charge-processing networks according
most often in valet-parking situations or in to ISO 7813. This is the standard that is used for
gymnasiums where it is common for users to the magstripe data on a standard credit card.
leave their keys together in an unsecured box by One of these readers was obtained used from a
the door. The fact that such cards may not bear company at which it is the standard POS (Point
embossed numbers can create a false sense of Of Sale) interface at hundreds or thousands of
security in addition to the fact that consumers locations nationwide. The other reader was
are skilled at protecting their wallets, but as we obtained new, and is also of a common type
have seen, often leave their keys exposed. used at POS locations. We examined
COMO212-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

representative samples of many different RFID of PICC transmissions revealed that all PICC
credit cards from each of the three largest communications also conform to the ISO-
payment associations in the U.S. Our 14443-B protocol. Our hardware and software
conclusions are based on observations of this are able to capture and demodulate any data
sample set of approximately 20 credit cards transmitted between RFID credit card readers
obtained by five separate researchers from and cards that are within a certain distance of
several different issuing banks and three major the eaves- dropping antenna. Since the focus of
payment associations. All of these cards were this work is not on extending read ranges, we
issued within the past year. Given the size and did not try to achieve great range. But we did
diversity of our sample set we believe that our experimentally demonstrate that eavesdropping
results reflect the current state of deployed with our setup is effective through materials
RFID credit cards, however we wish to such as cloth, lending credence to the threat of
emphasize that card issuers continue to clandestine eavesdropping, perhaps through
innovate, and as time goes by we hope and clothing. Examination of data obtained through
expect that cards will change and additional these means immediately demonstrated the
security features will be added. Therefore we do efficacy of the simple eavesdropping attack,
not claim that our findings are exhaustive, in since the full cardholder name and card
particular there may already exist cards that use expiration date were present in clear text in all
security mechanisms beyond any we have transactions. Other data such as credit card
observed. number are discussed in Section 5.

4.1 Eavesdropping experiments: Our 4.2 Skimming experiments: In our first


eavesdropping hardware consisted simply of a skimming experiment we took a commercial
tuned 13.56MHz antenna connected to an RFID credit card reader described above and
oscilloscope. Using this setup we obtained determined that when presented with an RFID
oscilloscope traces of complete transactions credit card it produced serial output in
between various RFID credit cards and our conformance with the ISO 7813 Track 1 and
various commercial readers. The serial output Track 2 format. Since this is the exact data that
obtained from the readers during these is normally transmitted by a POS terminal
transactions was saved for later correlation. The across a charge processing network,
raw oscilloscope trace was sufficient for
determination of carrier frequency and RFID
protocol due to the characteristic 10% amplitude
modulation associated with the ISO 14443-B
RFID protocol. Simple signal analysis software
based on information in section 3 of the ISO
14443-B specification was used to process
scope traces. This analysis revealed that all of
the data transmitted by the credit card reader
consisted of well-formed ISO 14443-B layer 3 Fig. 1. Our assembled PICCAL credit card
and layer 4 commands. Since this ISO protocol emulator
specifies that a cyclic redundancy check be We note that this extremely simple skimming
transmitted with each command, we were able attack is clearly sufficient for perpetration of
to confirm the accuracy (no garbled bits) of our certain kinds of financial fraud. In order to
analysis of each command. BPSK demodulation perform more flexible active attacks against
COMO212-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

RFID credit cards, we built a device capable of the size and shape of its namesake stick of
impersonating our commercial RFID credit card chewing gum, and incorporates an ARM
readers. We required only the ability to send PXA255 microprocessor . Four General Purpose
arbitrary bytes according to ISO 14443 layers 2 Input/Output pins (GPIOs) of the
and 3, and we discovered that the Texas microprocessor are used to control simple radio
Instruments s4100 Multi-Frequency RFID circuitry of our own design. The small size and
reader possessed all of the hardware capabilities low power requirements of this single board
that we required. This hardware together with computer contribute the feasibility of
applications of our own design allows us to clandestine use of a PICCAL. Our analog front
rapidly challenge cards at a rate far exceeding end is essentially a simple AM radio consisting
that observed on any commercial hardware. In of three integrated circuits, and a few capacitors
addition, this same hardware combined with and resistors. The integrated circuits are: a
custom amplifiers provides the basis for some comparator used to demodulate AM commands
noteworthy read range extension experiments. from the PCD, a counter/divider to divide the
Using libraries of our own design, we wrote a input carrier into subcarrier and baud rate
program which simply sends the exact bytes that clocks, and an XOR to allow the microprocessor
we captured from the commercial readers in our to accomplish phase shifting for the 14443-B
eavesdropping experiments. Eavesdropping on layers 2 and 3 specified binary phase shift
transactions between our credit card reader keying.
emulator and real RFID credit cards
demonstrated that all of the RFID credit cards
we tested responded to our emulator exactly as
they respond to a commercial RFID credit card
reader. This strongly suggests that cards operate
in a “promiscuous mode” interacting with any
reader, with no cryptographic or other secure
mechanisms in use to authenticate an authorized Table 1. A summary of the four types of cards
RFID reader to a credit card. and susceptibility to various attacks.

4.3 Replay experiments: An RFID credit card We programmed our PICCAL to expect the
belongs to a class of RFID devices known in the RFID credit card reader commands that we
ISO standard as a “proximity integrated circuit captured using our eavesdropping setup
card” (PICC). Since the primary difference described in Section 4.1, and to transmit replies
between our device and a traditional PICC is captured from real RFID credit cards during a
that ours uses actively powered logic circuitry skimming attack performed with the reader
as opposed to the passively powered (antenna emulator described in Section 4.2. The output
powered) RFID credit card, we have named our from our commercial RFID credit card readers
device a PICCAL (Proximity Integrated Circuit is identical in the case where the reader is
Card with Active Logic). Our PICCAL is a presented with a real versus PICCAL emulated
microprocessor controlled device capable of credit card. Since the data thus output is the
sending arbitrary bytes over the ISO 14443-B same data we would expect to be transmitted
transport layer (layer 2). For ease of prototyping over the charge processing network, we cannot
and flexibility of experimentation we chose the think of a scenario in which the charge
gumstix single board computer as the controller processing network could distinguish a real card
for our device. This computer is approximately from a PICCAL unless additional elements are
COMO212-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

present that we have not been able to observe in Card A protocol: When presented (RF
the laboratory. But as noted above, many pieces transaction) with any sample of a card from
of data go into an overall transaction approval issuer A, our reader outputs serial data identical
decision including sophisticated risk-based to the data contained on the magstripe of the
fraud detection mechanisms on the backend. For same credit card. This finding was confirmed by
this reason, a valuable future research direction comparison with output obtained with
would include field tests in which PICCAL presentation of the magstripe rather than RF.
initiated transactions are tested with complete When presented with the same card, the output
purchases from real merchants. is always the same: there is no evidence of a
counter, one-time-password, or any other
5 Analysis and Results: To protect the mechanism for prevention of replay attacks.
identity of our cards, we label the cards A, B Figure 2 shows a sample of this serial output,
and C based on semantic equivalence classes which includes all the usual components of an
determined by observing behavior between ISO 7813 magstripe. The first line represents
cards and readers. Table 1 summarizes the Track 1. The start sentinel B is followed by the
vulnerabilities of four classes of cards. primary account number. Following the field-
separator character, the cardholder name
5.1 RFID credit card protocols: In a appears, followed by another field-separator and
traditional card-present transaction, data is read an “additional data” field. This field includes
from the magnetic stripe of a credit card by a not only the card expiration date (in this case
POS terminal. The format of this data is 06/2009), but also a long string of digits. The
specified by ISO 7813. meaning of these additional digits is not clear,
In this section we shall explore some of the but since this field is static for card type A, it
RFID credit card protocols that are in current cannot be used to prevent a replay or cross-
deployment. We shall examine some of the contamination attack. The second line represents
conclusions that can be reached through standard Track 2 data, which is largely similar
examination of the ISO 7813 data output by the to the Track 1 data. Track 2 does not contain the
serial port of RFID credit card readers when cardholder name, and contains less room for
presented with different types of credit cards. proprietary information.
Where pertinent we shall consider in correlation
with this serial output the raw RF data from the {Bxxxxxx6531xxxxxx^DOE/JANE^09061010
same transactions as captured by our 00000000000000000000000000858000000}-T1
eavesdropping apparatus. In keeping with a {xxxxxx6531xxxxxx=09061010000085800000}
philosophy of ethical attacks research, we have -T2
redacted several pieces of information from the
following subsections in part due to a desire to Fig. 2. Serial output from a commercial reader
prevent criminal misuse of our findings. after an RF transaction with a card from issuer
Cardholder name and card number have been A
concealed. Additionally we have obscured the
number of digits in the card number in order to Card B protocol: When presented with cards
obscure which observations correlate with the from issuer B, our commercial readers output
products of specific payment associations and data similar to that of the card A experiments,
issuing banks. with a few important differences. In the sample
card B output shown in Figure 3 we note the
presence of a counter, determined to be such
COMO212-6
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

because of monotonic incrementation with that the counter value in Track 2 is followed by
successive transactions. Additionally we a 0 instead of a 1, perhaps an indication to the
observe three digits which change with each back end processing network of a different
transaction in no pattern that we have identified. algorithm.
Because of the relatively high entropy of these
three digits, we consider it likely that they are {Bxxxxxx2892xxxxxx^DOE/JANE
the output of some cryptographic algorithm 017^1001101010691958}-T1
which takes the transaction counter as an input. {xxxxxx2892xxxxxx=100110101069195801700
If this is the case, then the algorithm must also }-T2
take a card-specific value like a cryptographic
key as an input since we observe that different {Bxxxxxx2892xxxxxx^DOE/JANE
cards with the same counter value produce 018^1001101040146036}-T1
different codes. We speculate that these data {xxxxxx2892xxxxxx=100110104014603601800
may serve as a stand-in for the traditional CVC. }-T2
Fig. 4. Sample output from an issuer C card
{Bxxxxxx1079xxxxxx^DOE/JANE^09011011 differs from output of an issuer B. Transaction
00000000000100000000000}—T1 codes are shown in bold italic font, transaction
{xxxxxx1079xxxxxx=09011011000001600221} counter is shown underlined.
—T2 Proposed Model: - We have studied all three
{Bxxxxxx1079xxxxxx^DOE/JANE^09011011 protocols and we observe that card c protocol is
00000000000100000000000}—T1 the most secure compare to other. But in some
{xxxxxx1079xxxxxx=09011011000007400231} condition card c protocol will also be fail. What
-T2 are this condition and what improvement we
have to do in this card to make it more secure?
Fig. 3. Sample of reader serial output after RF Failure condition: Because here CODE is equal
transaction with a card from issuer B. In this to sum of key and counter value. That producing
sample we see a three digit code (shown in bold the same code for all cards at same counters
italic font), and a four digit counter (shown value.
underlined).
Example- If we have three cards and all cards
Card C protocol: Card C’s protocol differs have a same transaction and have a same
from Card B’s in a few crucial details: counter value than the entire three cards will
getting the same code value. This is also a
1. Its unique transaction codes are eight digits sophisticated problem
instead of three
2. its transaction counter, now located in the
Cardholder Name field, displays only three
Digits instead of four
3. Rather than sending the embossed card
number over the air, it uses a fixed pseudonym
Shown in Figure 4 are transactions 017 and 018
from an issuer C RFID credit card. These
transactions correspond to codes 10691958 and
40146036, seen both at the end of Track 1 and
in different order at the end of Track 2. Note
COMO212-7
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

(PREVIOUS MODEL) 9 Graphical Analysis :


In this model:

(CODE=KEY+COUNTER VALUE)

-----But in proposed model our code is the sum


of key and CVC value of card.

{Bxxxxxx2892xxxxxx^DOE/JANE
017^1001101010691958}—T1
{xxxxxx2892xxxxxx=10011010CODE0170}—
T2
10 Conclusion: Despite the millions of RFID-
CODE=KEY+X enabled payment cards already in circulation,
and the large investment required for their
X=CVC VALUE OF CARD + COUNTER manufacture, personalization, and distribution,
VALUE all the cards we examined are susceptible to
privacy invasion and relay attacks. Some cards
This proposed model provides the different may be skimmed once and replayed at will,
codes to all those cards, which operate at the while others pose a modest additional
same counter value. Because each cards have a synchronization burden to the attacker. After
reverse engineering the secret protocols between
RFID-enabled credit cards and readers, we were
able to build a device to mount several advanced
replay attacks in laboratory conditions. While
absolute security and privacy in a contactless-
card form factor is difficult to achieve, we hope
that next-generation RFID-enabled payment
systems will protect against the vulnerabilities
that our study identifies.

References
different CVC value.
(PROPOSED MODEL) 1. Adida, B., Bond, M., Clulow, J., Lin, A.,
Murdoch, S., Anderson, R., Rivest, R.: Phish
and chips: Traditional and new recipes for
8 Comparative Analysis :
attacking EMV. Technical report, University of
Cambridge Computer Laboratory (2006)
Factors Card A Card B Card C Proposed
Protocol Protocol Protocol Protocol http://www.cl.cam.ac.uk/˜mkb23/research/Phish
Security Less Moderate Moderate Most and-Chips.pdf.
Secure
Code Less Moderate Moderate Most
Reliability Reliable
COMO212-8
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

2. Anonymous: Chip and spin (2006)


http://www.chipandspin.co.uk/problems.html
Last Viewed October 11, 2006.
3. Associated Press: Wave the card for instant
credit (2003)Wired News
http://tinyurl.com/yc45ll Last Viewed October
16, 2006.
4. Averkamp, J.: ITS Michigan: Wireless
technology and telecommunications (2006)
http://www.itsmichigan.org/ppt/AM2005/Joe.pp
t Last Viewed October 11, 2006.
5. Avoine, G.: Privacy issues in RFID banknote
protection schemes. In: International
Conference on Smart Card Research and
Advanced Applications – CARDIS. (2004) 33–
48
6. Avoine, G.: Adversary model for radio
frequency identification. Technical Report
LASECREPORT- 2005-001, EPFL (2005)
7. Bono, S., Green, M., Stubblefield, A., Juels,
A., Rubin, A., Szydlo, M.: Security analysis of a
cryptographically-enabled RFID device. In:
14th USENIX Security Symposium. (2005)
8. Bray, H.: Credit cards with radio tags speed
purchases but track customers, too (2006)
Boston Globe, August 14, 2006
http://tinyurl.com/lmjt4.
9. CardTechnology: Paypass subway trial starts
in New York (2006) http://tinyurl.com/uya3k.
10. Carey, D.: NFC turns phone into a wallet.
EE Times (2006) http://tinyurl.com/yyxk28 Last
Viewed October 8, 2006.
11. DIFRWear: Faraday-Caged Apparel. (2006)
www.difrwear.com Last Viewed October 6,
2006.
12. Dougherty, G.: Real-time fraud detection
(2000) MIT Applied Security Reading Group
http://pdos.csail.mit.edu/asrg/02-28-2000.html
http://pdos.csail.mit.edu/asrg/02 28- 2000.doc.

COMO212-9
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

HIGH TEMPERATURE MEMS BASED TRANSMITTER


FOR WIRELESS SENSOR AND
COMMUNICATION NETWORK
Ruchi Gupta1 (Asstt. Professor. JPIET (Meerut))
Neha Mittal2 (Asstt. Professor. JPIET (Meerut))
(ruchi.siet@gmail.com)

ABSTRACT—The paper presents a thought telemetry applications. Typical temperature


experiment as to the feasibility of using large scale for these applications ranges from 200oC to
wireless sensor networks as a vehicle for high level
scientific investigation. A sensor payload is
600oC. Conventional microelectronics BJT
proposed, which includes means of seismic, and CMOS technologies suffer from severe
chemical, temperature and visual exploration. The performance degradation and failure due to
power and communications systems are also excessive leakage currents for temperatures
discussed, based on the needs of a mission profile above 150oC. Silicon on insulator (SOI) and
which provides no special ‘base station’ nodes on
the planet’s surface, requiring each sensor package
silicon carbide (SIC) device technologies are
to be capable of information extraction, in-network promising for increased operating
collaboration and communication with an orbiting temperatures of 250oC and 600oC
satellite. And different sensor technologies are also respectively. It is highly desirable to develop
discussed. A high-temperature, low-power silicon- a stand-alone high temperature sensing and
tunnel-diode-based oscillator transmitter with an
on-board optical power converter is proposed for
data telemetry system which, therefore, can
harsh environment MEMS sensing and wireless be powered by an on-board energy supply,
data transmission applications. The prototype thus eliminating the need for feed-through
sensing and transmitting module employs MEMS wires. A number of wireless sensing and
silicon capacitive pressure sensor performing communication architectures have been
pressure to frequency conversion and a coil loop
serving as the inductor of the LC tank resonator
developed for room-temperature
and also as a transmitting antenna. A GaAs applications such as biomedical implants. In
photodiode converts an incoming laser beam to these applications, MEMS sensors such as
electrical energy to power the prototype. The system pressure sensors, strain gauge transducers,
achieves a telemetry performance up to 250 oC over etc. are interfaced with active electronics
a distance of 1.5 meters with a transmitter power
consumption of 60 μW.
that convert the sensing information to
frequency or to a voltage which is further
Keywords:- Distributed computation, information digitized for wireless transmission. Active
extraction, intelligent sensing, MEMS sensors, RF transmitters can achieve telemetry
wireless sensor networks. distances adequate for high temperature
applications, but consume significant power
I. INTRODUCTION
dissipation compared to the overall system
The research agenda in Wireless Intelligent
power dissipation, a critical bottleneck for
Sensor Networks (WSN) has often been
high temperature operations where power
promoted in the specialist literature through
source is highly limited. In this paper, we
scenarios and case studies. High
present a stand-alone low-power wireless
temperature, low-power wireless sensor
sensor communication module with an on-
communication network with on-board
board optical-based power generator,
power supply is critical for industrial,
automotive, and aerospace sensing and data
COMO213-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

achieving a telemetry distance of 1.5 meters transmission laser to vaporize the sample.
under operating temperatures up to 250oC. The 7mW of power available should be
sufficient.
II. SENSOR TECHNOLOGY
The initial design decisions of sensor E. Magnetometer
technology to use a single type of node, it is Magnetometers typically require a
required that every node carry a complete magnetically active element which moves
sensor package. For a probe with the according to the prevalent magnetic field,
envisaged dimensions, the overall sensor the movement being sensed using any of the
package will need to be designed in an established MEMS pick-off techniques. The
integrated way, allowing for reuse of as magnetically active element can be provided
many subsystems as possible. As each using either a permanent magnet using
sensor type is discussed below: electromagnetism, which consumes current.

A. Acceleration sensor: F. Thermal sensing


Accelerometers are an important part of the Temperature sensors can use thermocouples
instrument package on the Daisy, reflecting or semiconductor junctions, the latter having
their ubiquity in the overall field of sensing. the advantage of being readily available as
High performance capacitive pick-off components within standard semiconductor
accelerometers can be integrated „side-by- technologies. Thermal sensing has also been
side‟ with adequate ancillary electronics used for wind speed and direction sensing.
using a CMOS process.
G. Image sensor
B. Atmospheric sensor This subsystem is straight forward, being a
The chemical sensing technology for silicon CCD or CMOS image sensor, as
atmosphere and soil composition detection used in modern digital cameras. The
require a further design choice. Electronic resources that need to be integrated are an
„noses‟ are an established MEMS estimated 500 pixel array for the pick-off
technology, but generally need to be tailored and image sensors for imaging the
for the detection of a specific chemical or surroundings. This requires an 8500 pixel
class of chemicals. One type of general array, on a 5mm square chip, easily within
purpose sensor is a gas chromatograph. current technological capabilities.

C. Pressure sensing III. HIGH TEMPERATUR SENSING


Pressure sensors have been one of the AND TELEMETRYSYSTEM
earliest MEMS applications, and well
established designs are available using either Fig1 presents the high temperature prototype
capacitive or piezo-electronic pick off architecture. The system consists of a
techniques silicon-tunnel-diode based LC-tuned
oscillator transmitter employing a MEMS
D. Soil chemical sensor capacitive pressure sensor with an on-board
The difficulty in chemical sensing soil in an loop inductor also functioning as a
environment without water is conveying the transmission antenna, and a GaAs
constituent chemicals to the analyzer. A photodiode which converts an incoming
simple solution would be to use the laser to a DC power at high temperatures.
COMO213-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

The negative resistance characteristic eliminating any feed-through wire. The


exhibited by the diode under a proper bias optical powering method is capable of
condition can compensate the tank loss, thus achieving a much larger coupling distance
developing an oscillation. The DC bias than conventional RF to DC power
voltage typically required to properly bias conversion schemes. Fig2 shows the I-V
the device ranges from about 100 mV to 200 characteristics measured at various
mV. This low DC bias voltage can be temperatures for a silicon tunnel diode, used
readily obtained from a GaAs photodiode. for the prototype implementation.

Fig2. Tunnel Diode I-V Characteristics


Fig1. Wireless Transmitter Architecture
The tunnel diode exhibits negative
The low DC bias voltage also significantly
resistance characteristics up to 250oC.
minimizes the power dissipation, which is a
Voltage and current bias levels of approx.
key advantage over other conventional
120 mV and 500 μA correspond to a power
electronic oscillator implementations
consumption of 60 μW.
requiring a supply voltage of a few volts.
The simplicity of the tunnel diode structure
results in a reduced leakage current
compared to conventional electronic active
devices at elevated temperatures, thus
enabling a reliable system operation at high
temperatures. The oscillator output
frequency is determined by the LC tank
resonance. The MEMS capacitive pressure
sensor converts the environment pressure
information to a capacitance change Fig3. Photodiode I-V Characteristics
resulting in the oscillator output frequency
variation. This pressure to frequency Fig4 shows the I-V characteristics of a 3 mm
modulation scheme is attractive for x 4 mm GaAs photodiode measured over a
achieving a reliable data transmission temperature range from 25oC to 290oC with
compared to other amplitude modulation an 8 mW laser beam illuminating the
techniques. The GaAs photodiode converts surface. It also shows a typical device
the power of an incoming laser beam into a characteristic response between the
DC power supply to power the system, thus capacitance value and applied pressure,
COMO213-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

exhibiting a linear characteristic beyond the


touch point pressure.

Fig4. MEMS Pressure Sensor Characteristic Fig6. Prototype Board Photo


Fig5 shows a photo of a fabricated pressure
sensor. The device exhibits a touch point IV. EXPERIMENT RESULTS
pressure of 10 psi and capacitance values The sensor telemetry system is positioned
ranging from 15 pF at 2 psi to 25 pF at 32 inside a pressure testing chamber with
psi (absolute pressures). The device has an temperature elevated and controlled through
estimated series resistance of 25 Ω, which resistive heating tape. A spectrum analyzer
limits the oscillator operating frequency. is used as an external receiver with a tuned
receiving loop antenna connected to the
input port through a buffer. The oscillator
operates around 23 MHz under 1 atm at 250
o
C and can be varied over 1.5 MHz through
pressure increase from 2 psi to 32 psi
limited by the tunnel diode parasitic
capacitance, as shown in Fig 7.

Fig5. MEMS Pressure Sensor Photo

A 23 MHz oscillation frequency is chosen to


ensure the LC tank resistance can be
compensated by the tunnel diode over the
temperature range. A 5-turn, 1 μH spiral
inductor with a peripheral dimension of 3.5 Fig7. Oscillator Frequency vs. Pressure
cm x 3.5 cm is employed in the prototype
system to achieve the desired frequency. The oscillator exhibits an output frequency
Low loss capacitive sensors and spiral shift of approximately 500 kHz over the
inductors are also critical for minimizing temperature range due to components
bias current required for tunnel diodes, temperature dependent characteristics
crucial for low power applications. Fig7 variation and tunnel diode bias point shift.
shows a photo of the prototype wireless Fig8 presents the received power versus
MEMS sensing and data telemetry system. telemetry distance under 1 atm measured at
25oC,200oC,&250oC,respectively, indicating
COMO213-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

that the spectrum analyzer can receive an power high-temperature MEMS sensing and
incoming signal with an SNR of at least 10 data telemetry applications. The prototype
dB over telemetry distances of 1.5 m. Fig9 wireless sensing and communication module
shows the corresponding received power achieves high-temperature operations up to
spectrum at 1.5-meter telemetry distance 250oC over a telemetry distance of 1.5
from the prototype oscillator operating at meters with a transmitter power
250oC. An extended communication range is consumption of 60 μW. Together with
expected through using a more sensitive MEMS actuation technologies, the sensing
receiver. technologies allow for new adaptive
A high-temperature frequency variation over systems, providing more efficient
time has been observed in the current aerodynamics and hydrodynamics. MEMS
prototype. The prototype exhibits an initial sensors have already proven to be a potent
frequency decline of approximately 150 kHz technology in terms of size and cost
over 30 minutes, then a random frequency reduction of everyday sensors. When
variation of 20 kHz, thus limiting the system integrated with processing capability they
resolution. can handle a range of further applications, in
particular being more readily deployed and
adapted to a specific application problem.

REFERENCES

[1] A.J.Auberton-Herve, “SOI: Materials to


Systems,” Int. Electron Devices Meeting, 1996,
pp.3-10.

[2] D. M. Brown, et al., “High temperature silicon


carbide planar IC technology and first monolithic
SiC operation amplifier IC,” in Trans. 2nd Int.
Fig8. Received Power vs. Distance High-Temp. Elec. Conf., 1994, pp. XI-17 to XI-22.

[3] M. Suster, et al., “Micro-Power Wireless


Transmitter for High-Temperature MEMS Sensing
and Communication Applications,” The 15th IEEE
Int.l Conference on MEMS, pp. 641-644, January,
2002.

[4] S. Chatzandroulis, et.al., “A miniature Pressure


System with a Capacitive Sensor and a Passive
Telemetry Link for Use in Implantable
Applications,” IEEE J. MEMS, V. 9, No. 1, pp. 18-
23, Mar., 2000.
Fig9. Received Power Spectrum [5] K. Stangel, et. al, “A Programmable
Intraocular CMOS Pressure Sensor System
V. CONCLUSION Implant,” IEEE Journal of Solid-State Circuits,
Silicon-tunnel-diode-based-oscillator Vol. 36, No. 7, pp. 1094-1100, July, 2001.
transmitter with an on-board optical power
converter is attractive for stand alone, low
COMO213-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

[6] M. A. Fonseca, et. al., “Wireless


Micromachined Ceramic Pressure Sensor for
High-Temperature Applications,” IEEE Journal of
Micromechanical Systems, Vol. 11, No. 4, August
2002.

[7] W. H. Ko and Q. Wang, “Touch Mode


Capacitive Pressure Sensors,” Sensors and
Actuators 75 (1999), pp. 242-251.

[8] K. S. J. Pister, J. M. Kahn and B. E. Boser,


"Smart Dust: Wireless Networks of Millimeter-
Scale Sensor Nodes", Highlight Article in
Electronics Research Laboratory Research
Summary (1999).

[9] E. Gaura, Smart, Intelligent and Cogent MEMS


based sensors, in E. Gaura, R. Newman, Smart
MEMS and Sensor Systems, Imperial College
Press, 2006.

COMO213-6
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

An Overview on Load Balancing Routing


Protocols for Mobile Ad hoc Networks
First Author: Bhawana Bhardwaj, Second Author: Sanjay K. Dhurandher

Abstract- Mobile ad hoc networks (MANETs) are There are various ad hoc routing protocols which
collections of mobile nodes that can dynamically form use route hop count as the routing metric. In
temporary networks on the fly without the need for shortest path routing, nodes on shortest path get
pre-existing network infrastructure or centralized more heavily loaded than others because they are
administration. Due to unorganized connectivity and chosen frequently as routing path. Having a
dynamic topology, routing in MANET becomes a heavy load, constraints like lower capacity of
challenging task. Moreover, constraints like lower
capacity of wireless links, error-prone wireless
wireless links, error-prone wireless channels,
channels, limited battery capacity of each mobile node limited battery capacity of each mobile node etc.,
etc., degrade the performance of MANETs routing degrade the performance of MANETs routing
protocols. Heavily-loaded nodes may cause congestion protocols. Heavily-loaded nodes may cause
and large delays or even deplete their energy quickly. congestion and large delays or even deplete their
In this article, we discuss the various load metrics and energy quickly. Therefore, routing protocols that
summarizes the principles behind several existing load can evenly distribute the traffic among mobile
balanced routing protocols. nodes and hence can improve the performance of
MANETs are needed.
I. INTRODUCTION This article is organized as follows. The
A mobile ad hoc network (MANET) is a kind of next section categorizes various types of load
wireless ad hoc network, and is a self- metrics and load balanced ad hoc routing
configuring network of mobile routers (and protocols. Afterthat, we will compare various
associated hosts) connected by wireless links – load metrics. We then discuss load balancing
the union of which form an arbitrary topology. techniques used by existing load balanced
The routers are free to move randomly and routing protocols. This is followed by a
organize themselves arbitrarily; thus, the comparison of these various protocols in the
network's wireless topology may change rapidly following section. The final section concludes
and unpredictably. They have features of fast the article.
deployment and able to communicate without
link breakage while on the move. They can II. CATEGORIZATION
easily communicate anywhere at any time. Over Over the years, various load balanced ad hoc
the years, numerous routing protocols have been routing protocols have been proposed. Most of
developed for ad hoc mobile networks. Routing the approaches are on-demand-based protocols;
protocols in MANETs are classified into three that is, they follow the load balancing strategies
categories: table driven proactive, on-demand with route discovery [2]. A route with the least
reactive source initiated and hybrid routing [3]. load among multiple possible routes from source
Table Driven Proactive routing protocols to destination is generally chosen. As shown in
maintain consistent, up-to-date routing Fig. 1, these routing protocols can generally be
information in each node by propagating route categorized into three types (based on their load
updates throughout the whole network. balancing techniques):
Although a route to every other node is always 1 Delay-based: Where load balancing is
available, such protocols incur signaling traffic achieved by attempting to avoid nodes
and power consumption overhead. On the other with high link delay. Examples of delay
hand, on-demand reactive routing protocols do based load balanced routing protocols is
not maintain routing information at every node. Load-Aware on-Demand Routing
They create routes only when desired by the (LAOR) [6].
source. It has been shown in [4] and stated in [5 2 Traffic-based: Where load balancing is
that reactive routing protocols can perform better achieved by evenly distributing traffic
than proactive protocols in MANETs. load among network nodes. An example
protocol using this approach are
Associativity Based Routing (ABR) [7],

COMO214-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Load Balanced Ad Hoc Routing As shown in Fig. 2, different load balanced ad


(LBAR) [8], and Traffic-Size Aware hoc routing protocols use different load metrics:
(TSA) scheme [4]. • Active path: It refers to the number of active
3 Hybrid-based: Where load balancing is routing paths supported by a node. Generally, the
achieved by combining the features of higher the number of active routing paths, the
traffic- and delay-based techniques. busier the node since it is responsible for
Examples are Content Sensitive Load forwarding data packets from an upstream node
Aware Routing (CSLAR)and Zone to a downstream node.
Routing Protocol (ZRP) [1]. • Traffic size: This refers to the traffic load
present at a node and its associated neighbors
This is the classification of protocols based on (measured in bytes).
their load balancing techniques, we also have to • Packets in interface queue: This refers to the
consider the load metrics used by all these total number of packets buffered at both the
protocols. incoming and outgoing wireless interfaces.
• Channel access probability: This refers to the
The term load metric basically reflects how busy likelihood of successful access to the wireless
a node is engaged in receiving and forwarding media. It is also related to the degree of channel
packets over the wireless media. It also refers to contention with neighboring nodes.
processing, memory, bandwidth, and power load • Node delay: This refers to the delays incurred
on the node. for packet queuing, processing, and successful
transmission.

III. LOAD BALANCE BASED ADHOC


ROUTING PROTOCOLS

In this section we discuss load balanced based ad


hoc routing protocols. Specifically, we focus
how these load balancing routing protocols help
to balances the heavily loaded nodes in the
network. As shown in Fig. 1, most of these
protocols are traffic-based (ABR, LBAR, TSA),
while LAOR is delay-based. CSLAR and LARA
are considered hybrid-based, exhibiting features
of both traffic- and delay-based protocols.

A. DELAY BASED PROTOCOLS


LAOR is an extended form of ad hoc on-demand
distance vector (AODV) routing. It has two
phases: route discovery and route maintenance.
In LAOR, load balancing is achieved by
minimizing the estimated total route delay and
route hop count. A node initiates route discovery
by sending a route request (RREQ) when it does
not have a valid route to the destination.
Intermediate nodes that receive the RREQ
message update the total delay to RREQ and the
routing table. The destination node then sends a
reply message (RREP) when it receives the first
RREQ. If duplicate RREQs received at the
destination node have smaller total delay and hop
count than earlier ones, it sends a RREP message
to the source node to change the chosen route
immediately. When the source node receives the
RREP, it initiates data packet transmission. This

COMO214-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

approach reduces delay due to the efforts made upstream node propagates an error message to
in selecting the best route. the destination. The destination node then selects
LAOR implements congestion monitoring an alternative least loaded route stored in its
during the route discovery process. A node is cache to send data. Using this technique, traffic
considered congested if the total delay of a node over the network tends to be distributed in the
is greater than the upstream node or the queue long term.
length is more than 80 percent of its buffer size.
When a node becomes congested, it discards the B. HYBRID BASED PROTOCOLS
RREQ message. This approach reduces the CSLAR is an extension of Dynamic Source
routing overhead and distributes the load evenly Routing (DSR), which also uses route discovery
in the network. and route maintenance.
CSLAR utilizes the contention information
B. TRAFFIC BASED PROTOCOLS obtained from the 802.11 distributed
ABR uses the property of “associativity” to coordination function (DCF) to take into account
decide on which route to choose. In this channel contention from neighboring nodes.
algorithm, routes are discovered by broadcasting Load balancing in CSLAR is also performed
a broadcast query request packet; with the during route discovery. When a node has packets
assistance of these packets, the destination to send, a route request packet is flooded
becomes aware of all possible routes between throughout the network. Route request is also
itself and the source. Based on these available forwarded by intermediate nodes after they have
routes, a path is selected using the associativity appended their load values to the request
property of these routes. message. However, intermediate nodes are not
The ABR algorithm maintains a “degree of allowed to send route replies back to the source
associativity” by using a mechanism called even if they have routes to the destination in their
associativity ticks. According to this, each node route caches. The destination node then decides
in the network maintains a tick value for each of to reply to route requests based on the
its neighbors. Every periodic link layer HELLO comprehensive route load value. The destination
message increases the tick value by one each node replies to the first request or to the request
time it is received from a neighbor. Once the tick that has a smaller route load than previous
value reaches a specified threshold value, it requests. Once the source node receives the
means that the route is stable. If the neighbor destination node’s reply, it then utilizes the
goes out of the range, then the tick value is reset selected route to transmit data. If one or more
to zero. Hence a tick level above the threshold links in the selected route is broken, the source
value is an indicator of a rather stable association node is notified by a route error packet, resulting
between these two nodes. Once a destination has in the source updating its route cache and
received the broadcast query packets, it has to reinitiating a new route discovery to discover a
decide which path to select by checking the tick- new least loaded route.
associativity of the nodes. The route with the ZRP is a protocol used under hybrid category for
highest degree of associativity is selected since it ad hoc mobile routing protocols. It incorporates
is considered the most stable of the available the merits of on-demand and proactive routing
routes. protocols. ZRP is similar to a cluster with the
LBAR’s load metric is similar to ABR, which is exception that every node acts as a cluster head
based on active path activity. LBAR has two and a member of other clusters. The routing zone
phases: route discovery and route maintenance. comprises a few mobile ad hoc nodes within one,
The route discovery process is initiated two or more hops away where the central node is
whenever a source node needs to communicate formed. Since ZRP uses both reactive and
with another node for which it does not have a proactive schemes, it exhibits better
route. It broadcasts the setup message to its performance. However, since hierarchical
neighbors. A node receives a setup message then routing is used, the path to a destination may be
forwards it after updating the nodal activity and suboptimal. Since each node has higher level
traffic interference information. Such topological information, memory requirement is
information is then used to calculate the route greater.
load [2]. The destination node then selects a LARA is another hybrid load balanced routing
route with the least load and sends an ACK protocol. LARA requires each node to maintain a
message back to the source node. During route record of the latest traffic queue estimation of its
maintenance, when a route is broken, the neighbors. The traffic queue is defined as the

COMO214-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

average value of the interface queue length receives the first route request. Comparing route
measured over a period of time. Traffic density, discovery delay, on-demand load balanced ad
on the other hand, refers to the sum of traffic hoc routing protocols will incur higher delay
queues at a node plus the traffic queues of all the since nodes need additional waiting time to
node’s neighbors.. LARA selects the best route discover possible routes to destinations.
based on checking the traffic type (i.e., TCP or To find the least loaded path among multiple
non-TCP traffic). For TCP traffic, route selection possible routes, ABR, LBAR, and LARA
is based first on route hop count followed by protocols allow the destination node to wait for
traffic cost. For non-TCP traffic, the route with some time (Δt) before replying with the best
the minimum traffic cost is selected. route to the source node. Meanwhile, TSA
allows the source node to wait for a time interval
III. COMPARISONS OF LOAD BALANCED ( Δt) to select the best route to the destination
AD HOC ROUTING PROTOCOLS node. Therefore, the route discovery delay is: 2T
There is a comparison of several load balanced + Δt, where T is delay incurred for the
routing protocols. The key differences among the destination node to receive the first route request
routing protocols lie in the method of load message. LAOR and CSLAR protocols,
estimation and the decision on route selection. however, incur a shorter delay since the
destination node will reply to the first route
A. LEAST LOADED ROUTE DECISION request received (Table 1), so the delay incurred
Protocols such as ABR, LBAR, and LARA use is 2T.
destination-based decision load balancing. Once
the destination node receives a route request, it D. ROUTING OVERHEAD
waits for some time to select the route with the LBAR, LARA, and TSA use control messages to
least loaded path. When the source node receives exchange load information among neighbors,
the reply message, it proceeds to send data. TSA, which is then used to calculate the load metric.
however, uses source-based decision load On the contrary, LAOR and ABR allow nodes to
balancing. In TSA multiple reply messages are compute load information (by counting the
sent from destination node to source node. After number of active paths) without incurring
waiting for a predefined interval, the source node additional overhead.
selects the least loaded path.
E. COMMUNICATION COMPLEXITY
B. ROUTE REQUEST FLOODING METHOD Communication complexity refers to the number
Most of the load balanced routing protocols are of messages needed to complete a protocol
on-demand-based protocols in which a source operation. During the initiation phase, ABR,
node discovers routes by first flooding a route LBAR, and LARA require O(N + y), where N is
request message. In the duplicate route request at the number of nodes in the network and y is the
destination node (DQD) approach, the total number of nodes forming the directed path
destination node is allowed to receive duplicate the REPLY packet transits. In TSA and CSLAR,
route requests. The destination node then decides to obtain a route from source to destination, the
to reply to all/ some/one route request. Currently, destination node must reply to all route requests.
only the LAOR protocol uses this approach for The communication complexity of TSA and
route discovery. Meanwhile, protocols such as CSLAR is similar: O(2N). The complexity of
CSLAR, TSA, and LAOR use the duplicate LAOR is O(N2) due to the allowance eof
route reply at source node (DPS) approach, intermediate nodes to receive duplicate route
where the source node is allowed to receive request messages.
duplicate route reply messages from the When mobility causes route failures (i.e., post-
destination node. failure), the communication complexities of
ABR and LBAR are the same, O(x + y), where x
C. ROUTE DISCOVERY DELAY is number of nodes affected by a topological
The delay incurred while waiting for multiple change. Meanwhile, the communication
route request or reply messages is an important complexities of LARA, CSLAR, TSA, and
factor to be considered in the design of ad hoc LOAR are O(N + y), O(2N), O(2N), and O(N2),
routing protocols. To avoid the issue of large or respectively, since these routing protocols do not
least waiting time, both CSLAR and LAOR employ localized route discovery. When
protocols allow the destination node to send a mobility causes route failures (i.e., post
reply whenever it failure), the time complexity of ABR and

COMO214-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

LBAR is O(l + z), where l is the diameter of network, and z is the diameter of the directed
the affected network segment. Since these path the REPLY packet transits. However, for
routing protocols use localized route CSLAR and LAOR, the complexity is O(2d)
discovery, time complexity therefore
IV. CONCLUSION
depends on the diameter of the affected In this paper we discuss the various types of
network segment. Meanwhile, CSLAR, load metrics and the principles behind some
TSA, LARA, and LAOR have the same time existing load balanced ad hoc routing protocols:
complexity as in route initiation since they ABR, LBAR, LARA, CSLAR, TSA, and LAOR.
reinitiate a new route discovery when route While offering better representation of actual
failures occur. Thus, the time complexities load, LARA and CSLAR incur higher
of CSLAR, TSA, LARA, and LAOR are complexity in capturing load information.
O(2d), O(d + z), O(d + z), and O(2d), Comparing the operations of routing protocols,
respectively. only LBAR and ABR perform load balancing
during route maintenance. Other protocols
F. TIME COMPLEXITY balance load only during route discovery.
Time complexity refers to the number of steps
needed to perform a protocol operation. During
route initiation, ABR, LBAR, TSA, and LARA
incur O(d + z), where d is the diameter of the

[3] E. M. Royer and C.-K. Toh, “A Review of


REFERENCES Current Routing Protocols for Ad-Hoc Mobile
[1] Shafinaz Buruhanudeen, Mohamed Othman, Wireless Networks,”
Mazliza Othman, Borhanuddin Mohd Ali [4] A. H. Altalhi and G. Richard III, “Load-
“Existing MANET Routing Protocols and Balanced Routing through Virtual Paths: Highly
Metrics used Towards the Efficiency and Adaptive and Efficient Routing Scheme for Ad
Reliability- An Overview“. Hoc Wireless Networks”.
[2] Chai Keong Toh, University of Hong Kong [5] P. Johansson et al., “Scenario-based
Anh-Ngoc Le and You-Ze Cho, KyungPook Performance Analysis of Routing Protocols for
National University “Load Balanced Routing Mobile Ad Hoc Networks,”
Protocols for Ad Hoc Mobile Wireless Networks [6] J.-H. Song, V. Wong, and V. Leung, “Load-
“. Aware Ondemand Routing (LAOR) Protocol for
Mobile Ad Hoc Networks,”

COMO214-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

[7] C-K. Toh, “Associativity-Based Routing for


Ad Hoc Mobile Networks,”
[8] H. Hassanein and A. Zhou, “Routing with
Load Balancing in Wireless Ad hoc Networks,”

COMO214-6
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26 27 2011

Localization of Wireless Sensor Network


using Geographical routing
Saurabh Dixit1 and Arun Kumar Singh2
1.Member IEEE, IETE
Department of Electronics and Communication
Northern India Engineering College, Lucknow
saurabh2911@ieee.org
2. Member IEEE, IETE
Department of Electronics and Communication
Bansal Institute of Engineering andTechnology , Lucknow
aksingh_uptu@rediffmail.com

ABSTRACT: sensor nodes. Geographic routing


Wireless Sensor Networks have also addresses the issue of
evolved as a key technology in scalability and dynamic change in
sensing and detecting physical the network topology.
phenomenon such as acoustics, Because of the vagaries and the
humidity, temperature, imaging and size of the network, the localization
seismic activity. They are often error can severely hinder the
deployed in hostile environments to performance of geographic routing, it
collect information in cooperative is imperative to collectively evaluate
and collaborative fashion to achieve the localization and geographic
greater objective. Wireless Sensor routing to understand the tradeoffs
Networks have innumerable among different self localization
applications in all walks of human life schemes for location based routing
such as military, disaster monitoring, in WSNs. Geographical routing is an
home security, environmental excellent means to enable self
monitoring, commercial, medical and localization.
nuclear installation, etc., However, In this paper, we propose a
much of the information gathered by method to incorporate Geographical
sensor nodes are of utility by routing for the purpose of
ascertaining the position of the Localization. The existing methods of
nodes which are providing those Greedy Perimeter Stateless Routing
information. The location information (GPSR) and Greedy Other Adaptive
can be utilized for routing of the data Face Routing(GOAFR) are assessed
via the sensor nodes to sink. and a scheme is proposed to blend
Geographical routing implies location the Geographic routing with
aided routing in the sense that the localization of wireless sensor
location of the nodes are nodes.
predetermined as they are assigned Keywords:
virtual coordinates which provide Localization, Geographic routing,
information about the location of Greedy Perimeter Stateless Routing,

COMO215-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26 27 2011

Greedy Other Adaptive Face The wireless sensor networks


Routing, Wireless Sensor Networks. are driven by technology
convergence including
I. Introduction:- microelectromechanical sensors,
A Wireless sensor microelectronics, signal processing
network(WSN) consist of a large and communications devices. They
number of sensors which are tiny, are enabled by the algorithms that
low cost, and low-power devices that run under software that execute the
collect environmental data which is network protocols, the software for
then communicated through radio or the applications conformance
optical means to infrastructure specifically, and the power
processing nodes. WSNs may management technology for
consist of up to thousands of nodes, operational endurance. Thus
which can be deployed in very high wireless sensor networks integrate
density, in homes, highways, sensing, computing and
buildings, cities, and infrastructure communication.
for monitoring and controlling The key technical challenges in
purposes. Applications may range wireless sensor networking include
from detecting and monitoring efficient networking methods. It is
occurrence of natural disasters and imperative to be able to implement
homeland security, to military adhoc networking of any number of
surveillance. The application of devices. It is important to be able to
wireless sensor networks is for support mobile or fixed location
instrumenting the world. They can devices depending upon the nature
provide monitoring and warning of of the systems applications. The
natural disasters and the effects wireless sensor networks should be
there from including floods, winds, self configuring so as to adjust the
and other natural phenomenon. They function of the network in case
can be used for conducting military additional sensors of different kinds
surveillance, for battle damage are to be added to the array. The
assessment, for nuclear, biological collaborative signal and information
and chemical attack detection and processing within the network is
reconnaissance. Environmental used to detect, classify and track
applications include forest fire events and patterns of events
detection, bio-complexity mapping of occurring in the geographic area that
the environment, flood detection, they are deployed in.
precision agriculture. Health The routing can be both proactive
applications include telemonitoring of as well as reactive. In proactive
human physiological data, tracking routing a router is maintained at
and monitoring of doctors and every node in the network. In
patients inside a hospital, and drug reactive routing, routes are
administration inside hospitals. maintained to only those nodes
Phone applications can include which are needed for the current
home automation, smart activity, so only information of
environment control, and home interest above the threshold levels
security. are actually accessed. Reactive

COMO215-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26 27 2011

routing does have an expensive cost 2. Review of Related Works:


since flooding is involved requiring
the activation of many nodes. Localization approaches typically
However this approach is good for rely in some form of communication
low and medium traffic networks and between reference points with known
sensor networks typically have very positions and the receiver node that
low data rates. In Geographic needs to be localized. Another
routing, location aided routing for approach to localize sensor nodes is
mobile adhoc networks is employed to use the Routing protocols to
for being able to meet the demands generate virtual coordinates. Hence
of scalability and efficiency in instead of using the traditional adhoc
dynamic networks. routing algorithms, which assume
that both the node and the sink are
Localization of wireless sensor stationary; Geographic routing
nodes are of vital importance as they algorithms can be alternatively used
convey the node location which is to generate virtual coordinates. The
conveying the information. geographic routing algorithms scale
Geographical routing algorithms are better for wireless networks: the
an attractive alternative to traditional routing state maintained per node is
adhoc routing algorithms for wireless dependent only on local network
networks because they scale better; density and not network size. Since
the routing state maintained per geographic location devices like
node is dependent only on local GPS, Bat and Cricket are not cost
network density and not network effective for ubiquitous deployment
size. Since the satisfactory on large scale network; virtual
performance of geographic routing is coordinates can be effectively
dependent on reliable low cost self employed when location information
localization schemes, hence a is not available
evaluation of their combined Geographic Routing is a kind of
performance needs to be done. In reactive routing which employs
this paper we have tried to blend the single hop routing so as to select a
two isolated topics of geographical neighboring node within the radio
routing and localization so as to aid range of a given node. Geographic
the important issue of localization. routing protocols use location
The remainder of this paper is information of the neighboring nodes
organized as follows: Section II for making routing decision for a
provides a review of related work. in specific destination node. Based
the field of geographic routing and upon the knowledge of source and
localization. Section III presents destination, it measures the possible
strategies to augment the advance that could be made by
performance of geographical routing using individual neighbor nodes and
along with the self localization the node providing maximum
schemes. Section IV provides advance is chosen as the next hop
conclusions and some directions for for routing. This approach known as
future works. greedy forwarding approach can
however fail occasionally when there

COMO215-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26 27 2011

are no neighbor node that can algorithm for systems where nodes
advance further than the current have no location information, they
node and hence face routing designate two nodes as beacon
(perimeter routing) is to be used to nodes. Next nodes determine if they
route under these conditions. The are perimeter nodes from a heuristic
packet returns to Greedy forwarding based on their hop count from the
as soon as it finds that greedy beacons. Once the perimeter nodes
routing is available again. This is the are determined, O(p2) messages are
concept of Greedy Perimeter exchanged, where p is the number of
Stateless Routing (GPSR), which is perimeter nodes, and the perimeter
the most popular geographic routing nodes use an error-minimization
protocols. GOAFR( greedy other algorithm to compute their
adaptive face routing) is an improved coordinates. Finally, the perimeter
geographic routing that combines nodes are projected onto an
greedy forwarding with face routing imaginary circle and nodes
and achieves worst-case optimality determine their virtual coordinates
of the path length by using limited using a relaxation algorithm that
elliptic regions for face routing and works by averaging the coordinates
recursively increasing the ellipse size of neighboring nodes.
until reaching a close-to-optimal However the NoGeo system
path. assumes the system is static once
the perimeter nodes are determined.
3. Geographical Routing for Such a topology is bad for
Localization: geographic routing since there is a
For generating virtual coordinates in mismatch between the geometric
the case of geographical routing, and routing distance.
Leong et al. had earlier proposed the The novel approach to
GSpring[5] family of coordinate Localization of Wireless Sensor
assignment algorithms for adhoc nodes would be to make use of
wireless networks. Starting from a Geographical routing where virtual
set of initial coordinates derived from coordinates are identified for efficient
a set of elected perimeter nodes, routing. Hence there is a need to
Greedy Embedded Spring incorporate a technology which can
Coordinates(GSpring) detects generate virtual coordinates that
possible dead ends and uses a produces usable coordinates quickly
modified spring relaxation algorithm and improves the routing
to incrementally adjust virtual performance of existing geographic
coordinates to increase the convexity routing algorithms. For the purpose
of voids in the virtual routing of generating virtual coordinates the
topology. This reduces the possibility GSpring method is a good option.
that packets will end up in dead ends The primary focus of the work will be
during greedy forwarding. Rao et al. to develop an algorithm which can
had proposed the NoGeo family of assign greedy virtual coordinates to
coordinate assignment algorithms for the sensor nodes.
adhoc wireless networks[13].In the
most general version of their

COMO215-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26 27 2011

4. Conclusion [6] Nirupama Bulusu, John


This paper addressed the issue of Heidemann and Deborah Estrin
Localization of nodes using “GPS- less Low Cost Outdoor
Geographical routing. It can be Localization for very Small Devices”
achieved as Geographical routing IEEE personal Communication,
assumes that nodes are aware of October, 2000
their location. However the degree of [7] Battelli, M.; Basagni, S.,
accuracy becomes an issue “Localization for Wireless Sensor
especially for dynamic networks. networks: protocols and
Hence an analysis is done to perspectives” Electrical and
compare the GPSR and GAOFR Computer Engineering, 2007.
routing while for the generation of CCECE 2007. Canadian
virtual coordinates in greedy Conference, April 2007
forwardind GSpring is [8] Huey –Ing Liu ; Chi- Chuan Liu
recommended. “An Intelligent agent routing over
wireless sensor networks” Mobile
References: Adhoc and Sensor System (MA) ,
[1] Masoomeh Rudafshani and 2006, IEEE International Conference
Suprakash Dutta “Localization in on Oct 2006
Wireless Sensor Networks” IPSN’07, [9] Sungwon Yang; Jiyoung Yi;
April 2007, Cambridge, MA, USA Hojung Cha “ HCRL: A Hop-Count-
[2] Zenon Chaczko, Ryszard Ratio based Localization in Wireless
Klempous, Jan Nikodem, Michal Sensor Networks” Sensor, Mesh and
Nikodem, “Methods of Sensor Ad Hoc Communications and
Localization in Wireless Sensor Networks, 2007. SECON '07. 4th
networks” Proceeding of the 14th Annual IEEE Communications
Annual IEEE International Society Conference, June 2007
Conference Workshop of the [10] Pirzada, A.A.; McDonald, C.
Engineering of Computer Based “Trusted Greedy Perimeter Stateless
System, 2007 Routing”
[3] Stefano Basagni, Michele Nati Networks, 2007. ICON 2007. 15th
and Chiara Petrioli, “Demonstrating IEEE International Conference,Nov.
the resilience of Geographic routing 2007
to localization errors” Mobile Adhoc [11] Rahman, M.Z.; Kleeman, L.;
and Sensor Systems, 2007. “Self-Localization Schemes for
MASS2007. IEEE Internatonal Geographic Routing in Wireless
Conference, Oct. 2007 Sensor Networks” Vehicular
[4] B.Karp and H.TKung “GPSR: Technology Conference, 2008. VTC
Greedy Perimeter stateless routing Spring 2008. IEEE, May 2008
for Wireless networks” Proceedings [12] Witt, M.; Turau, V. “The Impact
ACM MOBICOM, July 2000 of Location Errors on Geographic
[5] Ben Leong, Barbara Liskov and Routing in Sensor Networks”
Robert Morris “Greedy virtual Wireless and Mobile
coordinates for geographic routing” Communications, 2006. ICWMC '06.
Network protocol, ICNP 2007, IEEE International Conference, July 2006
International Conference, Oct 2007

COMO215-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26 27 2011

[13] A.Rao, C.H. Papadimitriou, S .


Shenker and I. Stoica “ Geographic
routing without location information”
Proceedings of MOBICOM 2003,
San Diego, September,2003
[14] Ulema, M. “ Wireless sensor
networks: architectures, protocols,
and management”
Network Operations and
Management Symposium, 2004.
NOMS 2004. IEEE/IFIP
Volume 1, April 2004

COMO215-6
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

TIMESTAMP BASED LOAD


BALANCING IN AODV IN MOBILE
AD HOC NETWORKS
First Author:Bhawana Bhardwaj, Second Author:Sanjay K. Dhurandher

Abstract: nodes are typically distinguished by their limited


Mobile ad hoc networks (MANET) are
power, processing, and memory resources as
infrastructure-less networks, dynamically formed
by an independent system of mobile nodes that are well as high degree of mobility. They have
connected via wireless links. Multipath routing desirable features such as fast deployment and
allows the establishment of multiple paths between the ability to communicate while on the move.
a source and a destination. It distributes traffic MANETs can be categorized into Proactive and
among multiple paths instead of routing all the Reactive routing [1]. Proactive routing protocols
traffic along a single path. In this paper, we maintain consistent, up-to-date routing
propose a new routing protocol named TAODV i.e information in each node by propagating route
Timestamp based load balancing Ad hoc On updates throughout the whole network. Although
Demand Distance Vector Routing protocol, that
a route to every other node is always available,
uses all discovered paths simultaneously for
transmitting data. By this approach data packets such protocols incur signaling traffic and power
are balanced over discovered paths on the basis of consumption overhead. On the other hand,
timestamp set in the network. Reactive routing protocols do not maintain
routing information at every node. They create
Keywords– Ad hoc, multipath, timestamp, Load routes only when desired by the source. It has
Balancing been shown in [2] and stated in [3] that Reactive
routing protocols can perform better than Pro-
I. INTRODUCTION
active protocols in MANETs. Most of the
Mobile ad hoc networks (MANETs) are
existing Reactive (or on-demand) routing
collections of mobile nodes that can dynamically
protocols (for example, Dynamic Source Routing
form temporary networks on the fly without the
(DSR) and Ad hoc On demand Distance Vector
need for pre-existing network infrastructure or
(AODV) build and rely on single path for each
centralized administration. Each node can
data session. So route recovery process is
function both as a network host for transmitting
needed after each route failure, which causes to
and receiving data and as a network router for
lose transmitted data packets, in such protocols.
routing packets to the other nodes. Ad hoc
Multipath routing allows the establishment of
networks have numerous practical applications
multiple paths between a single source and
such as Sensor networks, military applications,
single destination node. It is typically proposed
Rescue operations, Conferences etc.
in order to increase the reliability of data
Due to unorganized connectivity and
transmission (i.e., fault tolerance) or to provide
topology, routing in MANET becomes a
load balancing [5, 6, 9 and 10].
challenging task. Moreover, constraints like
Some nodes that may lie on multiple routes
lower capacity of wireless links, error-prone
spend most of their energy in forwarding of
wireless channels, limited battery capacity of
packets and deplete their energy quickly.
each mobile node etc., degrade the performance
Consequently they leave the network early.
of MANET routing protocols in such networks,
It may lead to undesirable effects such as longer
delays, lower packet delivery fraction and high
routing overhead.
Our goal here is to develop an on-demand
multipath distance vector protocol as an
extension to a well-studied single path routing

COMO216-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

protocol known as Ad hoc On-demand Distance


Vector (AODV). We refer to the new protocol as
Timestamp baes Load Balancing Ad hoc On-
demand Distance Vector (TAODV) protocol.
Primary design goal behind TAODV is to
provide multiple routes on the basis of setting
timestamp art the source node on which the
source sends data simultaneously over them.
In AODV source sends data through shortest
path, thus only a few nodes are involved for
transmitting data and their remaining energy
reduce dramatically, but in TAODV since
multiple routes are used, data packets are
distributed across all nodes on timestamp based
discovered routes.
The further proceeding of this paper is organized
as follows. Section II describes AODV Routing Fig. 1 a) Reverse path Formation
Protocol. Sections III describe our new proposed b) Forward path Formation
algorithm for searching multiple routes on nodes forward route request packets to their
timestamp bases and load balancing data over neighbors, they record in their route tables the
discovered routes. Section IV follows with the address of the neighbor from which the first copy
simulation results and concluding remarks are of the packet has come from. This recorded
made in section V. information is later used to construct the reverse
path for the route reply (RREP) packet. If the
II. AD Hoc ON DEMAND DISTANCE same RREQ packets arrive later on, they are
VECTOR ROUTING discarded. When the route reply packet arrives
The aim of AODV [4, 7 and 8] is to reduce the from the destination or the intermediate node, the
number of broadcast messages sent throughout nodes forward it along the established reverse
the network by discovering routes on-demand path and store the forward route entry in their
instead of keeping complete up-to-date route route table by the use of symmetric links. Route
information. maintenance is required if either the source or
A source node seeking to send a data packet to a the intermediate node moves away. If a source
destination node checks its route table to see if it node becomes unreachable, it simply reinitiates
has a valid route to the destination node. If a the route discovery process. If an intermediate
route exists, it simply forwards the packets to the node moves, it sends a link failure notification
next hop along the way to the destination. On the message to each of its upstream neighbors to
other hand, if there is no route in the table, the ensure the deletion of that particular part of the
source node begins a route discovery process. It route. Once the message reaches to source node,
broadcasts a route request (RREQ) packet to its it then reinitiates the route discovery process.
immediate neighbors, and those nodes broadcast
further to their neighbors until the request III. TIMESTAMP BASED LOAD
reaches either an intermediate node with a route BALANCING AD HOC ON-DEMAND
to the destination or the destination node itself. DISTANCE VECTOR ROUTING (TAODV)
This route request packet contains the IP address The aim of AODV is to reduce the number of
of the source node, current sequence number, the broadcast messages sent throughout the network
IP address of the destination node, and the by discovering routes on-demand instead of
sequence number known last. Figure 1 denotes keeping complete up-to-date route information.
the forward and reverse path formation in the While in TAODV we proposed a new solution to
AODV protocol. An intermediate node can reply search a route in Ad Hoc network by minimizing
to the route request packet only if they have a the searching time and improved packet delivery.
destination sequence number that is greater than
or equal to the number contained in the route TAODV is an on-demand routing protocol that
request packet header. When the intermediate consists of three main phases:
1) Path Discovery Process:
When a node has data to send and no route
information is known. Then it initiates path

COMO216-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

discovery by sending route request packet


(RREQ) to its neighbors. RREQ packet identifies
the host, referred to as the target of the route
discovery having address of the original initiator
of the request and the target of the request. Each
route request packet contains a route record, in
which is accumulated a record of hops taken by
the route request packet. Each RREQ packet also
contains a unique request id, set by the initiator.
If a node receives a RREQ with a new request id.
Having less hop count of the RREQ then
appends its address to the Route Record of
RREQ and increases the hop count of RREQ and
rebroadcast it.
To prevent flooding network with too many
RREQs, nodes only rebroadcast it .If the hop
count of received RREQs is less (or equal to)
than hop count stored in Route Record.
Rebroadcasting of the RREQs is continued until Fig. 2 Propagation RREQs
they reach to the destination. By using this When a destination receives RREQs, reverses the
method for propagation the RREQs, many route in the route record from the received
RREQs from different routes will be received to RREQs with total traveled time, and uses this
the destination. Destination node will send back route to send back the route reply (RREP) packet
a RREP with total traveled time from which to the source. As the RREPs travel back to the
RREQ was received. When node receives RREP source each node along the path sets up a
and if it is not a source node then maintain the forward pointer to the node from which the
timestamp of neighbor nodes and forward the RREP came, NextHop, and updates its timeout
RREP with traveling time on the reverse path. information for route entries to the source. When
Also all the information about the node is stored a node receives multiple RREPs from a node, it
in Routing Table. When source receives RREP increments the number of route reply, Count
then it updates the routing table based on the Reply, that received from this node in its route
node sending RREP. Source also updates the table field which means how many routes from
timestamp of its neighbors too. this next hop to the destination are exist. This
process is continued until the RREPs reach the
For example, in fig 2, S sends RREQ and A, B source. These two phases create multiple routes
and C receive this RREQ with no. of Hop sets to from source to the destination. As shown in fig.
1. According to our proposed algorithm when 2, C has three next hops for D as a destination,
node B rebroadcasts RREQ and C receives it, also S receives 7 RREPs from next hops and
since the hop count of received RREQ is greater since C sends four RREPs to S the count Reply
than the hop count of first RREQ, this RREQ of C is sets to 4.
will be dropped. By using this method 7 RREQs
from different paths will be received by the Dest. Addr Next Hop CountReply
destination.
D C 4
D B 2
D A 1

COMO216-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Dest. Addr NextHop CountReply


store information about the node sending
RREP in the RT
D I 2
end for
D E 1 S receives RREP
S updates its RT based on the node sending the
D F 1 RREP
S receives and Updates its neighbors Time stamp T
Fig. 3 Route Table of S and D S establishes communication with D
end if
2) Sending Data send back a RREP packet to the node sending the
When the source receives RREPs, it can transmit RREQ
data packets through the discovered routes. Our
protocol uses hop-by-hop method and less else
execution time for forwarding data. Each node if (N has a route to D with SeqId >= RREQ.Seq)
that receives data packets sends them to the next send back a RREP packet with total travel time T
else
hops according to their Count Reply values and
record the node from which RREQ was received
execution time from source. Each next hop that broadcast RREQ
has greater Count Reply and less execution time end if
receive more data. This process causes that all of end for
the discovered routes is used and data packets while (node N receives RREP) and (N!= S)
distributed across all of the paths simultaneously. Maintain a time stamp of neighbor nodes
forward RREP with Time of traveling T
For example, out of 7 data packets, S sends 4 of on the reverse path
data packets to C that means these packets are store information about the node
sending RREP in the RT
distributed to the four different routes later, also
end for
2 of data packets transmitted to B and 1 of them S receives RREP
to A. Each intermediate node that receives data S updates its RT based on the node sending the
packets sends them to the next hops according to RREP
their Count Reply and less execution time in
their Route Table.

// S is the source node; D is the destination node


// RT = Routing Table Fig. 4 Algorithm of TAODV
S wants to communicate with D if RT of S 3) Route Maintenance
contains a route to D If a route is not used for some period of time, a
S establishes communication with D node cannot be sure whether the route is still
else valid; consequently, the node removes the route
S creates a RREQ packet and broadcasts it from its routing table. If data is flowing and a
to its neighbors link break is detected, a Route Error (RERR)
// RREQ contains the destination packet is sent to the source of the data in a hop-
Address(DestAddr),
// Sequence Number (Seq) and Broadcast
by-hop fashion. As the RERR propagates
ID (BID) towards the source, each intermediate destination
for all nodes N receiving RREQ is broken. When Count Reply of each next hop
if (RREQ was previously processed) in Route Table reaches to 0 this next hop is
discard duplicate RREQ deleted from route table. If no entry for a
end if destination exists in Route Table of source, it
if (N is D) invalidates the route and reinitiates route
Send the Time stamp back node from which discovery process if necessary. For example in
RREQ was received fig 3, if the link between I and J breaks I sends a
broadcast RREQ
RERR to the C, when C receives this packet it
end if
end for changes the Count Reply of next hop I in Route
while (node N receives RREP) and (N != S) Table to 1 and forwards this packet to S, also
Maintain a time stamp of neighbor nodes when S receives this packet changes the Count
forward RREP with Time of traveling T Reply of C to 3.
on the reverse path

COMO216-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

IV. PERFORMANCE EVALUATION


1) Simulation Environment:
The simulation code was implemented within the
Global Mobile Simulation (GloMoSim) library
[11]. In the simulation, we modeled a network of
30 mobile hosts placed randomly within a 1000
X 1000m2 area. Radio propagation range for
each node was 100 meters and channel capacity
was 2Mbit/sec. Each simulation runs for 100
seconds of simulation time. The IEEE 802.11
Distributed Coordination Function was used as
the medium access control protocol.

2) Performance Metrics
We evaluate the performance of TAODV, we
simulated and compared the schemes:
AODV Fig. 5 Packet Delivery Ratio v/s Mobility
TAODV.
We evaluated these schemes as a function of b) Number of total packets transmitted per data
mobility speed of nodes and the traffic of packet delivered Figures 6 shows the routing
sessions. The number of sessions was set to 1 overhead as a function of mobility speed. In
and mobility speed of nodes was varied from 0 figure 6 data rate is set to 300Kbit/s. As shown
to 100 m/s with pause-time that is equal to 5 in figure 6 as speed increases, because of link
seconds. To evaluate the performance of our break and route reconstruction the routing
protocol, each source sent data as a rate of overhead in AODV and TAODV are increased.
l00Kbit/s to 600Kbit/s. Since TAODV transmits many RREQs and
RREPs in compare to AODV thus its routing
We evaluated the following metrics for each overhead is higher than AODV.
session:
Packet Delivery Ratio: The number of
data packets received by destinations
over the number of data packets sent by
the source.
Routing Overhead. The number of all
packets (data and control packets)
transmitted divided by the number of
data packet delivered to the destination.
Average of End-To-End Delay: The
end-to-end delay of a packet is defined
as the time a packet takes to travel from
the source to the destination.

3) Performance Results
a) Packet Delivery Ratio
The packet delivery ratios as a function of
mobility speed is shown in figure 5. In figure 5
data rate is set to 300Kbit/s. We can observe that
Fig. 6 No. of packets transmitted per data
as speed increases because of links break the
packet delivered v/s Mobility
packet delivery ratios decrease in AODV and
TAODV protocols. Since in TAODV, all
c) Average of End-To-End Delay: Figures 7
discovered routes are used simultaneously for
shows the average end to end delay as a function
transmitting data, large amount of data packets
of mobility and data rate. In figure 7 data rate is
will receive to the destination in compare of
set to 300Kbit/s since TAODV uses all
AODV that use only one route for transmitting
discovered routes simultaneously its end-to-end
data.
delay is less than AODV.

COMO216-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

[6] S.-J. Lee and M. Gerla, "AODV-BR: Backup


Routing in Ad hoc Networks," Proceedings of
IEEE WCNC 2000, Chicago, IL, Sep.2000.
[7] C. E. Perkins, E. M. Belding-Royer, and S.
Das, "Ad hoc On-Demand Distance Vector
(AODV) Routing", RFC 3561, July 2003.
[8] C. E. Perkins and E. M. Royer, "The Ad hoc
On-Demand Distance Vector Protocol", In C. E.
Perkins, editor, Ad hoc Networking, pages
173.219. Addison-Wesley, 2000.
[9] A. Nasipuri and S.R. Das, "On-Demand
Multipath Routing for Mobile Ad Hoc
Networks," Proceedings of IEEE ICCCN'99,
Boston, MA, Oct. 1999, pp. 64-70.
[10] V.D. Park and M.S. Corson, "A Highly
Adaptive Distributed Routing Algorithm for
Mobile Wireless Networks," Proceedings of
Fig. 7 Average end to end delay v/s Mobility IEEE INFOCOM' 97, Kobe, Japan, Apr. 1997,
pp. 1405-1413.
V. CONCLUSION AND FUTURE WORK [11] Lokesh Bajaj, Mineo Takai, Rajat Ahuja,
We introduced a new multipath routing that uses Ken Tang, Rajive Bagrodia, Mario Gerla, UCLA
discovered paths simultaneously; this technique “Parallel Computing Laboratory, GloMoSim: A
applied to AODV and evaluated via several scalable simulaton environment for wireless and
simulations scenarios. Simulation results show wired network system.” CA90095
that our protocol have better packet delivery ratio
in compare of AODV. We are going to examine
our work over other routing protocols such as
DSR and evaluate its performance with different
scenarios. Also, we will try to make TAODV
perform well in large size of routing table.

REFERENCES
[1] E. M. Royer and C.-K. Toh, “A Review of
Current Routing Protocols for Ad-Hoc Mobile
Wireless Networks,” IEEE Pers. Commun., Apr.
1999, pp. 46–55.
[2] A. H. Altalhi and G. Richard III, “Load-
Balanced Routing through Virtual Paths: Highly
Adaptive and Efficient Routing Scheme for Ad
Hoc Wireless Networks,” 23rd IPCCC, 2004.
[3] P. Johansson et al., “Scenario-based
Performance Analysis of Routing Protocols for
Mobile Ad Hoc Networks,” Proc. ACM/IEEE
MobiCom, Aug. 1999.
[4] ] Park, S., Shin, J., Baek, S., Kim,
S.C.,"AODV-Based Routing Protocol Considering
Energy and Traffic in Ad Hoc Networks." In Proc. of
International Conference on Wireless Networks.
(2003) 356-36.
[5] Cho, H.K., Kim, E.S., Kang, D.W."A load-
balancing routing considering power
conservation in wireless ad hoc networks” Proc.
of 16th International Workshop on Database and
Expert Systems Applications. (2005) 128-132.

COMO216-6
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Performance of Cross-Shaped Junction Microstrip


Antenna using IE3D

Jyoti Gupta, Babita Singh, D. C. Dhubkarya


Department of Electronics & Communication Engineering,
B.I.E.T., Jhansi (U.P.) INDIA
gold.jyoti@gmail.com, babitasngh20@gmail.com,dcd3580@yahoo.com

Abstract— In this paper a novel Cross- ground plane or the perimeter of the patch.
Shaped patch antenna is presented.the In the case of using a high permittivity
operating frequency of antenna is 2.25 substrate, the dielectric constant and the
GHz.The dielectric constant is 4.2, resonant frequency of the antenna are related
thickness of antenna is 1.6 mm and loss as shown in (1).
tangent is 0.0013.Simulation result at
operating frequency 2.25 GHz of antenna
are done by the help of IE3D Zeland (1)
software (Version 12.0).The antenna is
small and is suitable for wireless portable
device.The bandwidth achieved is 24%. From the above equation, we can see that as
Index Terms ― microstrip antenna, the dielectric constant (εr) increases, the
cross shaped antenna. resonant frequency (fr) and the patch
antenna dimension decreases. However, it
should be noted that using high permittivity
I. INTRODUCTION substrate results in the excitation of greater
surface waves, which reduces the
performance of the antenna.
In recent years, microstrip printed antennas
have been of great interest to researchers In this paper the above-mentioned size
and engineers and have a wide spectrum of reduction techniques are investigated on
applications. The popularity is due to its key probe fed rectangular antennas. The results
attractive features such as low cost, small are then analyzed to determine which size
weight and ease of fabrication. The most reduction technique gives the highest
notable problem of patch antennas is that its reduction in the overall antenna size
size tends to be large at the low microwave compared to the conventional probe fed
frequencies. Hence, wireless applications antenna. A new cross-shaped shorted
require antenna size that is compliant with antenna for mobile communications is then
the space restrictions associated with the designed. The resonant frequency of the
portable devices. antenna is designed to be around 2.25 GHz
There are a few methods presented in the as shown in figure 1.
literature to reduce the size of a patch
antenna [1 - 3]. These include using high
permittivity substrates, loading the patch
with shorting pins and meandering the

COMO302-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Length of the patch is given by

A (3)

C Width of rectangular patch is given by

B (4)

D
The equation (2),(3),(4) are used to
determine the length and width of a patch
antenna.
E F

Figure 1: Cross -shaped Patch Antenna


with Parameters A=B=36mm,
C=D=E=F=12mm, Frequency f = 2.25
GHz, εr = 4.2, h=1.6mm.

II. ANTENNA DESIGN &


STRUCTURE
In this paper, the Cross-shape microstrip
patch antenna has been designed with over
all dimensions 36mm×36 mm. The
designing of Cross-shaped microstrip patch
antenna, the resonant frequency fr = 2.25
GHz and the dielectric substrate glass epoxy
is used for fabrication. The dielectric
constant of the substrate is εr = 4.2 and
thickness of the substrate h = 1.6mm to Figure 2: Design of cross-shaped patch
design the Cross-shaped microstrip patch antenna on IE3D with feed point (19,15).
antenna. The width and length of
the microstrip antenna are determine as
follows-

Effective dielectric constant

= (2)

COMO302-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

particular, at -21 db as shown in figure 3.


Fig. 4 shows the simulated VSWR
characteristics of the proposed patch
antenna. The impedance bandwidth (VSWR
< 2) of the configuration is 24%. The
bandwidth is quite significant for such a
small antenna.

IV. CONCLUSION

The cross-shaped shorted antenna was


simulated using Zeland’s IE3D
Software.The Cross-shaped antennas are
suitable choices for radiating element
because of the relative wider bandwidth
Figure 3: Return loss graph by using compared to other resonant conventional
microstrip. The choice of 2.25 GHz has
IE3D
been done because of the facility to design
IE3D simulation, for 50 Ώ environment,
and due to the available material.But other
bands can be used in a more specific project.
Moreover the Cross-shaped antenna has a
good portability and compact structure.

REFERENCES
[1] D.P. Chrissoulidis, P.C. Liakow, and
D.Y. Notis, “Dual polarized
microstrip patch antenna, reduced in size by
use of peripheral slits,”
Aristotle university of Thessalaniki, Greece.
[2] R.B. Waterhouse, “Small microstrip
Figure 4: simulation result for VSWR patch antenna,” Electron. Lett., vol. 31, pp.
604-604, April 1995.
[3] H. K. Kan and D. Pavlickovski, “Small
dual C–slot printed antenna,”
Electron. Lett., vol. 39,(7), pp. 593-594,
III. RESULTS April 2003.
[4]J.Lal & H.K.Kan, “cross shaped shorted
Figure 2 represents the design of Cross-
patch antenna”
shaped patch antenna with feed point
[5] C.A. Balanis, Antenna Theory: Analysis
(19,15).Notices that the central resonant
and Design, 2nd ed.,New York: Jhon Wiley,
frequency is near to 2.25 GHz and, in
1997

COMO302-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Broadband Slot Loaded Microstrip Patch Antenna

J. A. Ansaari , kamakshi, Ram Brij Ram, A.Singh, Anurag Mishra, N.P.Yadav


Department of Electronics and Communication
University of Allahabad

E-mail: kamakshi_iert_el@yahoo.co.in, mishraanurag31@gmail.com

Abstract-- A U-slot loaded microstrip patch the use of various impedance matching and feeding
antenna is analyzed using circuit theory concept. techniques [2], the use of multiple resonators [3-5]
It is found that broadband behaviour of the and the use of slot antenna geometry [6-8]. Among
antenna is achieved due to the effect of U-slot these, the use of multiple resonators in stacked or
inserted into the patch. The optimized antenna coplanar antenna geometries is very promising
shows operational frequency band of 1.63 GHz method to enhance impedance bandwidth. However,
with impedance bandwidth of 34.72 %. A the stacked geometry increases the thickness of the
comparison between theoretical and simulated antenna on one hand and the coplanar geometry
results is also presented. increases its lateral size on the other hand. Therefore,
these geometries are not preferred for most of the
Key-words: broad band, microstrip antenna, patch modern wireless communication systems that require
antenna, U-slot single layer single patch broadband microstrip
antenna.
1. INTRODUCTION Huynh and Lee [1], in 1995, experimentally
Microstrip antennas have become attractive reported that the impedance bandwidth of single-
candidates in a variety of commercial applications layer single-patch microstrip antenna could exceed
such as mobile and satellite communications. 30 % if U-slot is cut into the patch. But the main
Traditionally, microstrip antennas suffer from low disadvantage of this antenna is its electrical thickness
bandwidth characteristic. But many of which causes additional inductive component
communication systems require wide bandwidth associated with the input impedance. In this
which is not provided by the conventional microstrip endeavor, therefore, U-slot loaded microstrip patch
antenna. Hence serious efforts started among the antenna with reduced thickness and wider bandwidth
scientific community to remove its inherent is presented. The theoretical investigation was carries
drawback of narrow bandwidth. There are numerous out using circuit theory concept based on modal
and well known methods to increase the band width expansion cavity model. The results so obtained are
of the antennas including: the use of the substrate compared with IE3D simulated [**] and
thickness [1], the use of low dielectric substrate [1],

COMO303-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

experimental results; the details which are given in


the following sections:

II. ANTENNA GEOMETRY AND


THEORETICAL FORMULATIONS
The geometrical configuration of the U-slot
loaded microstrip patch antenna is shown in Fig. 1.
The proposed antenna consists of a rectangular patch (a)
of length L =36 mm and width W =26 mm. The
rectangular patch is printed on a dielectric substrate

(foam) of constant  r =1.03 and thickness h =5 mm.


A U-slot of side length Ls =20 mm, base-length
Ws =12 mm and slot width T =2 mm is incorporated
in the middle of the patch so that the side-arms are
symmetrically positioned with respect to the feed

point. The feed point ( X 0 , Y0 ) is determined with

respect to the center of the patch as (0,-1.8). A simple


microstrip patch antenna can be modeled as a simple
LC-resonant circuit [9]. When U-slot is incorporated (b)
into the patch, the resonance feature is changed as Fig. 1 Antenna geometry (a) top view (b) side view
shown in Fig. 2. The part of the patch enclosed by the
U-slot has current distribution like normal patch and
it resonates at a certain frequency. However, for the
part of the patch beyond the U-slot, the current has to
flow around the side and base arms of U-slot which
causes lengthened current path. As a result, currents
along the edges of U-slot introduce an additional
resonance in conjunction of the main patch. This
additional resonance frequency is determined by
L2C2; here L2 and C2 are inductance and capacitance
of the patch with U-slot. Thus U-slot loaded patch
has two resonance frequencies. If these two
resonances appear in one operational frequency band,
antenna will show broadband characteristic.

COMO303-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

X UH and RUV , X UV are radiation resistance and


reactive component of the base-arm and side-arm of
the U-slot that can be calculated as [10]. Therefore,
from the equivalent circuit of U-slot shown in Fig.3
(b), the impedance of the U-slot can be derived as

2ZUV ZUH
ZU  (2)
ZUV  2ZUH

(a)

Fig. 2. Current distribution at frequency 4.51 GHz

According to modal expansion cavity model a (b)


microstrip patch is considered as a parallel
combination of capacitance, inductance and
resistance. Therefore, the equivalent circuit for main

patch is as shown in Fig. 3 (a), in which C1 , L1 and


R1 are capacitance, inductance and resistance of the
(c)
main patch. The circuit parameters are calculated as
Fig. 3 Equivalent circuits of (a) fed patch without U-
[9]. The impedance of the main patch without U-slot
slot, (b) U-slot and (c) U-slot loaded patch
can be derived as
1
ZP  (1) Further, the U-slot loaded microstrip patch
1 1
 j C1  antenna can be considered as a parallel combination
R1 jL1 of impedances of the patch and the impedance of U-
The U-slot cut in the lower patch can be considered slot as shown in Fig. 3(c), therefore, the impedance
as a combination of three narrow slots joint together of the U-slot loaded microstrip patch antenna can be
in the form of U-shape. Using duality relationship of derived as
dipole and slot, the equivalent circuit of U-slot can be Z P ZU
Z1n  jL p  (3)
represented as shown in Fig. 3(b) [10]. Here, RUH , Z P  ZU

COMO303-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

where L p is the inductance due to co-axial probe of 4.108 GHz and 5.17 GHz. The two resonances are so
closely spaced that the antenna gives broadband
50 ohm.
characteristics. The calculated operational frequency
The return loss of the antenna can be calculated as
band ranges from 3.88 GHz to 5.51 GHz (i.e. band of
RL  20log  (4) 163.6 MHz) and antenna shows bandwidth 34.72 %.
On the other hand, the simulated [12] return loss
Z in  Z 0
where  curve shows frequency band of 154.8 MHz with
Z in  Z 0 bandwidth of 33.04 %. The theoretical result is in
good agreement with the simulated result. Similar
where Z0 is the input impedance of the coaxial feed
results were reported experimentally by Lee et al [6]
(50 Ω) and Huynh et al [8]. Very small errors appear in the
The radiation pattern of U-slot microstrip resonance frequencies and the bandwidth due to
approximation in calculations of the impedances of
patch antenna can be calculated as [11]
the patch and the U-slot.
  k 0W 
 sin  sin  sin   
jk 0WVe  jk0 r  2 
E ( )  cos(kh cos )  -2
r  k 0W 
 sin  sin  
 2  -4
(5)
k L  Theoretical
 cos 0 e sin  sin   cos Simulated
 2  -6

where 0   
Return loss (dB)

2 -8

  k 0W 
 sin  sin  sin    -10
jk 0WVe  jk0 r  2 
E ( )   cos(kh cos ) 
r  k 0W 
 sin  sin   -12
 2 
(6)
 k 0 Le  -14
 cos sin  sin   cos sin 
 2 
 -16
where 0    3.5 4 4.5 5 5.5 6
2 Frequency (GHz)

where V is radiating edge voltage, r is the distance of Fig.4 Variation of return loss with frequency

an arbitrary point, and k  k0  r

III. CALCULATIONS AND DISCUSSION OF


RESULTS
The calculation for variation of return loss
with frequency were carried out using equations (3-
4), the resulting data are shown in Fig. 4. It is
observed that there exist two resonance frequencies at

COMO303-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

bandwidth of 34.72 % in frequency band from 3.88


0
GHz to 5.17 GHz.
-5
Theoretical
REFERENCES
Relative Radiative Power (dB)

Simulated
-10
[1] D. H. Schaubert, D. M. Pozar and A. Adrian,

-15
“Effect of microstrip antenna substrate thickness
and permittivity: Comparison of theories and
-20 experiments”, IEEE Trans. Antenna Propag.,
vol. 37, pp. 677-682, Jun. 1989.
-25
[2] H. F. Pues and A. R. Van De Capelle, “An
-30 impedance technique for increasing the
-80 -60 -40 -20 0 20 40 60 80
Angle (degree) bandwidth of microstrip antennas”, IEEE Trans.
Fig. 5 Radiation pattern at frequency 4.517 GHz Antenna Propag., vol. 37, no. 11, pp. 1345-1354,
The calculations for radiation pattern for the Nov. 1989.
U-slot microstrip patch antenna at frequency 4.517 [3] G. Kumar and K. C. Gupta, “Broad band
GHz were done using equations (5-6), the data so microstrip antennas using additional resonators
obtained are shown in Fig.5. It is noted that the gap coupled to the radiating edges”, IEEE Trans.
calculated radiation pattern is also almost similar to Antenna Propag., vol. 32, no. 12, pp. 1375-1379,
the simulated radiation pattern. Antenna shows gain Dec. 1984.
of 5.37 dBi to 9.02 dBi in the operation frequency [4] J. A. Ansari and Ram Brij Ram, “ Analysis of
band. As shown in Fig. 6. compact and broadband microstrip patch
antenna,” MIcrow. Opt. Tech. Lett., vol. 50, no.
10

8, pp. 2059-2063, 2008.


9

8
[5] Z. F. Liu, P. S. Kooi, L. W. Li, M. S. Leong and

7
T. S. Yeo, “A method for designing broad band
Gain (dBi)

6
microstrip antennas in multilayered planar
5 structures”, IEEE Trans. Antenna Propag., vol.
4 47, no. 9, pp. 1416-1420, Sept. 1999.
3 [6] K. F. Lee, K. M. Luk, K. F. Tong, S. M. Shum,
2
3.5 4 4.5 5 5.5 6
T. Huynh and R. Q. Lee, “Experimental and
Frequency (GHz)
simulation studies of the co-axially fed U-slot
Fig.6 Variation of gain with frequency rectangular patch antenna”, IEE Proc. Microw.
IV. CONCLUSIONS Antenna Propag., vol. 144, no. 5, pp. 354-358,
It is, therefore, concluded that the existence of 1997.
two resonance frequencies provides broad band [7] R. Bhalla and L. Shafai, “Resonance behavior of
characteristic to the U-slot microstrip patch antenna. single U-slot and dual U-slot antenna”, IEEE
The optimized antenna can be made to operate with

COMO303-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Antenna and Propagat. Society Int. Symp., vol.


2, pp. 700-703, July 2001.
[8] T. Huynh and k. F. Lee, “Single layer single
patch wideband microstrip antenna”, Electronics
Letters, vol. 31, no. 16, pp. 1310-1312, Aug.
1995.
[9] I. J. Bahl and P. Bhartia, “ Microstrip antennas”,
Dedham, MA: Artech House, 1981
[10] J. A. Ansari and R. B. Ram, "Analysis of broad
band U-slot microstrip patch antenna,"
Microwa. Opt.Tech. Lett., Vol. 50, No. 4, pp.
1069-1073, 2008.
[11] K. R. Carver and J. W. Mink, “Micro strip
antenna technology”, IEEE trans. Antenna
Propag., vol. 29, pp. 2-23, 1981.
[12] IE3D Zeland Software, Inc.(USA), Version
14.05,

COMO303-6
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 1

Microstrip Bandpass Filter at 6 GHz for


WiMAX
Avnish kumar, Navita Singh, Manohar Kumar, Ashutosh Kumar Varun

These new applications offer certain features in


Abstract— Modern microwave communication systems require, telecommunication services, that in turn offer three important
especially in satellite and mobile communications, high- items to the customers. The first is the coverage, meaning each
performance wide-band bandpass filters having low insertion loss customer must be supported with a minimal signal level of
and high selectivity together with linear phase or flat group delay
electromagnetic waves, the second is capacity that means the
in the passband. Usually, these criteria are fulfilled using
waveguide cavity or dielectric resonator loaded cavity filters
customer must have sufficient data rate for uploading and
because of their low loss. However, in order to reduce size, weight downloading of data, and the last is the quality of services
and cost, there has been a growing interest in planar structures. (QoS) which guarantee the quality of the transmission of data
The disadvantage of high conductor loss of the planar filters using from the transmitter to the receiver with no error. In order to
conventional conducting thin films can be overcome by replacing provide additional transmission capacity, a strategy would be
them with high-temperature superconducting (HTS) thin films. to open certain frequency regions for new applications or
These can have a very low conductor loss. An alternative is by systems. WiMAX (Worldwide interoperability Microwave
combining with active MMIC devices to compensate the loss. Access) which is believed as a key application for solving
Under those driving forces many novel types of microstrip filters
many actual problems today.
have recently been developed.
In this paper we discuss end coupled band pass filters at In realization of such a system like WiMAX we need a
millimeter wave frequency for same permittivity condition. Both complete new transmitter and receiver. A bandpass filter is an
equal-ripple and butterworth end coupled band pass filters are important component must be found in the transmitter or
designed at 6 GHz for 3.02 permittivity value and having a FBW receiver. Bandpass filter is a passive component which is able
of 0.083. The cost and the fabrication complexity are much less
to select signals inside a specific bandwidth at a certain center
since it uses the thick-film process. The design and simulation
are performed using 3D full wave electromagnetic simulator IE3D . frequency and reject signals in another frequency region,
especially in frequency regions, which have the potential to
Key Words—Band pass filter, WiMAX, coupled lines, Micro strip interfere the information signals. In designing the bandpass
filter, Millimeter wave filters. filter, we are faced the questions, what is the maximal loss
inside the pass region, and the minimal attenuation in the
I.INTRODUCTION reject/stop region.

T he advances of telecommunication technology arising


hand in hand with the market demands and governmental
regulations push the invention and development of new
In the process to fulfill these requirements there are several
strategies taken in realization of the filters, for example, the
applications in wireless communication. choice of waveguide technology for the filter is preferred in
respect to the minimal transmission loss (insertion loss). This
strategy is still actual in satellite applications. The effort to
Manuscript received March, 5 2011. This work was supported in part by the fabricate waveguide filters prevents its application in huge
Department of Electronics & Communication Engineering, Krishna Institute amounts.
of Engineering & Technology, Ghaziabad.
Avnish Kumar is Student with Department of Electronics &
Communication, Krishna Institute of Engineering & Technology, Ghaziabad,
As alternative, microstrip filter based on printed circuit board
INDIA. Phone: 9997866775; (e-mail: avi.k.prajapati@gmail.com) (PCB) offers the advantages easy and cheap in mass
Navita Singh is Sr. lecturer with Department of Electronics & production with the disadvantages higher insertion losses and
Communication, Krishna Institute of Engineering & Technology, Ghaziabad, wider transition region. In this work we would like to give a
INDIA. Phone: 9412582436; (e-mail:singh.navita@ rediffmail.com)
way to conceive, design and fabricate bandpass filter for the
Manohar Kumar is Student with Department of Electronics &
Communication, Krishna Institute of Engineering & Technology, Ghaziabad, WiMAX application at the frequency 6 GHz with end-coupled
INDIA. Phone: 9634477323; (e-mail: manoharkumarald@gmail.com) microstrips, which designed filter for wireless local area
Ashutosh Kumar Varun is Student with Department of Electronics & network 6.25 GHz, and which used the capacitive resonators
Communication, Krishna Institute of Engineering & Technology, Ghaziabad, and stepped impedance resonators for filter realization.
INDIA. Phone: 8755478459; (e-mail: ashu.banty111@gmail.com)
Therefore, by extension, the RF/microwave applications can
be referred to as communications, and other that explore the

COMO304-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 2

usage of frequency spectrums; some of these frequency


spectrums are further divided into many frequency bands. The physical length of resonator are given by
In this paper, filters are optimized for high performance and an
efficient and cost effective fabrication methods is lj = (λg0/2∏ ) Өj - ∆ lje1 - ∆ lje2 (7)
proposed.Microstrip technology is used for simplicity and where ∆ lje1,e2 are the effective lengths of the shunt
ease of fabrication. The design and simulation are performed capacitances on the both ends of the resonator j. because the
using 3D full wave electromagnetic simulator IE3D. This filter shunt capacitances C p j,j+1 are associated with the series
is widely used today in radar, satellite and terrestrial capacitances C g j,j+1 as defined in the equivalent circuit of
communication applications. microstrip gap, they are also determined once C g j,j+1 in
equation are solved for the required coupling gaps. The
II.MICROSTRIP FILTER DESIGN effective lengths can then be found by[1]

∆ lje1 = (ωo C p j-1,j /Y0)(λg0/2∏ ) (8)


The general configuration of an end coupled microstrip band
pass filter is illustrated in fig. where each open end microstrip
∆ lje2 = (ωo C p j,j+1 /Y0)(λg0/2∏ ) (9)
resonator is approximately a half guided wavelength long at
the midband frequency f0 of the bandpass filter [1]. The
coupling from one resonator to the other is through the gap III.SIMULATION RESULTS
between the adjacent open ends, and hence is capacitive. In
In order to verify the validity of the above expressions in
this case, the gap can be represented by the inverters[1]. These
millimeter wave regime, a simulation study was performed
J-inverters tend to reflect high impedance levels to the ends of
using IE3D. To get the exact response for our purpose,an
each of the half wavelength resonators, and it can be shown
that this causes the resonators to exhibit a shunt type optimization was performed using software.The dimensions of
resonance. Thus, the filter under consideration operates like the filters are given in the following table.the simulated filter
the shunt resonator type of filter whose general design responses are depicted below.
equations are give as follows:
TABLE I
J01/Y0 = √(∏ FBW/2g0g1) (1)
DIMENSIONS OF THE FILTERS FOR n=3 (in mm)
Jj,j+1/Y0 = (∏ FBW/2)1/√ (gjgj+1) j = 1 to n-1 (2)
Type Physical Width Gap
Jn,n+1/Y0 = √(∏ FBW/2gngn+1) (3) Length

Where g1, g2, g3, g4......, gn .are the element of a ladder low pass Equal-ripple l1=l3=9.47 W=1.6 s01=s34=0.038
protype with a normalized cutoff Ωc = 1.0, and FBW is the BPF l2=10 s12=s23=0.81
frational bandwidth of bandpass filter. The Jj,j+1 are the
characteristic of J-inverters and Y0 is the characteristics
admittance of the microstrip line[3]. Butter-worth l1=l3=8.21 W=1.6 s01=s34=0.075
BPF l2=8.47 s12=s23=0.810
Assuming the capacitive gaps act as perfect, series-
capacitance discontinuities of susceptance Bj,j+1 as

BJ,J+1/Y0 = (Jj,j+1/Y0 )/(1-( Jj,j+1/Y0)2) (4)

Өj = ∏ -1/2[tan-1 (2 BJ,J+1/Y0 ) + tan-1 (2 BJ-1,J/Y0)] radians


(5)
Where the BJ,J+1 and Өj are evaluated at fo. Note that the
second term on the right hand side of second equation Fig.1. Layout of equal-ripple BPF on a substrate with a
indicates the absorption of the negative electrical length of the relative dielectric constant of 3.02 and a thickness of 0.672mm
J-invewrters associated with the jth half wavelength resonator.

As referring to the equivalent circuit of microstrip gap, the


coupling gaps sj,j+1 of the microstrip end- coupled resonator
filter can be determined as

C g j,j+1 = BJ,J+1/ωo (6)

Where ωo = 2∏ fo is the angular frequency at the midband.

COMO304-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011 3

with loss tangent 0.0016. Considerable improvements in the


Fig.2.Full-wave EM simulated frequency response of the filter frequency response can be seen.
for εr = 3.02 and h =0.672mm

ACKNOWLEDGEMENT
The authors would like to thank authorities of Krishna
Institute of Engineering & Technology for all the support
provided.

VI.REFERENCES

1. Jia-Sheng Hong; Lancaster M.J, “Recent progress in


Fig.3. Layout of end coupled BPF on a substrate with a planar microwave filters,” IEEE Trans. Antennas
relative dielectric constant of 3.02 and a thickness of 0.672mm Propagat., Vol. 2, pp. 1134 – 1137, August 1998.
2. .Jia-Shen G. Hong & M.J. Lancaster, “Microstrip Filters
for RF/ Microwave Applications” John Wiley & Sons
Inc., 2001.
3. D.M.Pozar, “Microwave Engineering,” John Wiley, 2000.
4. G. Mathaei, L.Young & E.M.T. Jones, “Microwave Filter
impedance matching networks and coupling structures,”
Artech House, Norwood, MA, 1980.
5. IE3D Software Release – 8, Developed by M/S Zeland
Software Inc.
6. Tae-Yeoul Yun; and Kai Chang, “Transaction on
Microwave Theory and Techniques,” IEEE Trans, Vol 49,
No.3, March 2001.
7. M.Makimoto; and S.Yamoshita, “Microwave Resonators
Fig.4.Full-wave EM simulated frequency response of the filter
and Filters, “IEEE Trans.Wireless communication Vol.2,
for εr = 3.02 and h = 0.672mm.
August 1986.
8. H.Ozalki and J.Ishii, “Synthesis of transmission -line
networks and the Design of UHF filters,” IRE Trans. On
IV. RESULTS & ANALYSIS circuit theory, vol. CT-2, pp.325- 336; December 1955.
9. E.N. Torogow, Senior member, IEEE, and G. E Collins,
The conventional geometry of the chebyshev band pass filter, “Band-Stop Filters for High-Power Applications”, IEEE
shown in figure 1. the simulated response of the conventional Trans. Vol 40, pp 185-196; September 1965
geometry in figure 2. The attenuation decreases at 6 GHz 10. Jen-Tsai Kuo,,“ Parallel Coupled Microstrip Filters With
frequency shows in figure 2 for the band edge frequency of Suppression of Harmonic Response” In IEEE, Wei-Hsiu
5.85 GHz and 6.15 GHz. The conventional geometry of the Hsu, and Wei-Ting Huang Vol. 12, No. 10, Oct 2002 383
Butterworth band pass filter, shown in figure 3. The simulated 11. P. I. Richards, " Resistor-transmission-line circuits,"
response of the conventional geometry in figure 4, the Proc. IRE, Vol. 36, pp. 217-220, Feb. 1948.
attenuation decreases at 6 GHz frequency shows in figure. for 12. M. Dishal, "Design of dissipative band-pass filters
the band edge frequency of 5.75 GHz and 6.75 GHz, we producing desired exact amplitude-frequency
getting a sharp cutoff at 6 GHz & maximally flat response characteristics", Proc. Inst. Radio Engrs, 37, pp. 1050-69,
without any ripples in the pass band with non attenuated September 1949
frequency in the desired band. Considerable improvements in
the frequency response can be seen.

V. CONCLUSION
The filters are the very essential part of the microwave system,
not only in microwave but they are also very important in
communication field. Any communication system cannot be
designed without filters. The simulated end coupled band pass
filters achieved an insertion loss of less than 1.0dB and both
filters are designed on 0.672 mm thick substrate for εr = 3.02

COMO304-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Antenna Selection Algorithm for MIMO BC


Channel Using Partial Side Information
Swadhin Kumar Mishra1 , Prabina Pattanayak2, A K Panda3

achieve maximum spatial diversity gain by using Space-time


Abstract — In multiple-input multiple-output (MIMO) broadcast Block coding (STBC)[5].One key benefit of using MIMO is
channels, multiuser diversity is exploited by scheduling data the resultant high spatial multiplexing gain which can be
transmission to users with best channel conditions. To find the obtained by sending independent message streams over any
best set of users, the base station requires information about user
transmit- receive antenna pair simultaneously. The very well
channels, which may lead to a great increase in feedback
overhead. In multiple-antenna systems the multi-user capacity
known DPC (Dirty Paper Coding) has established the idea that
depends greatly on whether the transmitter knows the channel wireless systems can achieve data rate very close to the
state information (CSI) or not. The Dirty Paper Coding (DPC) fundamental capacity limit of the system by serving multiple
scheme can be employed at the transmitter side to achieve the users simultaneously. In multi-user MIMO systems which have
optimal sum-rate capacity. In systems with large users, obtaining many users at the receiver side, it is better that the transmitter
full CSI from all users may not be practicablet and very complex knows about the channel. So to have full Channel State
hardware implementations are necessary for DPC. On the other Information (CSI) at the base station, a huge amount of
hand, scheduling based on partial channel state information (CSI) feedback is required from the receivers to the transmitter (Base
often results in a loss in sum-rate capacity. We consider an
Station). In case of DPC, full CSIT is required and the
efficient scheduling scheme for multi-user MIMO broadcast (BC)
channel with partial CSI feedback. In this paper, we propose a
implementation of it is very difficult. A relatively high
scheduling scheme for a MIMO BC downlink channel with M bandwidth of the uplink channel is being consumed to carry
transmit antennas at the BS and N users at the receiver side with such a huge amount of feedback. So, now the main aims of the
K antennas each, which allocates independent data streams to researchers are to find an efficient algorithm which will
each of the transmit antenna to transmit to the most favourable consume less feedback but should give a throughput as much
receiving antennas. The receiving antennas are selected based on as high it could be.
their signal-to-interference-plus-noise ratio (SINR). Out of total To reduce the amount of channel feedback and the
NK receive antennas M antennas are selected with the highest computation complexity, some work [6] – [10] has already
SINR values. The resultant throughput versus amount of
been done on the user selection criteria. The amount of
feedback is analyzed and it is observed that the proposed
scheduling algorithm provides more throughput than other feedback is reduced in [11]. The algorithm uses limited
antenna selection algorithms with partial CSIT. feedback. Each of the users at the receiver side selects a pair of
transmits and receive antenna which provide the maximum
Index Terms —MIMO, Broadcast Channel, Channel State Signal-to-Interference plus Noise Ratio (SINR). For large
information, Antenna selection. number of users it is shown that this scheme achieves near
optimal sum-rate. It is also shown that by maintaining a
threshold on the SINR value, the amount of feedback can
I. INTRODUCTION significantly be reduced without much loss in the system
throughput.
M ultiple-Input Multiple-Output (MIMO) is one of the
important communication techniques that allow wireless
systems to achieve higher data rate [1] – [3]. Another
Another SINR Feedback algorithm is discussed in [12]
where each user is having more than one receive antennas. The
benefit of MIMO is the use of spatial diversity which can be basic idea behind the scheduling algorithm described here is
used to provide more reliable communication [4]. MIMO can the each user firstly selects the most favorable transmit and
receive antenna for achieving the maximum SINR and then
feeds back the corresponding maximum SINR along with the
Swadhin Kumar Mishra is with the National Institute of Science and index of the transmit antenna. This scheme is similar to the
Technology, Berhampur, Orissa, India (Phone: 9776644121; e-mail: scheme described in [11]. But it differs from [11] in that
swadhin.mishra@gmail.com). receive antenna selection is used for each user, whereas in [11]
Prabina Pattanayak is with the National Institute of Science and
every antenna is employed as an individual user. Since receive
Technology, Berhampur, Orissa, India (Phone: 8018148448; e-mail: antenna selection is performed at each user, the total feedback
prabina007@gmail.com). load at each time slot is reduced without loss of throughput as
opposed to [11]. The disadvantage of the proposed scheme in
A K Panda is with the National Institute of Science and Technology,
[12] is that only one antenna with the highest SINR is selected
Berhampur, Orissa, India. (Phone: 9437014147; e-mail: akpanda62@
hotmail.com). per user. If an antenna of a user has SINR value, less than the
antenna of the same user with the highest SINR but more than
COMO305-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

an antenna of another user with maximum SINR then the from which the base station selects M for communication. The
selection algorithm will not choose the antenna. Hence using received signal by the nth user at any timeslot is
this scheme not all the best antennas are selected. All these
scheduling schemes are implemented for independent and yn Hnx wn (1)
identical distributed (IID) channels.
In this paper, we propose an algorithm for antenna selection
using partial feedback and compared the throughput where y n is an K 1 vector, H n is a K M complex
performance with that of [12]. In the proposed scheme spatial Gaussian channel matrix from the transmitter to the nth user,
multiplexing and receive antenna selection are both employed. x is an M 1 vector of the transmitted signal and w n is the
Here we propose that each user finds out a set of M highest
SINR values corresponding to the M transmit antennas, and K 1 noise vector ~ CN (0,1) . Each of the elements in the
also the set of M indices of transmit and receive antennas channel matrix is identical and independent distributed (IID)
which achieve the highest SINR. The set of SINR values along complex Gaussian with zero mean and unit variance i.e.
with the set of indices of transmit and receive antennas are hn(k,m) ~CN (0,1) . hn(k,m) represent the complex gain from
feedback to the Base Station. The base station transmits M transmit antenna m to the receive antenna k of user n. The
independent or same message streams to M receive antennas, block fading channel model is assumed. We further assume
irrespective of user to whom they belong with the highest that the channel matrix is known at the receiver, but unknown
SINR. It will be shown that our schemes provides more at the transmitter. It is assumed that the transmitter has a power
throughput than [11], [12]. *
constraint P, i.e. E{Tr (xx )} P . We assume the total
The rest of the paper is organized as follows. Section II
transmit power is equal to unity. The total power is distributed
introduces the system mode. In Section III, a multiuser
equally among all the antennas. Hence the average transmit
scheduling algorithm is proposed for MIMO broadcast
power per antenna is 1⁄M. The power constraint is assumed to
channels and its achievable throughput is obtained. In Section
IV, results of different simulations are being presented. And be per frame. The received signal at the k th antenna of user n
the different results are compared with the simulation results of can be derived from (1) as
[12]. In Section V we presented the conclusion and scope of M
future work. y n (k ) hn (k , m) x(m) wn (m) (2)
The remainder of this paper is organized as follows. m 1
System model is given in Section II. In Section III, we x(m) is the desired signal for user n transmitted through
describe the CLLL algorithm in details. In Section IV, Atenna m with x (m) assumed to be independent for
proposed MIMO BC channel scheduling based CLLL is
individual transmit antennas. Then the signals
introduced. Simulation results and discussions are given in
x(m ), m m are considered as interference for the user.
Section V, and conclusions are drawn in Section VI.
Hence the SINR of y (n) is given as
2
II. SYSTEM MODEL (n)
hk (k , m)
SINR m,k (3)
The wireless MIMO system that is considered here has M M 2
transmit antennas at the base station and N number of users hk (k , m )
with each users having K number of receive antennas as shown n m m

in Fig. 1. So there are K x N number of receive antennas in all


where n is the average SNR of user n.

III. SCHEDULING ALGORITHM


Here we propose an Antenna Selection algorithm where
each user feedbacks some information using the uplink
channel to the Base Station regarding the Channel State
Information (CSI). After receiving the Channel State
Information, the base station selects M number of receive
antennas and transmits M number independent message
streams from the individual transmit antennas to them.
A. Antenna Selection Algorithm
1. Let Smn be the SINR measured by a particular receiving
n
antenna Rmk of the nth user due to transmitting
antenna Tm. The values of m, n and k are as follow :
Fig. 1. MIMO Broadcast Downlink Channel

COMO305-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

1 m M ,1 n N ,1 k K each transmit antenna consisting of the indices of receive


antennas feedback by each user.
Initialize S mn , Rmk
n
and Tm to zero.
B. Throughput Analysis
2. Every user evaluates the Signal-to-Interference-plus- The sum-rate capacity achieved by the system using the
Noise-Ratio (SINR) S mn due to each of the above Antenna Selection algorithm can be given as

combination of transmitting antenna Tm and receiving *

antenna Rk by using (3). Each user have M×K SINR


C (1 SINRmnm,k * ) (4)
n

values out of which it selects M maxiumum SINR n*


values corresponding to each of the transmit antenna. where SINRmm, k * is the SINR of the selected user
n
nm*
The M maximum SINR values, index of the transmit
scheduled by the mth transmit antenna and k m* is the selected
Atenna i.e. Tm and index of the receive antenna i.e.
receive antenna of user nm* .
Rk are fed back by each user to the Base Station.
C. Feedback Load Analysis
3. The Base Station (BS) after receiving all the information As discussed in the scheduling algorithm, the total feedback
feedback by the users, selects a receiving antenna with
load at each time slot is M N real values plus
maximum SINR for each of the transmit antenna
independent of the users as given below: (M N ) log2 M bits for Tm and (M N ) log2 K
Arranging the received information in terms of each bits for
n
Rmk . Whereas the total feedback load at each time
transmit antennas.
for m=1:M slot for the antenna selection algorithm using limited feedback
in [12] is N real values plus N log2 M bits of Tm.
S mn {S m1 , S m2 , S m3 ,.......,S mN }
Rmn 1
{Rmk 2
, Rmk 3
, Rmk N
,......,Rmk } IV. SIMUALTIONS AND RESULTS
end In this section we present the simulation results for the
User selection and antenna selection. throughput analysis for the proposed scheduling algorithm
for m=1:M according to (4). The simulation is performed for M=2 and
K=2 over 10000 iterations. In Fig.2, the simulation result for
nm* arg maxn S mn the achievable throughput versus number of users is shown for
*
( nm ) 0, 5 and 10 dB SNR respectively.
k m* Rmk
end 9

*
where n is the user selected by the transmit antenna m and
m 8

*
k is the selected receive antenna for the corresponding user.
m
7
The basic idea behind the above scheduling algorithm is
that each user calculates SINR for every combination of
Total Throughput (bps/Hz)

6
transmit and receive antenna and feedback the M maximum
SINR corresponding to M transmit antennas, index of 5

transmit antenna and receive antenna to the Base Station. At


the base station both user selection and antenna selection are 4

being performed. Each transmit antenna selects a user and also


the receive antenna of that user which provides the maximum 3

SINR. Since Antenna Selection is being performed at the Base SNR=0dB (Proposed)
SNR=5dB (Proposed)
Station the overhead due to processing at the receiver side is 2 SNR=10dB (Proposed)

reduced. The total number of real values that will be feedback


at each time slot is M N real values (M maximum SINR 1
0 20 40 60 80 100 120 140
No. of Users, N
values from each user) plus (M N ) log2 M bits for Tm Fig. 2. Total throughput of the multiuser scheduling algorithm vs. the
n number of users at various SNR 0,5 and 10dB for M=2 and N=2.
and (M N ) log2 K bits for R mk where x denotes the
smallest integer larger than x.
For each transmit antenna, a set of SINR values feedback by
each user is created. Another cluster of sets are formed for

COMO305-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

8 8
SNR=0dB (Limited Feedback)
SNR=5dB (Limited Feedback)
SNR=10dB (Limited Feedback) 7
7 SNR=0dB (Proposed)
SNR=5dB (Proposed)
SNR=10dB (Proposed)
6
6
Total Throughput (bps/Hz)

Total Throughput (bps/Hz)


5

3 lambda=4dB (Limited Feedback)


2 lambda=8dB (Limited Feedback)
lambda=10dB (Limited Feedabck)
lambda=4dB (Proposed)
2 lambda=8dB (Proposed)
1 lambda=10dB (Proposed)

1 0
0 5 10 15 20 25 30 35 40 0 5 10 15 20 25 30 35 40
No. of Users, N No. of Users, N

Fig. 3. Comparison of Total throughput vs. the number of users between Fig. 4. Comparison of Total throughput vs. Number of users using the
the proposed scheduling algorithm and the limited feedback threshold Sth for the proposed method and the limited feedback method.
scheduling algorithm.

Fig. 3, presents a comparison between the proposed MIMO


broadcast scheduling algorithm and the limited feedback V. CONCLUSIONS
scheduling algorithm described in [12]. It is shown that our
We have investigated various multiuser antenna selection
proposed scheduling algorithm performs better than the limited
feedback algorithm at the expense of slight increase in amount algorithms for MIMO broadcast channel. Our approach differs
of feedback. It is observed that the total throughput of both the from the other antenna selection in that both the users as well
schemes attains nearly constant values as the number of users as the antenna selection are done at the transmitter side
increases. It is obvious as the number of users increases the whereas in other antenna selection algorithms the antenna
antenna selection algorithm has more number of receive selection is done at the user side. The total throughput
antenna to choose the best users. achieved by our approach is more than the other antenna
It is observed that the overall performance of our algorithm selection approach at the slight cost of feedback load. It is also
is better than the limited feedback scheduling algorithm. seen in the modified approach that the feedback load is greatly
Next we modify our scheduling algorithm to reduce the reduced, by implementing a selection based on a threshold
overall feedback load and produce the performance of the value of SINR, with an insignificant loss in the total
modified scheme. In the modified approach, instead of throughput. All the discussed antenna selection algorithms
feedback all the SINR values, we introduce a SINR threshold assume the channel to be IID. In [13], the antenna selection
at the receiver side. After calculating all the SINR value as (3), algorithm of [12] is implemented for non- IID channels. The
the receiver side feedback the SINR values, along with the proposed scheme can therefore be implemented for non-IID
corresponding indices, which are great than the set threshold. channels. This scheduling algorithm will be having good effect
A simulation is performed of the modified multiuser feedback on the cases where a small increase in the feedback does not
algorithm with M=2, N=2 at SNR of 10 dB for threshold affect the system a much.
values of Sth=4, 8 and 10 db. The simulation results are
compared with the original scheduling scheme as well as the
modified limited feedback algorithm in [12].Fig. 4 show the
REFERENCES
performance of the modified scheme in terms of the total
throughput. [1] I.E. Telatar, "Capacity of multi-antenna Gaussian channels”, European
Trans. Telecom., Vol. 10, No. 6, pp. 585-595, Nov. 1999.
There are two outcomes which can be observed from the
[2] G. Caire, S.Shamai, “On the achievable throughput of a multiantenna
simulation result. The modified approach of using a threshold Gaussian broadcast Channel” IEEE Trans. Inf. Theory, Vol. 43,
performs as good as the original approach for a threshold pp.1691-1706, Jul 2003.
value of Sth= 4 dB. And it is also observed that the modified [3] S. Vishwanath, N. Jindal, A. Goldsmith, “Duality, achievable rates &
approach implemented in our algorithm perform better than if sum-rate capacity of Gaussian MIMO broadcast channel” IEEE Trans.
Inf. Theory. Vol. 49, No 10, pp 2658-2668, Oct 2003.
implemented in the limited feedback scheduling scheme of
[4] Z.Tu and R.S.Blum, “Multiuser diversity for a dirty paper approach,”
[12]. IEEE Commun. Lett., vol. 7, pp. 370-372, Aug. 2003.
[5] S.M. Alamouti, “A simple transmit diversity technique for wireless
communication,” IEEE J.Select. Areas Commun., vol. 16, pp. 1451-
1458, Oct. 1998.
[6] K. K. Wong, R. D. Murch, and K. B. Letaief, “A joint channel
diagonalization for multi-user MIMO antenna systems,” IEEE Trans.
Wireless Commun., vol. 2,pp. 773-786, July 2003.

COMO305-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

[7] C. Swannack, E. Uysal-Biyikoglu, and G. W. Womell, “MIMO


broadcast scheduling with limited channel state information,” in Proc.
Annual Allerton Conf. on Commun., Control and Computing, Sep.
2005.
[8] A. Bayestech and A. Khandani, “On the user selection for MIMO
broadcast channels,” in proc. IEEE Int. Symp. Inf. Theory, Adela
Adelaide, Australia, Sept. 4-9, 2005, pp. 2325-2329.
[9] N.Jindal, W. Rhee, S. Vishwanath, S. A. Jafar and A. Goldsmith, “Sum
power iterative water-filling for multi-antenna Gaussian broadcast
channels via dual decomposition,” IEEE Trans. Inofrm. Theory, vol. 52,
pp. 754-759, Feb. 2006.
[10] N.Jindal, “MIMO broadcast channels with finite rate feedback,” in Proc.
IEEE Global Commun. Conft., St. Louis, MO, USA, Nov. 28-Dec. 2,
2005, vol. 3, pp. 1520-1524.
[11] M.Sharif and B.Hassibi, “On the capacity of MIMO boradcast channels
with partial side information,” IEEE Trans. Inform. Theory, vol. 51, no.
2, pp. 506-522, Feb. 2005.
[12] Wei Zhang, Khaled B. Lateif, “MIMO Broadcast Scheduling with
imited Feedback,” IEEE Select.Areas Commun, Vol. 25, pp. 1457–
1467, Sep.2007.S. M. Metev and V. P. Veiko, Laser Assisted
Microtechnology, 2nd ed., R. M. Osgood, Jr., Ed. Berlin, Germany:
Springer-Verlag, 1998.
[13] Swadhin Kumar Mishra, Sidhartha Panda, and C. Ardil, “MIMO
Broadcast Scheduling for Weighted Sum-rate Maximization”
International Journal of Electrical and Computer Engineering 4:15
2009, pp. 930-935, 2009.

Swadhin Kumar Mishra received his B. Eng. degree in


Electronics and communication engineering from Orissa Engineering
College, Bhubanswar, India, his M. Tech. degree in communications
system from Indian Institute of Technology,Madras in 2000 and 2009
respectively. He is presently working as an Assistant Professor in the
department of Electronic and Computer Engineering at National
Institute of Science and Technology, Berhampur, India. His current
research interests include multiuser MIMO, MIMO-OFDM, and network
coding.

Prabina Pattanayak received his B. Tech. degree in Electronics and


TeleCommunication engineering from National Institute of Science
And Technology, Berhampur, India. Presently he is pursuing M. Tech.
degree in Wireless Communication Technology at National Institute of
Science And Technology, Berhampur, India. His current research
interests include Wireless Communication, MIMO and different
scripting languages used for protocol testing.

A K Panda obtained his Ph.D degree in 1996 and is now working as


Professor at National Institute of Science and Technology. He was a
BOYSCAST fellow of Government of India to University of Michigan in
the year 1999-2000. He is a Regular Associate of Microprocessor
Laboratory of ICTP, Trieste, life member of IETE, ISTE and member
of VLSI Society of India and IEEE. He is also the coordinator of
TIFAC-CORE project on “3G-4G wireless communication”. His
research interest includes VLSI based Communication System.

COMO305-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

HILBERT ANTENNAS IN MOBILE , RADAR AND


LIGHT COMBAT AIR CRAFT TECHNOLOGY
KUMAR ASHOK[1], D.SUNITA[2],SINGH PUSHPENDRA[3]
[1]DEPARTMENT OF ELECTRONICS ENGINEERING,
KAMLA NEHRU INSTITUTE OF TECHNOLOGY, SULTANPUR
FORMERLY HARCOURT BUTTLER TECHNOLOGICAL INSTITUTE, KANPUR (INDIA)
e-mail- akprasad212@yahoo.co.in phone no +919415094287

[2]SCIENTIST B,ADA,MINISTRY OF DEFENCE,BANGALORE


e-mail- sunitadeviiet@yahoo.co.in phone no 9450333743
,
[3]HOD DEPARTMENT OF ELECTRONICS ENGINEERING,CEST,LUCKNOW
e-mail- pushpendra_hbti05@yahoo.com phone no 9415157735

ABSTRACT
VLSI technology is boon to our communication
technology.With the widespread proliferation of
telecommunication technology in recent years, the
need for small size multi band antennas have been
increased manifold. Hilbert antenna is one of the
example for the same .By the invention of monolithic
I.C fabrication technology i.e V.L.S.I this antenna can
find many application applications in VHF/UHF
communication . A lot of Hilbert antennas can be
designed on single substrate. This yields to a
reduction of size which is the basic need of wireless
technology. As we know the Universal truth of
resistance ,that it use to to take maximum area in IC
fabrication technology which is the main motto of
LCC viz. TEJAS. Hence, for LCC the selected radome
and antennas should be such that , it has lesser
weight & highly strong ,so that It can become
aerodynamically stable

In this work, it has been proved that mutual coupling


in side by side configuration is highest than that of
collinear, stacked or echelon form and resistance
increases for the closure spacing of elements of
Figure 1.1
Hilbert antennas using Matlab software .It has also
been proved that mutual impedance is directly
proportional to directivity and radiation resistance of
transmitting and receiving antennas

COMO306-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

7cm, is shown to result in a resonant frequency of 267Mhz, which


is much

lower than any other resonant antenna of similar size. There is no


need of balun.
INTRODUCTION
1.4 PROBLEMS WITH HILBERT ANTENNA AND ITS IMPROVEMENT
1.1 GENERAL
The slight mismatch in this antenna is attributed to the feed
arrangement being unbalanced. The low values for the real part of
The role of space filling curve is most eminently used technology the impedance are consistent with other similar small antenna
for analyzing small antennas. The design of small antennas with such as Koch antenna & small meander-line antennas.
multi band characteristics has been the subject interest of of RF
communications in recent years. It is a continuous mapping from a However, by using impedance matching
normalized one-dimensional [0,1] interval to a normalized two circuits, or even by charging the feed location, this can be
dimensional 2D region [0,1].
remedied. Bandwidth is narrowed for higher iteration orders while
There are many curves, which are dependent on space filling
principle. Hilbert has propped one most widely curves in the cross polarization level is decreased in the non-symmetrical
plane of antenna. For a Hilbert antenna occupying a fixed square
1891.Hilbert curve may provide an attractive solution for
miniaturization of Antennas since it offers a resonant structure surface area of LxL and increasing ‘n’ from 1 to 5 significantly
lowers the resonant frequency by a factor of three or higher. This
that may have a small footprint, as one increases the step order in
iterative filling of a 2D region. yields to give reduction in bandwidth. The actual practical antenna
must satisfy the specific bandwidth, gain and pattern
Hilbert Antennas can be matched to a feed line with a given characteristics, these miniature printed slot antennas with dual
characteristics impedance e.g. 50 ohms at its fundamental band characteristics clearly show potentials for future mobile
resonant frequency. In this, feeder can be located closure to the wireless communication.
end rather than feeding it at the center.
1.5 EFFFECT OF VARIOUS PARAMETERS IN HILBERT
ANTENNA
According to figure 1.2 there are following parameters, which use
to effect Hilbert antennas highly.
1.2 NEED OF HILBERT ANTENNA
In the modern era of telecommunication technology the need for
1.LENGTH With increase in antenna length L the two resonant
small size multi band Antennas has increased manifold.
frequency shift lower. However antenna matching can be
However an arbitrary reduction in the Antenna size would result in improved by adjusting the micro strip length and substrate
a large reactance and deterioration of radiation efficiency. Hilbert thickness.
Antenna is very useful in VHF/UHF applications viz.
Poor impedance matches for narrower slots can be remedied by
telecommunication, wireless technology etc.
adjusting the length of micro strip T-junction. However, with
1.3 ADVANTAGES OF HILBERT ANTENNA increase in slot width there exist requirement of addition of a
shunt capacitance
The important advantage of using Hilbert curves/antenna is the
incorporation of its plane – filling characteristics to realize 2. DIELECTRIC CONSTANT The low and high resonant frequency shifts
lower as dielectric constant increases. For dielectric constant upto
resonant antennas with a smaller overall physical size e.g. Fourth
4.4 the ‘S11’ characteristics are good. With further increase in
iterated fractal Hilbert curve geometry inscribed in a sqaure of side dielectric constant to 6 the ‘S11’ data degrades. Which can be
improved by proper choice of thickness and spreading (x).
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

3. SUBSTRATE THICKNESS Changing the substrate thickness ‘h’ has


significant effect on input impedance of the antenna e.g. if a
substrate thickness of 0.4mm is desirable ‘x’ needs to be increased 2 MATHEMATICAL ANALYSIS
in order to get optimum matching. Similarly, when ‘h’ is equal to

Figure 1.2 2.1MUTUAL IMPEDANCE OF TWO PARALLEL LINEAR


ANTENNAS
The mutual impedance of two coupled circuits is defined in circuit
1mm there exist requirement of decrement of ‘x’ slightly to theory as the negative of the ratio V21 induced in the output of the
achieve a better high band matching. circuit to the current I1 flowing in input of the circuit with output
4. SPREADING For smaller values of ‘x’ the high band matching is open. Consider the circuit shown in figure 6. The mutual
superior to improve and for x=1mm both bands are fairly well
impedance Z21 is then
matched. It has been observed for ‘x=1.5mm the bandwidth in the
low and high bands are1.7% and 2.2% with in (-) 9.5db. Further
increase in ‘x’ deteriorates the high band performance. Increasing Z21= -V21/I1. …………………………(4)
‘x’ yields to better matching.
Geometry of the proposed Hilbert slot antenna
Parameters: substrate thickness=h, dielectric
5. AXIAL LENGTH It is given by
constant= r
Lax = 0.80 g

The equation used for this purpose are:

For 2.22 r 3.8

0.945
g/ o = 1.045-0.365 ln r + {6.3(w/h) r / (238.64+100w/h)}-
[0.148 – (8.81( r +0.95))/100 r] ln (h/ o)

For 3.8 r 9.8

g/ o =0.9217 –0.277 ln r + 0.0322 (w/h) [ r / (w/h +0.435)] 1/2 –


2
0.01 ln h/ o [4.6 – 3.65/ r (w/ o) 1/2 (9.06 – 100w/ o)]

It is clear that for all the cases studied a third order Hilbert slot
antenna can be designed with an approximate axial length, Lax =
0.80 g where g is the guided wavelength given in [2].

While actual practical applications of such antennas must satisfy


the specific bandwidth, gain, and pattern characteristics, these Fig-2.1 COUPLED CIRCUIT OF TRANSFORMER
miniature printed slot antennas with dual-band characteristics
clearly show potentials for future mobile wireless applications.
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Z21= -V21/I1= -1/I1 0L E21 sin z. dz (6)

fig. 2.3Geometry of the Hilbert Curves for the first four Iterations

This is the general expression for mutual impedance of two thin


linear, parallel, center-fed antennas with sinusoidal current
distribution as shown in fig. 2.2.

2.3 PROPERTIES OF FRACTAL PATTERNS

The Fractal patterns consist of identical or similar elements


Fig-2.2 PARALLEL COUPLED ANTENNAS repeated in different magnifications, orientations and positions
most often in an interconnected passion to obtain the final
Now instead of the coupled circuit of figure 2.1 Let us consider the structure.
case of 2-coupled antennas 1 and 2 as shown in fig. 2.2 Suppose a
current I1 in antenna 1 induces an emf of V21 at the open terminals Once optimize for radiation characteristics these Antennas can
of antenna 2. Thus find many applications in UHF/VHF communications. These
Antennas resonate at frequencies in a near logarithmic interval.
Z21= -V21/I1
The individual bands at these resonant frequencies are generally
If the generator moved to the terminals of antenna 2 then by small. However their relative positions can be controlled, by
reciprocity theorem the mutual impedance – perturbing the shapes of the Fractal geometry of the Antenna
configuration. The important features of these Fractal Antennas
V21/I1= Z21=Z12=-V12/I2 (5) include low profile, the possibility of multiple frequency band and
moderated gain.
The emf V21 induced at the open terminals of antenna 2 by the
current in antenna 1 is given byV21=(1/I2) 0L I2E21 dz. 2.4 FRACTAL HILBERT CURVES
If we put four copies of previous iteration, connected to additional
Where I2 is max. Current and Iz is the value at a distance z from line segments, we get geometry of Hilbert Antennas. e.g. geometry
lower end of antenna 2 with its terminal closed and where E21 is of order one (arranged in different orientations), connected to the
the electric field along antenna 2 produced by antenna 1. additional segments shown with dashed lines in figure no. 2.3

Assuming that this current distribution is sinusoidal as given by Iz It would be interesting to identify the Fractal properties of this
=I2 sin z. geometry.

So, V21= 0L E21 sin z. dz Then The plane filling nature is evident by comparing the first few
iteration of geometry shown in figure no. 2.3

It should be noted that geometry is not strictly self-similar since


additional connection segments are required. When an extra
iteration order is added to an existing one. But the contribution of
this additional length (shown by dashed lines) is small compared to
overall length of geometry, especially when the order the iteration
is large.

Hence, the small additional length can be disregarded which


makes the geometry self-similar.

2.5 HILBERT GEOMETRY


CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

There are many Hilbert curves for first little iteration as shown in D=log [(4n-1)/(4n-1-1)]/ log [(2n-1)/(2n-1-1)]
figure no. 2.3 Let us consider side by side dimension of Hilbert

Antenna is ‘L’ and order ‘n’. = (For large n) log (4n/(4n-1)/ log (2n)/(2n-1)

Fig.2.4 Axial length of the proposed Hilbert slot antenna =Log4/log2

=2.

The length of each line segment ‘D’ is given by The similarity dimension of this curve approaches to an integer
value (2) because of the approximation involved when a large
D=L0+L1+L2+--------------------Ln = L/(2n-1) Fractal order is considered.
(Geometrical Progression)…(1)
2.7 MUTUAL COUPLING EFFECTS IN HILBERT
And the sum of all the line segments ANTENNAS
The major problem in Hilbert Antennas is mutual coupling
S=(22n-1) D=(2n+1) L …(2) between two such Antennas. Two identical Hilbert antennas can
be located in following configurations (as shown in figure no.2.5)
It should be noted that the resonant frequency is decreased as the
iteration order is increased. 1 Collinear

2.6 DIMENSION OF HILBERT GEOMETRY 2 Side by side


According to multiple copy algorithms the dimension D is defined
as 3 Stacked (Echelon)
D=log (N)/log (1/f). …………(3)

Where N is the number of copies and f is the scale factor of


consecutive iterations. The dimension of the Hilbert curve is
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Fig2.5 Three Arrangements Of Two Parallel Elements Of Hilbert


Antenna

Fig. 2.6 Three Dimentional View Of Hilbert Antennas

In, Vertical Position, Horizontal Position, Rotated Position


COMO306-6
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

2.8 MUTUAL IMPEDANCE OF PARALLEL SIDE BY SIDE Let d be separation of antennas and L be the length of elements
ELEMENTS OF HILBERT ANTENNA (MATHEMATICAL (as shown in fig. 2.7)
ANALYSIS)

Where the exponential integral is given by,

Ei(+-jy)= Ci(y)+-jSi(y)

Thus the mutual resistance is given by,

R21=30[2Ci( d)-Ci { ( d2+L2 +L)}- Ci { ( d2+L2 -L)}] (7)

Fig- 2.7 Parallel Coupled Antennas With Dimensions and

By diagram, X21=-30[2Si( d)-Si { ( d2+L2 +L)}- Si { ( d2+L2 -L)}] (8)

r1= d2+z2 and r2= d2+(L-z)2 IT should be noted thatZ21=Z12=R21+jX21=R12+jX12

The mutual impedance then becomes, Where L=N /2 For N Odd

Z21= R21+j X21=j30 0L[{exp (-j d2+z2)}/( d2+z2)+ {exp (-j d2+(L- The mutual resistance and reactance decreases with distance
z)2}/( d2+(L-z)2)]Sin z. dz between elements of Hilbert antenna. The exact expression where
the antenna length L is not restricted to an odd number of /2, the
=30[2 Ei(-j d)- Ei(-j ( d2+L2 +L)- Ei(-j ( d2+L2 -L)]
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

mutual resistance and reactance are given by BROWN and KING as Spacing s=h-L, CARTER gives the mutual resistance and reactance
[5] as
R21 = -15Cos h [-2Ci2 h +Ci2 (h -L) + Ci2 (h +L) -ln {(h2-L2)/ h
2
R21=30[2(2+Cos L) Ci d-4Cos2 ( L/2){Ci ( 4d2 +L2 - L)/2+ }]+15Sin( h)[2Si2 h -Si2 (h -L) - Si2 (h +L)] .
Ci ( 4d2 +L2 + L)/2}+ Cos L {Ci ( d2 +L2 - L) + Ci ( d2 +L2 + …(11)
L)}+Sin L {Si ( d2 +L2 + L)- Si ( d2 +L2 -L)-2 Si ( 4d2 +L2 + L)/2+
X21=15Sin h [2Ci2 h -Ci2 (h -L) - Ci2 (h +L) -ln {(h2-L2)/ h 2}]-
2Si ( 4d2 +L2 - L)/2}]/Sin2 ( L)/2 . (9)
15Cos( h)[2Si2 h -Si2 (h -L) - Si2 (h +L)] .
And …(12)
X21=30[-2(2+Cos L) Si d-4Cos2 ( L/2){Si ( 4d2 +L2 -
L)/2+Si ( 4d +L + L)/2}+ Cos L {Si ( d +L - L) + Si ( d2 +L2 +
2 2 2 2 Curves for R21 & X21 of parallel collinear /2 antennas and L= /2
L)}+Sin L {Ci ( d2 +L2 + L)- Ci ( d2 +L2 -L)-2 Ci ( 4d2 +L2 + are presented as function of spacing s. It has been proved that, the
L)/2+ 2Ci ( 4d2 +L2 - L)/2}]/Sin2 ( L)/2 . mutual resistance and reactance decreases with distance between
(10) elements of Hilbert antenna.

32..10 MUTUAL IMPEDANCE OF PARALLEL ELEMENTS OF


In the special case of L=N /2 for N is Odd the above expressions
HILBERT ANTENNA IN ECHELON/STACKED FORM
reduce to relations given previously i.e. equation 7and 8 For this is let us suppose each element is an odd number of /2
long. CARTER and KING give the mutual resistance andreactanceas
2.9 MUTUAL IMPEDANCE OF PARALLEL COLLINEAR
ELEMENTS OF HILBERT ANTENNA
According to Figure 2.5(b),

R21 = -15Cos h [-2CiA-2CiA’+CiB+CiB’+CiC+CiC’+ +15Sin h [+2SiA-2SiA’-SiB+SiB’-


SiC+ SiC’+ . …(13)

X21=-15Cos h *+2SiA+2SiA’-SiB-SiB’- SiC- SiC’ ++15Sin h[ 2CiA-2CiA’-


CiB+CiB’-CiC+CiC’+ …..(14)

Figure 2.8c Where,


CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

A= [( d2+h2) +h] (3) Periodic function

A’= [( d2+h2) -h] 2.12 MUTUAL IMPEDANCE IN TERMS OF DIRECTIVITY AND


RADIATION RESISTANCE
Consider a transmitting antenna and a receiving antenna separated by
B= [{ d2+(h-L)2} +(h-L)]
a distance r. The power delivered to the receiving antenna load under
B’= [{ d2+(h-L)2} -(h-L)] matched conditions is

C= [{ d2+(h+L)2} +(h-L)] Pr=(Vr/2)2/Rr (W) ………..(17)

Where
C’= [{ d2+(h+L)2}-(h-L)]
It has been proved that, the mutual resistance and reactance
decreases with distance between elements of Hilbert antenna. Vr=Voltage induced at terminals of receiving antenna,

The stacked or Echelon arrangement is most general solution of which Rr=Radiation resistance of receiving antenna
the side-by-side position and the collinear position are the special
The power transmitted is given by
cases and it is highly dependent on orientation.

Pt=It2Rt (W) .
2.11 MUTUAL IMPEDANCE OF ELEMENTS OF HILBERT
ANTENNA IN INCLINED FORM ……………… (18)
Referring to the shown fig.2.9 Consider the length of the element is L
and L’ separated by a distance R with orientation angles and ’ as Where
indicated. Let us assume L <<1, L ’<<1 and r >>1. The mutual
It=Current at terminals of transmitting antenna
impedance Z21 is given by

Rt=Radiation resistance of transmitting antenna

From (1) & (2) the magnitude of mutual impedance of antenna is

Mod Zm=Vr/It=2 (PrRrRt)/Pt.

From the FRIIS transmission formula

Pr/Pt=ArAt/r2 2
……………….(20)

Where

Ar=Effective aperture of receiving antenna

At= Effective aperture of transmitting antenna


Fig-2.9 Two Elements Of Hilbert Antenna In Oriented Form
From 19
Z21=V21/I1=60 L /r sin exp (-j r) L’ sin ’
…………(15) Zm (max)=2 (ArAtRrRt/r2 2)
……………(21)
Z21=(Magnitude)(Orientation)(Periodic function)
2
Substituting, directivity D=4 A/
Z21=(60 L L ’/r ) (sin sin ’)(sin 2 r +jcos 2 r )
The maximum mutual impedance can be expressed as
With maximum value -------------(22)

Z21 (max)= 60 L L ’/r Mod Zm (max)= ( DrDtRrRt)/2 r


………………(16)
Dr =Directivity of receiving antenna
Hence, in the inclined form it has been proved that, the mutual
impedance depends on three factors: - Dt = Directivity of transmitting antenna

(1) Magnitude Rr = Radiation resistance of receiving antenna

(2) Orientation
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Rt = Radiation resistance of transmitting antenna /2.

With wide spread proliferation of telecommunication technology in


recent years, the need of small size multi band antennas has increased
many fold. Once optimize for radiation characteristics Hilbert antennas
can find many applications in UHF/VHF communication antennas,
which is most eagerly wanted technology in this telecommunication
era.

Figure 3

r = Separation in wave lengths By the invention of monolithic IC fabrication technology these


antennae can be design in more compact form on single substrate.
Fig. 2.10 Configurations Of Different Hilbert Antennas
This research will provide guidelines to new researchers for further
research in area of fabrication and design of many Hilbert antennae on
Thus, mutual impedance of two antennas is a function of their
single substrate. This will yield to reduction in area, which is the basic
directivities, aperture and radiation resistance. It has been assumpted
need of wireless and microwave communication systems. (As shown in
as r>> .
figure 3)
3 SIMULATION AND RESULTS
The expression discussed in the previous articles 8.Future aspects
for the calculation of mutual resistance and reactances have been
simulated by MATLAB software. The results obtained have been VLSI technology is boon to our electronics
attached in the succeeding papers. technology.Radomes are used in spacecrafts and aircrafts.
In India Department of Defence is developling a light
On the basis of the results obtained it has been proved that at combat craft (lcc)since 1980. Till now Dep’t. Has
closure spacing of Hilbert antennae the mutual resistance and
reactance increases rapidly. This yields to higher gain and higher developed three high combat crafts. The main issue is of
efficiency of Hilbert antenna. It has been also found that mutual lesser weight of crafts. There exists developments of
resistance and reactance is maximum in the case of side-by-side RS422 interface which use to convert the parameters of
arrangement. outer environment in to different voltage level (like
4. CONCLUSION AND DISCUSSION
On the basis of analytical studies and simulation results the effects of temperature, humidity etc.)The different levels are
spacing of Hilbert antennae in different configurations have been voltage, resistance temperature detector, thermocouple,
studied numerically using MATLAB software. It has been found that temperature pressure scanner, voice, synchronous angle,
mutual resistance and reactance increases for closure spacing of fine events etc. There exist a technology by which
Hilbert antennae. It has been proved that at larger spacing of the resistance of a cut can be decreased. As we know the
elements of Hilbert antenna the total radiation resistance and Universal truth of resistance ,that it use to to take
reactance decreases rapidly. However, the stacked, echelon form the maximum area in IC fabrication technology which is the
radiation resistance and reactance is highly dependent on orientation main motto of LCC viz. TEJAS. Hence, for LCC the
selected radome and antennas should be such that , it has
The important advantage of Hilbert antenna is incorporation of its lesser weight & highly strong ,so that It can become
plane filling characteristics to realize resonant antenna with smaller aerodynamically stable. By the invention of monolithic
overall physical size. I.C fabrication technology i.e V.L.S.I this antenna can
find many application applications in VHF/UHF
This antenna is simple & self -similar, there curves have the additional communication . A lot of Hilbert antennas can be
property of approximately filling a plane. These properties are designed on single substrate. This yields to a reduction of
exploited in realizing a small resonant antenna. This approach has size which is the basic need of wireless technology.
resulted in an antenna size smaller than /10 and still resonant with
performance comparable to a dipole whose resonant length is close to
5.REFERENCES
1 ZHU JINHUI, HOORFAR AHMAD, E.NADER
“Bandwidth, cross polarization, feed point
characteristics of matched Hilbert antennas”.

2 SAYEM.T.M and ALI. M. “Characteristics of micro


strip fed miniature printed Hilbert slot antenna”.
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

3 VINAY.J.K, JOSE. K.A., VARADAN. V.K and 17-Some related websiteswww.sciam.com,


VARADAN. V.V “Hilbert curve Fractal antenna “. www.hypertextbook.com,
4 4 GONZALEZ-ARBESU J.M., BLANCH S. and www.mpdigest.com/issues/articals/may2008
ROMEU J. ‘The Hilbert curve as small self
resonant monopole from practical point of view”. 18. Chan. K. K, Chang.P.R & Hsu.F, “Radome design
5 J.C. EDWARD &B.G. KEITH, “Electromagnetic waves by simulated annealing technique” IEEE Trans
and Radiating systems” PHI Second Edition. 07803-07305/92.1992
6 BROWN G.H. and R. KING, “High frequency models 19. Nie.X-C, Yuan.N, Li.W.L, Yeo.T.S, Gan.Y.B. “Fast
in antenna investigation”. analysis of electromagnetic transmission through
7 CARTER P.S. “Circuit relations in radiating systems arbitrarily shaped airborne radome uses
and applications to antenna problems”. precorrcted FET method”, process in
electromagnetic research, PIER 54, 37-59, 2005.
8 KING H.E., “Mutual impedance of unequal length
antennas in Echelon

91Raghavan V “Material science and Engg.” 3rd


edition PHI ND 1993

10-Skolnic I Merrill “Introduction to radar system”


3rd edition TMH.

11-J. Decker “Engineering Materials”TMH

12-S.Reuven “Scattering effect of seams on


sandwich radome performance”

13- Chassell Rick “The effect of rain on microwave


landing system antenna radomes ” IEEE symposium
on antenna technology & applied electromagnetic
Winnipeg Canada,Aug 15-17,1990

14-Boumens Morcel and Wagner Ulrike ,”spherical


near field radome test facility for nose mounted
radomes of commercial traffic aircraft”Orbit /Fr-
Europe Gmblt Johann –Seb astion –batch ,Str
11,85591 Vaters stetten ,Germany

15-Griffith lances “A fundamental and technical


review of radome“, May 2008

16-“Electric field on Earth “The physics Fact book


edited by Glenn Elert and Atmospheric electricity
by ELAT.Institute National de Pesqesus Spacious
June 2005.
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

X=spacings

Y=Ohms

Graph-1

MUTUAL RESISTANCE OF TWO PARALLEL SIDE-BY-SIDE ELEMENTS OF HILBERT ANTENNAS


CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

X=spacings

Y=Ohms

GRAPH-2
MUTUAL REACTANCE OF TWO PARALLEL SIDE-BY-SIDE ELEMENTS OF HILBERT

ANTENNAE

COMO306-13
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

X=spacing=Ohms

Graph 3
MUTUAL RESISTANCE OF TWO PARALLEL CO-LINEAR ELEMENTS OF
HILBERT ANTENNAE

COMO306-14
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

GRAPH-4

MUTUAL RESISTANCE OF TWO PARALLEL CO-LINEAR ELEMENTS OF HILBERT ANTENNAS

COMO306-15
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Error modelling of BFSK over Rayleigh Fading


Channel – A Statistical Frame Work
Vicky Singh1, Amit Sehgal2, Rajeev Agrawal3
1,2,3
ECE Department, G.L. Bajaj Institute of Technology and Management, Gr. Noida

1
vicky.glbitm@gmail.com, 2 amitsehgal09@gmail.com, 3 rajkecd@gmail.com

Abstract- Frequency flat, fast Rayleigh fading may be one becomes motivated to search instead for a
considered the most critical disturbance in a wireless solution that is simple in form and also simple to
communication system. In its most general form, it is modeled
as a multiplicative time continuous random (zero mean
evaluate. Another cause for this motivation is that
complex Gaussian) distortion of the transmitted signal. In the methods used to derive these alternative simple
order to obtain a reliable wireless link for time continuous forms must also be applicable to situations where
Rayleigh fading channel, each part of the system must be the closed-form solutions are not easily obtainable.
carefully designed. The special properties of the channel are There are several performance parameters of a
used as starting points in the design. Over the last 10 years a
tremendous amount of work has been presented about
digital communication system like SNR, amount of
communication over the Rayleigh fading channel. It seems fading, average outage duration, outage probability,
that much of the research has used techniques developed for packet error rate etc. but even till date BER is
Additive White Gaussian Noise (AWGN) channel as the assumed to be one of the most important and critical
starting point. In several cases this has put unnecessary parameter for analysis of communication systems. It
restrictions on the system design and has led to poor
performances. This means that less works use the Rayleigh
is the one that is most revealing about the behavior
fading channel as the starting point. In this paper, we present of a communication system. The search for and
a statistical frame work for estimation and calculation of ability to find a statistical frame work for problems
average bit error rate (BER) of binary frequency shift keying dealing with the evaluation of BER over generalized
(BFSK) under Rayleigh fading channels. A detailed approach fading channels motivated us to write this paper.
to obtain BER expressions for BFSK in presence of AWGN
channel is available in several published material. A little or
no material is available for fading channels from the Two different approaches have been discussed in
perspective of undergraduate students. The statistical this paper to obtain mathematical model of BER
framework presented is a step by step procedure to derive the estimation and calculation for BFSK under Rayleigh
expressions for BER. Two different approaches have been fading channel. In the first method error function is
investigated in this paper to obtain these expressions. The
expressions thus obtained have been used for comparative
used while the second approach is based on Moment
study of BPSK and BFSK in terms of BER through the Generating Function (MGF) using Gaussian Q
simulation. Following the same approach BER of other shift function. Starting from the basics of the Rayleigh
keying techniques can be easily derived and investigated. fading we develop the final expression in a lucid
Keywords —Gaussian Q function, Error function, MGF, Bit manner so that undergraduate students may get an
Error Rate, Rayleigh Fading, BFSK. exposure to the mathematics behind the expressions
of the BER over fading channels.
I. INTRODUCTION A similar work for BPSK has been presented by the
authors of this paper and is available in [4].
Regardless of the branch of science or engineering,
theoreticians have always been enamored with the
notion of expressing their results in the form of II. ERROR FUNCTION BASED APPROACH
closed-form expressions. But the elegance of closed-
form solution is often neglected. First due to
complexity of its form and the second difficulty in In a multipath channel, received signal consists of a
large number of plane waves whose complex low
computing it numerically. Under such a scenario,

COMO401-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

pass signal can be modeled as circular symmetric However in the presence of Rayleigh fading
Gaussian random variable and is of the form, amplitude  , the effective bit energy to noise ratio
  re  jim (1)  2 Eb
is . So the conditional BEP for given value of
If none of the multipath component is dominant, N0
 re and im are Gaussian processes of 0 mean  is
independent and identically distributed Gaussian
random variable of 0 mean and variance  2 . 1  2 Eb 1 
p(b;  )  erfc( )  erfc( ) (6)
2 2 N0 2 2
The magnitude  is a Rayleigh random variable
which has a probability density given as [6, page no.  2 Eb
524]. Where  
N0
   
2
p(  )  2 exp  2  (2) To find the error probability over all random values
  2  of  2 , we have to evaluate the conditional PDF
This represents the Rayleigh fading channel model p( E;  ) over the PDF of  .
which mitigates the signal propagation in Non Line
of Sight (NLOS) channel suffering from multipath For Rayleigh fading,  is a Rayleigh distributed
fading. random variable, therefore  2 is a chi-square
When Rayleigh fading is present, the received distributed with two degrees of freedom. Since
carrier amplitude is attenuated by the fading  2 is chi-square distributed,  is also chi-square
amplitude  , which is a random variable with mean
distributed. The PDF of  is given as
square value  2 and probability density function

(PDF) dependent on the nature of the fading 1
p ( )  e   0 (7)
channel. 
Now the received instantaneous signal power is Now to find the BEP over Rayleigh fading channel,
attenuated by Eb N0 and therefore instantaneous we have to evaluate conditional BEP over PDF of
Signal to Noise Ratio (SNR) is given as [6, page no.  [page no252, 7]
527]

  Eb N0
2
(3) pb ( E )   P(b;  ) p ( )d (8)
0
Average SNR is given as 

1  
2 0
   Eb N 0
2
(4) Or pb ( E )  erfc ( )e d  (9)
2
Due to such a condition imposed by fading, Bit Consider
Error Probability (BEP) of any modulation scheme
is obtained by replacing Eb N0 by  in the     
I1   erfc  e d  (10)
expression for AWGN performance. This is known
 2
as conditional BEP and it is denoted by pb ( E;  ) .

The BER of BFSK over AWGN channel is given by Now putting  x and   b (11)
2
[6, page no. 529] as
eq. (10) can be written as
1 Eb
pb ( E )  erfc( ) (5) 
2x
2 2 N0 I1  2 erfc( x )e b dx (12)

COMO401-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Or I1  2I 2 (13) 
  2  
0
1 
pb ( E )  [ erfc( y )e    erfc  

2x
2  2   2
Where I 2   erfc( x )e b
dx (14)  

2 x (23)
 b  b
   erfc( x )e b
 I3 (15)
 2  2  This finally results into desired result as shown
 b2  1 below
 x 
Where I3   e  b  2
x dx (16)
1  
b2 Pb ( E )  1   (24)
Now making the substitution x    y , eq. (16) 2
   2 
 b 
can be computed as
1
b III. MGF BASED APPROACH
b2
y 2
I3  e y dy (17)

At this stage we make use of a pre-established result The BEP of BFSK over AWGN in terms of
given as Gaussian Q function is given by [7, page 229]
1

e y dy  erfc( y )(  ) Eb
y
pb ( E )  Q(
2 (18) ) (25)
N0
Now substituting eq. (18) in eq. (17), we have
Therefore conditional BEP can be given as
b
I3  (  )erfc( y ) (19) p(E;  )  Q(  ) (26)
b2
When characterizing the performance of coherent
b  (b  2) x 
 (  )erfc   (20) digital communication, the generic form of
b2  b  expression for BEP involves Gaussian Q function
with an argument proportional to square root of
instantaneous SNR of received signal. In case of
Putting eq. (20) in eq. (15), we have communication over a slowly varying fading
channel, the instantaneous SNR per bit is a time-
varying random variable with a PDF P   .
0
1

   2 
pb ( E )  [ erfc( y )e    erfc  
2  2   
  
To compute the average BEP, we must evaluate an
(21) integral consisting of above mentioned Gaussian Q
function and fading PDF, that is

From eq. (13) and making us of eq. (21) we have I   Q(a  ) P ( )d (27)
0

Where a is a constant which depends on the specific


       2   modulation or detection scheme.
I1   erfc   e   erfc  
 2    2   2 
  Now using classical definition of Gaussian Q
function in eq. (27), we have
(22)
  2
1 a 2
From eq. (9) and making use of eq. (22), the final I   exp( )(d ) P ( )(d  ) (28)
expression is 0
 0
2sin 2 

COMO401-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

 2  BER for BFSK modulation in Rayleigh channel


1 a 2
  [  exp( ) P ( )d  ]d (29)
 0 0 2sin 2 
AWGN-Theory
-1 Rayleigh-Theory
10
Rayleigh-Simulation
Now the inner integral is in the form of Laplace
Transform with respect to variable  . Since Moment -2

Bit Error Rate


10

Generating Function (MGF) of  is


-3
 10

M  (s)   es P ( )d (30)


0 -4
10

From eq. (29) and eq. (30), we have


 2
1 a 2

0 5 10 15 20 25 30 35
I M ( )d (31) Eb/No, dB
 0
2sin 2 
[Figure 1: BER of BPSK for Rayleigh fading
The Laplace Transform of Rayleigh PDF is given as channel]
[7, pp. 125]
1
M  ( s)  , s0 (32) When the performance of BFSK over AWGN
1  s [Fig.1] is compared with the performance over
Now putting eq. (32) into eq. (31), we have Rayleigh fading channel, it is found that about
22.5dB degradation due to multipath channel
 2
a 2  1 occurs.
I 
0
(1 
2sin 2 
) d (33)
The following graph compares the performance of
BPSK over AWGN and Rayleigh fading channel
The above integral reduces to following form [7, which the authors obtained in [1] using MATLAB.
page 125] From the graph [Fig.2] it is clear that about 26dB
degradation occurs in case of BPSK due to
2  multipath channel.
a
1 2
Or I  (1  ) (34)
2 2 
1 a BER for BPSK modulation in Rayleigh channel
2
AWGN-Theory
Now comparing eq. (8) and eq. (27) and making use -1 Rayleigh-Theory
10
of eq. (34), the final expression of bit error Rayleigh-Simulation
probability is given as below which is similar to the
result obtained by earlier discussed approach. -2
Bit Error Rate

10

1 
pb ( E )  (1  ) (35) -3

 1
10
2
-4
10

IV. RESULTS
0 5 10 15 20 25 30 35
The results obtained above have been simulated Eb/No, dB
using MATLAB and following graph is obtained
which compares the performance of BFSK over
AWGN channel and Rayleigh fading channel. [Figure 2: BER of BPSK for Rayleigh fading
channel]

COMO401-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Now having seen the performance of BFSK and


BPSK, it becomes clear that due to degradation over [6] Digital modulation techniques, fuqin xiong, 2000 Artech
multipath channel we have to spend so much energy house, London.
in order to get a reliable wireless link. This is not a [7] “Digital Communication over Fading Channels” second
good situation because spending so much energy edition 2005 by M.K. Simon and M.S.Alouini.
will cause an increase n global warming which has
already become a threat to our existence. [8] B. Sklar, “Rayleigh Fading Channels in Mobile Digital
Communication Systems”, Part II: Mitigation”, IEEE Comm.
Mag., Vol. 35, No. 7, pp. 102-109, July 1997.
V. CONCLUSIONS [9] K. Brayer, Ed., Data Communications via Fading
Channels, IEEE Press, 1975.
We have briefly demonstrated in this paper two
approaches to evaluate the performance of BFSK [10] D. C. Cox, “Wireless Personal Communications: What Is
It?” IEEE Pers Comm. Mag., pp. 20-35, April 1995.
over Rayleigh fading channel. A sincere effort has
been done by the authors in explaining the [11] J.K. Cavers, “On the Validity of the Slow and Moderate
mathematics behind these two approaches which is Fading Models for Matched Filter Detection of Rayleigh
not easily available. Also the performance of BFSK Fading Signals”, Can. J. of Elect. &
is compared with BPSK and it has been found that Comp. Eng., Vol. 17, No. 4, pp. 183-189, 1992.
in case of BFSK about 3.5dB less degradation occur
[12] W.F. Walker, “The Error Performance of a Class of
over multipath channel as compared to BPSK. Binary Communications Systems in Fading and Noise”, IEEE
Trans. Comm. Systems, pp. 28-45, March 1964.
It is the authors hope that when the reader reach the
end of this paper, they will fully appreciate the [13] Q. Dai, E. Schwedyk, “Detection of Bandlimited Signals
Over Frequency Selective Rayleigh Fading Channels”, IEEE
power behind the two approaches discussed and
Trans. Commun., Vol. 42, No. 2/3/4, pp. 941-950,
will generate for themselves an insight into finding Feb./Mar./April 1994.
new and exiting applications.
[14] X. Yu, S. Pasupathy, “Error Performance of Innovations-
Based MLSE for Rayleigh Fading Channels”, IEEE Trans.
REFERENCES Vehic. Techn. Vol. 45, No. 4, pp. 631-642, Nov. 1996.

[1] G. D. Forney, L. Brown, M. V. Eyuboglu, J. L. Moran III, [15] S. Sampei, T. Sunaga, “Rayleigh Fading Compensation
“The V.34 High-Speed Modem Standard”, IEEE Comm. for QAM in Land Mobile Radio Communications”; IEEE
Mag., Vol. 34, No. 12, pp. 28-33, Dec.1996. Trans. Vehic. Techn. Vol. 42, No. 2, pp. 137-147, May 1993.

[2] A. Acampora, “Wireless ATM: A Perspective on Issues [16] S. A. Al-Semari, T. E. Fuja, “I-Q TCM: Reliable
and Prospects”, IEEE Pers. Comm. Mag., Vol. 3, No. 4, pp. 8- Communication Over the Rayleigh Fading Channel Close to
17, Aug. 1996. the Cutoff Rate”, IEEE Trans. Inform.
Theory, Vol. 43, No. 1, pp. 250-262, Jan. 1997.
[3] Digital communication by Proakis fourth edition, chapter
5, page no. 257. [17] P. Y. Kam, H. M. Ching, “Sequence Estimation over the
Slow Nonselective Rayleigh Fading Channel with Diversity
[4] Vicky Singh, Amit Sehgal “ Error modelling of BPSK Reception and its Application to Viterbi Decoding”, IEEE J.
over Rayleigh fading channel- A Statistical Pedagogic Select. Areas Comm., Vol. 10, No. 3, pp.562-570, April 1992.
Approach”, National Conference on Advancement of
Electronics and Communication Engineering, IIMT college of [18] A. D. Polyanin and A. V. Manzhirov, Handbook of
engineering, Gr.Noida, February 2011. Integral Equations, Second Edition, Updated, Revised and
Extended, Chapman & Hall/CRC Press, 2008, 1144 pages.
[5] M.K. Simon and M.S. Alouini, “Simplified noisy
reference loss evaluation for digital communication in the
presence of slow fading and carrier phase error,” IEEE Trans.
Vet. Tech., vol. 50, no. 2, pp. 480-486, Mar. 2001] “Digital
Communication over fading channels”, alouini.

COMO401-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

COMO401-6
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

PERFORMANCE EVALUATION OF VARIOUS ERROR CORRECTING CODES

ON PLC SYSTEM
Priyank Sharma, Student M.Tech (Comm.), Ravikant Saini, Astt. Prof., (ECE)
Shobhit University, Shobhit University,
Meerut (UP) Meerut (UP)
sh_priyank@yahoo.com
sravikantk 2@rediffmail.com

Abstract- In this paper, performance of DMT has Optimization of a transmission scheme can only be done
been studied for a PLC system. The power line has after an accurate channel model is made available.
been modeled as an access link channel. To observe the Although some models have been proposed [2-6] ,but there
effect of impulsive noise, a simulation model based on is no widely accepted model similar to mobile radio
statistical properties of impulsive noise has been used. channels. DMT as a multicarrier modulation is the best
Performance has been observed with AWGN only and technique for combating the frequency selective fading [7,
AWGN and impulsive noise both with different error 8]. Adaptivity in terms of bit loading is an added feature
correcting codes. System has been observed by 256 no against the time variation of the multipath channel. Strong
of sub carriers and Message Transfer Unit (MTU) size forward error correction strategy is required to overcome
280 bits. the hostile noise environment, which includes background
noise, colored noise and a combination of impulsive noises
Keywords Component-Power Line Channel, Access [9- 12].
Link Channel, DMT, MTU.

I. INTRODUCTION
The liberalization of telecommunications and the
deregulation of electricity utilities have added new
dimensions to the potential application of the electricity
infrastructure for the most efficient use of the local loop
[1]. The main focus is and will continue to be on the
connection between house and transformer as a solution for
the last dirty mile problem to compete with other last mile
technologies like digital subscriber line (DSL),wireless
local loop, or telephone lines. Furthermore, new interest
arises due to recent developments regarding in-house
networking.

Due to the fact that power lines were not conceived for II. CHANNEL MODELS
communication purposes but to deliver electrical energy
(which involve signals of much lower frequency and The modeling problem for PLC, which consists of
higher power), the medium characteristics are certainly investigating the characteristics of the power network as a
adverse. The channel is time and frequency variant, and communication channel , is a serious one .Power line grid
exhibits remarkable differences between locations, has tree like structure. Signal faces reflections at every
according to the network topology; kind of wire installed branching, empty socket and connected loads. Thus a
and connected loads. Even in a specific power circuit the complex echo scenario arises leading to frequency
conditions change depending on the selected transmission selective fading.
path (i.e. sockets where the transmitter and receiver are
plugged)

COMO402-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

ACCESS LINK propagation accompanied by frequency selective fading, signal


attenuation of typical power cables increasing with length and
The model for Access link is based on physical signal frequency is considered [5]. Model describes the transfer function
propagation effects in mains networks including numerous of the channel in terms of very few parameters. The transfer
function
branches and impedance mismatching. Besides multipath

Fig(1).Basic DMT transceiver structure

N
H ( f )   gi .e ( a0  a1 . f e  j 2fdi / v p
k
) di
.
i 1
where N is the number of the relevant multipaths,a0 and a1 2Npoint complex sequence is transformed using inverse
are attenuation parameters and k is an exponent taking fast Fourier transform (IFFT) to generate 2N point real
value in the range of 0.5 to 1; is the path length and vp is samples for transmission through the channel. In order to
the speed of EM wave in the material. mitigate ISI and maintain the continuity between
consecutive DMT symbols, the last 25% of each 2N-
III DISCRETE MULTITONE sample block are circularly wrapped to prefix the block
itself. After receiving the transmitted signal, the receiver
DMT efficiently divides an input serial data bit stream discards the prefixed samples; then the remaining received
into a large number of low-data-rate streams and assigns samples are demodulated into complex symbols by the 2N
them to a set of parallel and orthogonal subcarriers point FFT. These complex symbols are decoded using a
according to the SNR of respective subcarriers [8]. SNR of QAM decoder. The in phase and quadrature components of
each subcarrier is estimated in an initial training session. the complex symbols are used by the QAM decoder to
Fig 1 shows the block diagram representation of a basic generate the received data per subcarrier. Finally a parallel
DMT transceiver. to serial conversion recovers the original transmitted data
bit stream. For the better results different algorithm may be
The data bits assigned to each subcarrier are mapped onto
used[13-16]. The vital components of a DMT transceiver
a Quadrature Amplitude Modulation (QAM) constellation
system are the IFFT and FFT at the transmitter and
to form a complex sample, and then the resulting N
receiver , respectively.
complex samples from the N subcarriers are extended to be
a 2N point complex –conjugate symmetric sequence. This

COMO402-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

IV SIMULATION & RESULTS


The noisy environment in power line has been represented
as a combination of background noise and impulsive noise.
Background noise has been modeled as AWGN and a
model based on statistical properties of impulsive noise
has been used for impulsive noise.

Performance of DMT is evaluated by below methods: Figure (3) 256_280 With AWGN & Impulsive Noise

1) 256 no of subcarriers & MTU size 280 bits.


2) 128 no of subcarriers & MTU size 112 bits.

Following five Error Correcting Codes have been studied:


Convolution, Hamming, BCH, CRC, & RS.

In order to study the performance degradation due to Figure(4)128_112 With AWGN Only
impulsive noise, two noise environments have been
considered.

1. AWGN only
2. AWGN and Impulsive noise.

Performance of DMT for Access Link Channel

Fig.(2) & Fig(3) shows the Result 256_280 AWGN &


Impulsive noise
Figure (5) 128_112 With AWGN & Impulsive Noise

Fig.(4) & Fig(5) shows the Result 128_112 AWGN & V. CONCLUSION
Impulsive noise
Performance of DMT system has been evaluated for the
Access link. In impulsive noise analysis the error rate is
higher than the AWGN environment as expected. This is
because of the burst errors caused by Impulsive noise. In
order to improve the performance further higher error
correcting codes can be used.

REFERENCES:

[1] N. Pavlidou, A. J. H.Vinck, J. Yazdani, and B.Honary,


“Power line communications ”State of the art and future
trends,” IEEE Commun. Mag.,vol. 41, pp.34–40, Apr.
2003.
[2] O. Hooijen, “A channel model for the residential
power circuit used as a digital communications medium,”
Figure (2) 256_280 With AWGN Only

COMO402-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

IEEE Trans. Electromagn. Compat.,vol. 40, pp. 331–336, [10] M.Zimmermann and K.Dostert, “Analysis and
1998. modeling of impulsive noise in broadband powerline
communications,”IEEE Trans Electomagn. Compat.,
[3] H. Meng, S. Chen, ”Modeling of Transfer vol.44,No.1,pp.249-258,Feb.2002.
Characteristics for The Broadband Power Line [11]R. M. Vines, M. J. Trussel, L. J. Gales, and J. B.
communication channel”, IEEE Transactions on O’Neal, Jr., “Noise on residential power distribution
Power Delivery, Vol. 19, No 3, pp. 529-551. Jul. 2004. circuits,” IEEE Trans. Electromagn.Compat., vol.
[4]L.T.Tang,P.L.So,E.Gunawan,Y,L.Guan,S.Chenand EMC-26, pp. 161-168, Nov. 1984
T.T.Lee,”Characterization and modeling of In-Buiding [12] D. Anastasiadou and T. Antonakoupoulos,
Power Lines for High speed Data Transmission,”IEEE ”Multipath characterization of Power-Line Networks”,
Trans.Power Delivery,vol-18,No-1,pp.31-40,Jan.2003. IEEE Trans. On Power Delivery, vol. 20,pp. 90-99, 2005.
[5] M. Zimmermann and K. Dostert. "A Multipath Model [13] E.Guerrini,G.Dell’ Amico,P.Bisagila, L.Guerrieri,”
for the Powerline Channel,'' IEEE Trans. Commun.. bit-loading algorithm and SNR estimate for Homeplug
vol.50. no. 4. Apr. 2002, pp. 553-59. AV” IEEE ISPLC’07,pp419-424,26-28 March 2007.
[6] A. Mori, Y. Watanabe and M. Tokuda & K. [14]F.S.Muhammad, J.-Y.Baudais, J.-F.Helard and
Kawamoto “Transmission Characteristics of an OFDM M.Crussiere,” Coded adaptive linear precoded discrete
Signal for Power Line Communication System with High multitone over PLC Channel,” ISPLC’08,April 2008
Bit Rate”.IEEE Communication Magazine, July 2007. [15] A.M.Wyglinski,F.Labeau and P.Kabal,”Bit loading
[7] E. Biglieri. Coding and modulation for a horrible with BER constraint for multicarrier systems,” IEEE
channel. IEEE Communications Magazine, 41:92–98, Trans. On wireless comm.., vol.4,pp. 1383-1387,july
May 2003. 2005
[8] S. Baig and N. D. Gohar. A discrete multitone [16] M. H. L. Chan and R.W. Donaldson, “Amplitude,
Transceiver at the heart of the PHY layer of an in-home width, and interarrival distributions for noise impulses on
power line communication local area network. IEEE intrabuilding power line 3, pp. 320–323, Aug. 1989.
Communications Magazine, 41:48–53, Apr. 2003.
[9] M. Zimmermann and K. Dostert. An analysis of the
broadband noise scenario in power line networks. In IEEE
International Symposium on Power Line Communications
and Its Applications ISPLC ’2000, pages 131–138, 2000.

COMO402-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Design and Analysis of Microstrip Antennas for W-LAN and Ku-band Applications

1
Ravindra Kumar Yadav and 2Ram Lal Yadava
1
Department of Electronics and Communication Engineering,
I.T.S. Engineering College, Greater Noida, Uttar Pradesh, India
2
Department of Electronics and Communication Engineering,
Galgotia’s College of Engineering & Technology, Greater Noida, Uttar Pradesh
ravipusad@gmail.com

Abstract

This paper deals with the design analysis methods for microstrip antennas (MSA) meant for W-LAN and Ku
Frequency band applications. The design analysis methods for MSAs can be broadly classified into two
groups. In the first group, the methods are based on equivalent magnetic current distribution around the
patch edges; The Transmission Line Model and the MNM. The second group methods are based on the
electric current distribution on the ground plane (similar to dipole antennas), and the popular methods are
Method of Moments, Finite Element method, Spectral Domain Technique and Finite-difference Time
Domain method. In this work, both the techniques have been implemented to analyze the fabrication and
performance of the antenna. The Transmission Line Model and the Method of Moments has been used.
Three antennas structure have been considered namely; proximity and aperture coupled, and slot coupled
MSAs.

Keywords: Microstrip antenna, Ultra wideband coupled patch antenna and circularly polarized MSA.

I. INTRODUCTION II .THEORY

Since there has been an increase in the demand of Microstrip antennas are used due to its
Microstrip Antennas, these are manufactured in a several advantages like small size, ease of
large scale, but there are limitations with the design fabrication, low cost and many others. Polarization
of these antennas. This is because proper analysis is is an important part of the study of microstrip
required before fabrication and then simulation for antennas. Usually the polarization of an antenna is
characteristics investigations [1-5]. Therefore defined as the wave radiated by the antenna in a
present paper aims to describe the design analysis of particular direction. This is usually depends on the
Microstrip Antennas using the Transmission line feeding technique [4-5]. However in general,
model and the Method of Moments and study the orientations of the electric field vector of a plane
performance characteristics as well. For this, IE3D wave relative to direction of propagation define the
software is used, and since the transmission line polarization of wave. If an electric field vector of an
model is an approximate model, genetic algorithm EM wave is parallel to x-axis, wave is said to
is also being implemented to optimize the design. linearly x-polarized. This wave could be generated
The Method of Moment model is used to determine by a straight wire antenna parallel to x-axis.
the current distributions and field distributions of Similarly y-polarized waves can also be defined and
the antenna. An aperture coupled microstrip antenna generated. If two plane waves of equal amplitude
is chosen for the design using transmission line and orthogonally polarized are combined with
model and a wire antenna is chosen for the Method phase – difference, the resulting wave is circularly
of Moments model [6-10]. polarized and electric field vector describe a circle
centered on propagation vector. The field vector
will rotate by for every wave length traveled.

COMO421-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Circular polarization generated as either RHCP or opportunity to reduce feed line radiation
LHCP. Right hand circularly polarized wave while maintaining a relatively thick rotation.
describes a wave with E-field vector rotating The main advantage of Circular Polarization
clockwise when looking in the direction of is that regardless of substrate for the
propagation [7]. Bandwidth: The band width of radiation patch. The input impedance of the
antenna is usually defined as the frequency range antenna is affected by the overlap of the
within the performance of the antenna with respect patch and the feed line, and by the substrate.
to a certain characteristics. It is expressed as the This feature adds degree of freedom in the
percentage of the difference between upper and design, but may complicate the task of
lower frequency to the center frequency; selecting an optimum design.
fu f
BW 100% .
fo
Bandwidth of an antenna can also be defined in
terms of radiation patterns or VSWR/reflected
VSWR 1
power as follows; BW .
Q VSWR
Where, Q is a quality factor. Feeding techniques:
Popular feeding techniques are the coaxial probe Fig 2. Geometry of proximity coupled MSA.
feed, microstrip line feed, aperture coupled and the
proximity fed antenna. 3rd antenna analyzed is a rectangular slot
antenna for ultra wideband applications. It is
Types of antennas analyzed: shown below (Figs 3 and 4).

Aperture coupled Microstrip Antenna


consists of 2 layers of dielectric separated by
a ground plane. Feed is given in the lower
layer and a slot is made between the 2 layers
in the ground plane which couples the power
from lower dielectric to upper one (Fig 1).

Fig 3. Geometry of slot coupled MSA (Top view).

Fig 1. Geometry of aperture coupled MSA. Fig 4. Geometry of slot coupled MSA (Side view).

Proximity fed Microstrip Antenna is shown


in the Fig 2. Proximity coupling offers some

COMO421-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Brief introduction of methodologies used: ultra wideband applications and phased array
systems are shown below in table 2.
IE3D is simulation software used to
simulate various geometries of antennas. Table 2. VSWR, Gain and BW
It is commercially available software.
For design optimization process genetic W1 W2 L1 L2 L3 VSWR Gain BW
mm mm mm mm mm MHz
algorithms are used which work on the
theory of natural reproduction process. 44 36 16 5 4 1.0382 3.191 690
For this optimization process a fitness
function is programmed using the
transmission line model of the geometry. The overall performance of the simulation software
Method of Moments is another method (IE3D) for the aperture coupled microstrip antenna
which gives idea about the current and (substrate thicknesses: 1.2 mm (lower), 1.5748 mm
field distribution with respect to (upper),) (dielectric constant: 1.9 lower, 3.9 upper is
normalized wavelength. listed in Table below in table 3.

Design Specifications Table 3. VSWR, Gain and resonance frequency (fr)

Patch Patch Slot Stub VSWR Bandwidth


Silicon feed substrate = 100 um
Length Width Length length MHz
Silicon antenna substrate = 200 um
mm mm mm mm
Cavity height = 150 um
28.88 17.85 9.367 5.274 1.34 14
Substrate thickness = 1.5748 mm
Substrate dielectric constant = 2.17
6th round results of Genetic Algorithm
III. RESULTS
optimization
Results from the simulations
Here substrate thickness = 1.27 mm
Substrate dielectric constant = 6.33
The simulation results for the proximity coupled
Bandwidth: = 690 MHz
antenna are tabulated as;
6th round (all dimensions in meters)
Table 1. VSWR, Gain and resonance frequency (fr)
L = 0.01 m to 0.032 m
L1 L2 VSWR Gain BW fr W = 0.01 m to 0.029 m
mm mm dB MHz GHz La = 0.005 m to 0.013 m
16.4 16.4 1.0275 1.95 200 15.6 Ls = 0.001 m to 0.009 m

IV. CONCLUSIONS
The antenna geometry was again designed with a
substrate provided and the results are; Both transmission line model and the
method of moment’s model have been
Ls Ws VSWR Bandwidth programmed. In case of transmission line
mm mm MHz model, we observe that by varying the
2.828 1.414 1.0275 223 length, width and other dimensions of the
patch, we can vary parameters like VSWR
and Frequency of operation.
Therefore there is increase in bandwidth. The In case of method of moment’s model we
simulation results for the Rectangular slot antenna can get the detailed explanation of current
(resonating at 2.44 GHz) with patch substrate for and field distribution provided we have the

COMO421-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

Green’s function available for that antenna Proximity however rectangular patch shows
structure. 2.4 GHz. The optimized results of VSWR
Aperture coupled microstrip antenna has a vary from 1.064 to 1.824.
higher gain than the rectangular slot antenna
with patch stub but the latter has a higher Acknowledgment
bandwidth. The proximity coupled antenna
showed very lee gain but a high bandwidth. The authors are very thankful to Prof. K.V.
Genetic Algorithms are very useful to Karthikayen, IIT-R, for his motivation and
implement the transmission line model when support to complete this research work in
we use the VSWR as the fitness function. time.

Table 4. Optimized results of VSWR. REFERENCES


[1] David M Pozar, “Microwave Engineering”, John
Gen L W Ls Ls VSWR
Willey & Sons Inc; 1998.
erati (patch (patch (aperture (stub
on length) width) length) length) [2] Constantine A. Balanis, “Antenna Theory, Analysis
and Design”, John Willey & sons Inc; 2002.
35 0.03126 0.01451 0.00433 0.00834 1.824 [3] W.L. Stutzman, G.A. Thiele, “Antenna Theory and
41 0.03131 0.01547 0.00411 0.0064 1.654
Design,” John Wiley Sons, 1981.
52 0.03197 0.01149 0.00574 0.00461 1.214
54 0.03131 0.01561 0.00412 0.00867 1.636 [4] Girish Kumar and K.P. Ray, “Broad band Microstrip
55 0.03137 0.01391 0.00453 0.00661 1.317
Antenna”, Artech House, Inc, 2003.
56 0.03137 0.01328 0.00456 0.00563 1.650
57 0.03142 0.01309 0.00461 0.00472 1.568 [5] I.J.Bahl et al, “Microstrip Antennas”, Artech House
82 0.03161 0.01147 0.00556 0.00553 1.648 Inc; 1980.
93 0.03181 0.01666 0.0041 0.00651 1.320
100 0.03128 0.01155 0.00507 0.00479 1.064 [6] P. Mazumdar, Elizabeth M.Rudnick, “Genetic
Algorithms for VLSI design, Layout and test
When we fabricated the antenna, GAs are automation”, Prentice Hall, PTR, 1999.
very useful to provide us with the
dimensions of the antenna. IE3D is [7] R. A. Saeed and Sabira Khatun, “Design of
commercially available software that Microstrip Antenna for WLAN,” IEEE, Trans.,
enables us to simulate a particular antenna
Antennas and Propagate, Vol. AP-33, No.2, pp-131-
geometry which gives us an idea about the
gain, bandwidth and other parameters of the 137, Feb 1987.
antenna which are vital for its performance [8] D. M.Pozar,” A Review of Aperture Coupled
and use.
Microstrip Antennas: History, Operation,
Therefore it is summarized the gain of Development, Applications”, IEEE, Trans.,Antennas
aperture coupled microstrip antenna is and Propagate, Vol. AP-40, No.2, pp-138-143, Feb
found to be high; however the bandwidth
was very low. The proximity coupled 1992.
antenna showed a better bandwidth, which [9] P. K. Singhal and Laxmi Shrivastava, “On
can further be enhanced by providing a stub. Investigations of a wide band Proximity Fed Bow
However the rectangular patch antenna Tie Shaped Microstrip Antenna”,
showed a very high bandwidth of 700MHz http//www.ctystems.com/zeland.
but gain was less. The resonant frequencies [10] http://en.wikipedia.org/woki/Gemetic_algorithm
for the 3 antennas are found to be 2.4 GHz
for Aperture coupled and 15.5GHz

COMO421-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

A Proposed Design of One Dimensional Optical


Orthogonal Coding Scheme and Analysis with
Others
1
Ratnesh Kumar, 2R.C.S. Chauhan, 3G.P.Bagaria
1
Department of Electronics Engineering, H.B.T.I., Kanpur, U.P., India
n 1
Abstract— There are several Optical Orthogonal Codes are
commonly used as signature codes for Optical Code Division
x x
t 0
t t   a (1)

Multiple Access (OCDMA) communication systems. In this paper


X = (x0,x1,x2,……..xn-1); 
code set C and any integer ,0
< < n
some of Optical Orthogonal Codes have been discussed with their
algorithm of generation and comparison by the MATLAB results
corresponding to auto-correlation and cross-correlation with a 2) The Cross Correlation Property:
new OOC coding scheme. These codes have been assigned to n 1
users as a signature sequence and the maximum value of auto-
correlation and cross-correlation of the codes will decides the
x yt 0
t t   c (2)

Multiple Access Interference for the optical CDMA system.


X = (x0,x1,x2,……..xn-1), Y = (y0,y1,y2,…..yn-1) ; X,Y  code set
Index Terms — OCDMA-Optical Code Division Multiple C and any integer  , 0   < n
Access, OOC -Optical Orthogonal Codes, a -auto-correlation
All subscripts in eq. (1) and (2) are in modulo n addition.
constraint, c -cross-correlation constraint.
If maximum of our auto-correlation and cross-correlation
constraints is  then by Johnson bounds the calculation of
I. INTRODUCTION maximum number of codes.
max( a , c ) =  ;1    w-1.
O ptical fiber has a capability to transfer data information at
a very high speed. Multiple users can transfer
information with its huge bandwidth that is called by multiple By Johnson‟s bound [2] the number of optical orthogonal
access. For optical domain multiple access is known by codes generated by the given values of sequence length n,
OCDMA. weight w, and  the maximum of autocorrelation and cross-
In OCDMA each user is distinguish by a specific optical correlation constraint is
code sequences. An encoding operation optically transforms
  n  1 n  2  ............  n    
each data bit before transmission. At receiver end decoding J A (n, w,  )   
operation is done to retrieve the original information. The data  w  w  1 w  2  ............  w    
information‟s each bit is multiplied by a code sequence that
may be either in one-dimensional time domain, wavelength II. VARIOUS ONE DIMENSIONAL OOCS DESIGNING METHOD
domain and two-dimensional time-wavelength domain [1].
The nature Optical Orthogonal Codes is either in unipolar A. Prime Sequence OOCs
optical orthogonal codes or bipolar optical orthogonal codes. Prime sequences are the code set which contains „1s‟ and
The unipolar codes are formed by „1‟ and „0‟. If there is an „0s‟ each one of the p prime sequences is taken on the basis
optical pulse it means weight „1‟ is coded and if there is no for a group of CDMA sequences where p is a prime number.
pulse „0‟ is coded. The unipolar codes are used in an A group is generated by rotating the original prime sequence.
incoherent optical CDMA system and they are formed in two This method allows forming p groups of p codes resulting in a
total length of p2.
ways that are one dimensional and two dimensional OOCs.
Galios Field is used to construct the prime sequence.
One dimensional OOCs i.e. GF(p) = (0,1,2,….p-1)
An OOC is usually represented by quadruple so the code sequence in the form of bit positions
(n, w, a , c ) where n is the sequence code length, w is the C XP ={ cxp (0) , cxp (1) , cxp (2) …… cxp ( p  1) }
number of ones in a sequence code, a auto correlation where
cxp ( j) =x.j (mod (P)) for x, j  GF(p);
constraint and c is the cross-correlation constraint. These
The binary code word
codes have to satisfy two properties.
C X ={ cxi (0) , cxi (1) , cxi (2) ,….., cxi ( p  1) } with
P p p p p
1) The Auto Correlation Property:

COMO422-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

1 i  d xp ( j )  jp (mod(p 2 )) C 0qpk  {10000100001000010000},


c (i)  
p
xi k  {0001000001
C1qp 1000001000},
0 otherwise [3] C 2qpk  {01000000101000000100},
where, j= (0,1,2,….p-1)
k  {00001001001000000010},
C 3qp
This scheme generates the set of optical orthogonal codes
C 4qpk  {00100010001000000001},
(n, w, a , c ) for any prime number p, such that weight w =
Generated codes have n = 20, w = q = 4, a = 3, c = 3.
p, code length p2, auto-correlation constraint a = p-1 and
cross correlation constraint c = 2. The numbers of optical Example for p =5, q=7, k=3
orthogonal codes in the set are given by p. Code-set contains the extended version of prime codes
C 0qpk  {100001000010000 10000100001000010000},
Example for prime taken p=7 k  {0001000001
C1qp 1000001000001000001000001},
Galios Field, GF(p) = (0,1,2,3,4,5,6) C 2qpk  {01000000101000000100000010100000010},
D following positions of „1s‟ k  {00001001001000000010010000000100100},
C 3qp
d (0)  {0
7
x 0 0 0 0 0 0}, C 4qpk  {00100010001000000001000100010001000},
d x7 (1)  {0 1 2 3 4 5 6},
Generated codes have n = 35, w = q = 7, a = 6, c = 4.
d x7 (2)  {0 2 4 6 1 3 5},
( a and c are the maximum values in a code-set).
d x7 (3)  {0 3 6 2 5 1 4},
d (4)  {0
7
x 4 1 5 2 6 3},
C. Quadratic Congruence OOCs
d x7 (5)  {0 5 3 1 6 4 2},
d x7 (6)  {0 6 5 4 3 2 1}, The optical orthogonal code C XP ={ cxp (0) , c xp (1) , cxp (2) ,
C x70  {100000010
0000010000
0010000001
0000001000
0001000000
}, p
….., c x ( p  1) is based on quadratic placement operator
C  {100000001
7
x1 0000000100
0000010000
0001000000
0100000001
}, y x (k ) , such that
C x72  {100000000
1000000001
0000000010
1000000001
0000000010
},
1 if y x (k )  kp  i mod(p)
C x73  {100000000
0100000000
0100100000
0000100100
0000000100
}, c xp (i)  
C x74  {100000000
0010001000
0000000100
0100000000
0010001000
}, 0 otherwise
C x75  {100000000
0001000010
0001000000
0000010000
1000010000
}, where, x  {1,2,...p 1} and k   pi 
C x76  {100000000
0000100000
1000001000
0010000010
0000100000
},
y x (k  1)  y x (k )  k  1(mod(p 2 ))
Generated codes have n = 49, w = 7 = p, a = 6 = p-1, c = 2.
xk (k  1)
( a and c are the maximum values in a code-set). y x (k )  (mod( p))
2 [5]
and y x (0)  0 for 0  x  p  1
B. Quasi prime OOCs
here the orthogonal code-set (n, w, a , c ) is constructed for
Quasi prime is the extension of the OOC code set based on
prime sequences. A quasi prime code C xkqp is the time shifted
2
the code length, n = p ; weight, w = p ; a =2; c =4 or3
and extended (or contracted) versions of prime sequences (for p=5,7).
p For example, p=7, the quadratic operators are given as
code C x . It is given as, with q number of „1‟s
y1k  {0 1 3 6 3 1 0},
c (i) = c ([i  kp]n ) ; where i = 0,1,….qp-1 [3][4]
qp p
xk x
y2k  {0 2 6 5 6 2 0},
each quasi-prime code then comprises qp chips taken
cyclically from a shifted prime code, and contains q ones.code y3k  {0 3 2 4 2 3 0},
set (n, w, a , c ) code length n = qp, weight w = q y4k  {0 4 5 3 5 4 0},
where, (r-1)p < q < rp,
C17 = {1000000010000000010000000001000100001000001000000},
q and r are positive integers.
C 27 = {1000000001000000000010000010000000100100001000000},
auto-correlation constraint a = (p-1) r, cross-correlation
C37 = {1000000000100000100000000100001000000010001000000},
constraint c = 2r and the number of code-set N = p. C 47 = {1000000000010000000100001000000001000001001000000},
Generated codes have n = 49, w = p = 7, a = 2, c = 3.
Example for p =5, q=4, k=3
Code-set contains the contracted version of prime codes are ( a and c are the maximum values in a code-set).

COMO422-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

D. Hadamard Matrix OOCs F. Quadratic Residues OOCs


A Hadamard matrix is a square matrix whose entries are Quadratic residues are the code-set which is defined by „a‟
either 1 or 0 and rows are may be mutually orthogonal. as
Hadamard matrix order 2 is a = x2 mod (p)
1 1 where, x is integer
H2   
1 0
The prime „p‟ has total following residues (0,x1,x2,…….,x(p-1)/2)
Its order must be in multiple of 2. 2n Hadamard order can be
the QR sequence is Q1 = (q1, q2, q3,……qp) with
given as
q1 = q p = 0 ;
H Hn 
Hn   n q2 = qp-1 = x1 ;
H n H n  q3 = x2 ; and
Generation of orthogonal codes a matrix of order n-1 can be qk = qp-k+1 for 1  k  p.
constructed from Hadamard matrix of order n by deleting first
row and first column and The rows of the matrix of order n – 1 The jth QR sequence is obtained by multiplying Q1 by j with
form a code set. From the same code set the repeated or all elements are given under mod(p) for j = 1 to p-1.These (p-
cyclically shifted code are included only once to form the 1) QR sequence when considered as weighted positions of the
optical orthogonal code set of length n =4t-1; weight w =2t-1, binary code with length n represents the orthogonal code set.
a = t-1, c = t, where t is any positive integer. [6] [8]

For example p=5, the quadratic residues are (0, 1, 4)


E. Skolem Sequence OOCs QR sequence Q1 = (0, 1, 4, 1, 0)
The Skolem sequences of order M, can be written as QR sequence Q2 = (0, 2, 3, 2, 0)
collection of ordered pairs QR sequence Q3 = (0, 3, 2, 3, 0)
(ai , bi ) : 1  i  M , bi  ai  i  QR sequence Q4 = (0, 4, 1, 4, 0)
the orthogonal code set (n, w, a , c ) = ( p2, p, 2, 2)
and i 1 ai , bi   1,2,.....,2M 
M

The corresponding code-set (25, 5, 2, 2) are


M is the number of code-set and the length of code-set n = C1 = (10000 01000 00001 01000 10000)
6M+1. The orthogonal codes of weight w =3 can be written as C2 = (10000 00100 00010 00100 10000)
 
xi1 , xi 2 , xi 3 , x ij represents the jth position of bit „1‟ in the C3 = (10000 00010 00100 00010 10000)
C4 = (10000 00001 01000 00001 10000)
i code word for 1  i  M .
th
[7]
Generated codes have n = 25, w = p = 5, a = 2, c = 3.
x i1 = 0 for all i,
( a and c are the maximum values in a code-set).
x i 2 = i for all i,
x i 3 is obtained from the Skolem sequence as in example. G. Table of Primes OOCs
The elements of Galois Field GF(p), p is prime, are
Example for Skolem sequence of order M =4 is given as (1,2,3,….p-1). Suppose  is primitive root for prime p, then
S = {(1, 2) (7, 5) (6, 3) (8, 4)}
For i =1, xi3 = M+2 = 6; all the elements of GF(p) can be represented by {  x
, for x
For i =2, xi3 = M+5 = 9; = (0,1,2….p-2)}. The prime sequence code
S1p = (  ,  ,……., 
0 1 p2
For i =3, xi3 = M+3 = 7; )mod(p);
For i =4, xi3 = M+4 = 8;
The optical orthogonal code set is S 2p = 2 S1p (mod (p));
{(0,1,6), (0,2,9), (0,3,7), (0,4,8)} S 3p = 3 S1p (mod (p));
The corresponding codes are . .
C1 = (1100001000000000000000000) . .
C2 = (1010000001000000000000000)
C3 = (1001000100000000000000000) S pp1 = (p-1) S1p (mod (p)).
C4 = (1000100010000000000000000)
Generated codes have n = 31, w = 3, a = 1, c = 1.
For example with p=5 and its primitive root  =2 and GF(5)
= (1 2 3 4) with
Similarly other code words of length n =6M+1, weight w = 3 20 = 1
and a = 1, c =1 can be generated using Skolem sequences 21 = 2
22 = 4
of order M. ( a and c are the maximum values in a code-set).
23 = 8 mod(5) =3
The prime sequence code
S1p = (1 2 4 3)
S 2p = (2 4 8 6) mod (5) = (2 4 3 1)

COMO422-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

S 3p = (3 6 12 9) mod (5) = (3 1 2 4) C1 C1 +C 2 ....... (C1 +C 2 .....+C w-1 ) 


C C 2 +C3 ...... (C 2 +C3 ......+C w-2 ) 
S 4p = (4 8 16 12) mod (5) = (4 3 1 2)  2
The orthogonal code set with weight positions is given as : : : 
follows  
C w C w +C1 ...... (C w +C1.....+C w+z ) 
C1p = ( 1 p+2 2p+4 3p+3 ) = ( 1 7 14 18 )
C 2p = ( 2 p+4 2p+3 3p+1 ) = ( 2 9 13 16 )
C. Auto-Correlation
C3p = ( 3 p+1 2p+2 3p+4 ) = ( 3 6 12 19 )
For a DOP‟s extended matrix, the elements are checked for
C 4p = ( 4 p+3 2p+1 3p+2 ) = ( 4 8 11 17 ) the repetition in its row, suppose a code is (1, 3, 5). The for
The corresponding codes of length n = p2-p =20, weight w = this DOP extended matrix is (1 4,3 8,5 6) take 1, 4 and check
p-1= 4, a = 2, c = 1 and code words M= p-1 = 4; to similar number in its row. As in this 1, 4 in not found so the
auto correlation for this DOP is 1.
C1 = (01000 00100 00001 00010);
C2 = (00100 00001 00010 01000); a = maximum common elements + 1
C3 = (00010 01000 00100 00001);
C4 = (00001 00010 01000 00100); D. Cross- Correlation
The cross-correlation constraint c of any two orthogonal
Similarly for other prime p and root  , the GF(p), its code is also can be observed in the extended difference of
elements, prime sequence codes and then orthogonal code set positions representations of code 1 and code 2.
can be generated.

III. PROPOSED ONE DIMENSIONAL CODES


Extended code1 Extended code2 c
(1 2,1 6,5 6) (1 3,2 6,4 5) 2
A. Difference of Positions (1 2,1 6,5 6) (1 4,3 6,3 4) 2
In binary the „0‟ and „1‟ constitute a binary sequence, if (1 2,1 6,5 6) (2 3,1 5,4 6) 2
sequence is orthogonal then it is called by optical orthogonal (1 2,1 6,5 6) (2 4,2 5,3 5) 2
codes. In DOP representation „0‟ and „1‟ are changed in the
numbers. From binary sequence the weight (1‟s) position is to In any two codes in extended matrix DOP representation.
be find. From these positions distance is calculated that will Comparing the rows of one code‟s extended matrix with other
gives the DOP. For an example n=9, w=3 and suppose the code‟s extended matrix the maximum common element gives
code is 110010000. [9] calculation for c . ie
Shifted Seq. Positions of „1‟s DOP c = maximum common elements with other code + 1.
110010000 (0, 1, 4) (1, 3, 5)
100100001 (0, 3, 8) (3, 5, 1)
001000011 (2, 7, 8) (5, 1, 3) E. Grouping of Codes
010000110 (1, 6, 7) (5, 1, 3) Grouping of codes means to form a set of codes having
100001100 (0, 5, 6) (5, 1, 3) same valued auto-correlation and cross-correlation. From the
000011001 (4, 5, 8) (1, 3, 5) correlation matrix, all codes have value a are selected so that
000110010 (3, 4, 7) (1, 3, 5)
001100100 (2, 3, 6) (1, 3, 5) a new correlation matrix forms that is of single valued a and
011001000 (1, 2, 5) (1, 3, 5) different valued c .
This shows that the code 110010000 can be represented as For particular code in new correlation matrix similar c
(1, 3, 5) or the cyclic shifting of it i.e. (3, 5, 1) or (5, 1, 3) codes are selected (S). So the different groups are formed by
instead of (0, 1, 4)) or (0, 3, 8) or (2, 7, 8) or (1, 6, 7) or (0, 5, S
6) or (4, 5, 8) or (3, 4, 7) or (2, 3, 6) or (1, 2, 5). CK
where K is maximum codes for group calculated by jhonson‟s
bound.
B. Extended Matrix
Mutually equal c codes are the code group for optimal
Extended matrix is used to design for the calculation of a optical orthogonal code. Similarly others codes group can be
and c constraints. A DOP can be reformed in the matrix that formed.
is known as extended matrix. A DOP has elements (C1, C2, . . .
Cw-1, Cw ) then the extended matrix for the dimension (w, w-1)

COMO422-4
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

IV. COMPARISON TABLE [6] L.C.Tran, J. Sebem, B. J. Wysocki, T. A. Wysocki, T. Xia and Ying
Zhao, “Complex Orthogonal Sequences from Amicable Hadamard
In the comparison table the algorithms for generating one Matrices,” Vehicular Technology IEEE Conference, Vol-3, pp 1490-
dimensional optical orthogonal codes has been compared on 1493, 2004.
the basis auto-correlation and cross correlation constraints [7] Abrham, J. Kotzig, “Skolem Sequences and Additive Permutations,”
Book Discrete Math, pp 143–146, 1981.
a , c and number of codes generated. [8] Manoj Choudhary, P. K. Chatterjee and Joseph John, “Optical
Orthogonal Codes using Quadratic Residues,” in Proc. of National
Conference on Communication, IIT Kanpur, 2001.
Auto- Cross- [9] R.C.S.Chauhan, Rachna Asthana and Y.N.Singh, “Uni-polar Orthogonal
Correlati Correlat Code No. of Codes : Design, Analysis and Applications,” HiPC 2010.
Type of Weight
on ion length codes
algorithm (w)
( a ) ( c ) (n) generated

Prime
p-1 2 p2 p p
sequence
Quasi prime Q-1 2r qp q p
Quadratic
2 4 p2 p p-1
congruence
Hadamard
t-1 T 4t - 1 2t - 1 <n
matrix
Skolem
1 1 6M+1 3 M
sequence
Quadratic
3 p 1
2
p 2
p p-1
residues
Table of
p-1 p–1 p2  p p-1 p-1
prime
Proposed user Johnson‟s
w-1 w-1 w<<n
algorithm defined bound
q varies from (r-1) p<q< rp where r, q is the positive integer,
p is a prime number, m is an integer, M is Skolem sequence
order

V. CONCLUSION
The Optical CDMA system employing optical orthogonal
codes as the optical signature sequence to the OCDMA users.
One dimensional optical orthogonal codes are designed on the
basis of some algorithms found in literatures [ 3-5, 7-9 ] using
MATLAB based programs along with the calculations of auto-
correlation and cross correlation constraints a , c
respectively. In this paper the algorithms of generating one
dimensional optical orthogonal have been compared on the
basis of some parameter like code length „n‟, code weight „w‟,
and auto-correlation and cross correlation constraints a , c
which are responsible for BER performance of OCDMA
system. In future these coding schemes can be improved for
generating the groups of one dimensional optical orthogonal
codes with maximum possible codes.

REFERENCES
[1] A. Stok and E. H. Sargent, “The Role of Optical CDMA in Access
Networks,” IEEE Commun. Mag., vol. 40, no. 9, pp. 83–87, 2002.
[2] Fan R.K. Chung, Jawad A. Salehi and Victor K. Wie, “Optical
Orthogonal Codes: Design, Analysis, and Application,” IEEE Trans.
Information Theory, vol. 35, no. 3, may 1989.
[3] A.A.Shaar and P.A.Davis, “Prime sequences and Quasi-optimal
sequences for channel code division multiplexing,” Electronics Letters,
vol. 19, pp. 888-889,October 1983.
[4] Andrew S. Holmes and Richard R.A. Syms, “All Optical CDMA using
Quasi Prime Codes,” IEEE Journal of lightwave technology, vol. 10, no.
2, Feb 1992.
[5] M. Srinivasan, G. Geetha, M. Meenakshi, “Comparision of Prime Code,
Extended Prime Code and Quadratic Congruence Code using a
Normalized Throughpu Metric,” ICTON, pp.168-176, 2004.

COMO422-5
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

PERFORMANCE of SOFT DECODING VERSES HARD DECODING


Archana Sharma, Mohd Murtaja, SCRIET, CCS.University Campus, Meerut
Prof. (Dr.) Rajeev Kapoor, H.O.D. of EC, Delhi Technical University, Delhi
Archna.mtech@gmail.com,murtaza_amu@yahoo.com, rajeevkapoor@dce.ac.in

Abstract— We introduce the hard (the minimum distance referred to here is a


decision decoding and soft decoding. In Euclidean distance as described shortly. This
soft decoding scheme the probability of is not the same as the minimum distance
error is low comparative the hard
decoding scheme. In hard decoding introduced in code). To illustrate this,
scheme output is threshold comparison consider the first two points in an x, y, z
based we decided the middle value of coordinate system. Let point Pl have
maximum signal to minimum signal. In coordinates xl yl zl and point P2 have
other words, a firm or hard decision is coordinates x2, y2 z2 from the geometry of
made on each bit at the threshold the situation, the distance d between the
detector. With soft decision decoding
[1][2][6] , the received codeword is com- points is obtained from
pared in total with the known code words.
d2 = (x1- x2)2 + (y1-y2)2+ (z1-z2)2
I. INTRODUCTION
II. HARD-DECISION-DECODING
With hard decision decoding, the output ALGORITHM
from the optimum demodulator is passed to
The algorithm will be explained on the basis
a threshold detector. Using triple
of majority vote [1][3]. An error free
redundancy again as an example, the two
received codeword would be e.g. c = [1 0 0
codeword would be 111 and 000. For binary
1 0 1 0 1]. Let’s suppose that we have a
polar signals, these might be represented by
BHC channel and the received the codeword
voltage levels 1V 1 V 1V and -1V -1V -1V.
with one error – bit c1 flipped to 1.
The threshold level for the threshold
detector would be set at 0 V. If now the 1. In the first step all v-nodes ci send a
sampled signal from the optimum ”message” to their c-nodes fj containing the
demodulator is 0.5 V 0.7 V -2V, the output bit they believe to be the correct one for
from the threshold detector would be 1 V 1 them. At this stage the only information a v-
V -1 V, and the decoder would decide that node ci has, is the corresponding received i-
this was a binary 110 codeword and produce th bit of c, yi[3][4].
a binary 1 as output. In other words, a firm
or hard decision is made on each bit at the That means for example, that c0 sends a
threshold detector. message containing 1 to f1 and f3, node c1
sends messages containing y1 (1) to f0 and
With soft decision decoding f1, and so on.
the received codeword is compared in total
with the known code words in the set 2. In the second step every check nodes fj
111and 000 in this example the comparison calculate a response to (fj ci) every
is made on the basis of minimum distance connected variable node. The response
COMO431-1
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

message contains the bit that fj believes to Table 1. Comparision of error


be the correct one for this v-node ci
assuming that the other v-nodes connected III. SOFT INPUT SOFT OUTOUT
to fj are correct. This will be the case if all ALGORITHMS
check equations [2][1]are fulfilled. We will
later see that the whole algorithm contains a The source block delivers information by the
loop, so another possibility to stop would be mean of sequences which are row vectors x
a threshold for the amount of loops [2][4]. of length K. The encoder block delivers the
codeword c of length N, which is the coded
3. Next phase: the v-nodes receive the version of x. The code rate is defined by the
messages from the check nodes and use this ratio R = K/N. The codeword c is sent over
additional information to decide if their the channel and the vector y is the received
originally received bit is OK. A simple way word: a distorted version of c.
to do this is a majority vote. When coming
back to our example that means, that each v- Hence, the channel is represented by a
node has three sources of information discrete time equivalent model. The channel
concerning its bit. The original bit received is a non-deterministic mapped between its
and two suggestions from the check nodes. input c and its output y. We assume that y
Table 2 illustrates this step. Now the v- depends on c via a conditional probability
nodes can send another message with their density function (pdf) p(y|c). We assume also
(hard) decision for the correct value to the that the channel is memoryless:
check nodes.
4. Go to step 2. Loop In our example, the p(y|c)=
second execution of step 2 would terminate
the decoding process since c1 has voted for
0 in the last step. This corrects the v-nodes For example, if the channel is the binary-
use the answer messages from the c-nodes to input additive white Gaussian noise (BI-
perform a majority vote on the bit value. AWGN), and if the modulation is a binary
The transmission error and all check phased shift keying (BPSK) modulation with
equations are now satisfied. the 0= +A, 1 = −A mapping, we have:
p(yn|cn)=

where Es = A2 is the energy of the symbol


sent over the channel.
Soft Input Soft Output (SISO)
algorithms [1][2]are the fundamental
building blocks of iterative decoders. A SISO
is in general a block that accepts messages
(to be defined later) about both the input and
output symbols of an encoder and provides
COMO431-2
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

DECISION n=1 n=2 n=3 n=4 n=5 n=6 n=7 n=8 n=10
P_e_hard 0.0239 0.8744 2.903 5.2896 7.5817 9.6382 11.4406 12.034 15.3502
P_e_soft 0.0000 0.0047 0.0623 0.2333 0.5246 0.9107 1.3607 1.8489 2.4600
extrinsic messages about the same symbols. error in soft decoding and hard decoding
The extrinsic message is generated by scheme are shown in table in table.2
considering the a priori constraints that exist
between the input and output sequences of Table- 2
the encoder. In this section we will give more
precise definitions of the “message” and on
the input output relationships of a SISO
block. Moreover we will show efficient
algorithms to perform SISO for some special
types of encoders.
A. Definition of the input and output metrics
A SISO module generally works
associated to a known mapping (encoding)
between input and output alphabets [4].
A SISO module is a four port device
that accepts some messages of the input and
output symbols of the encoder and provides
homologous output extrinsic messages. We
will consider the following two types of
normalized messages:

Likelihood ratio (LR)

LLR information L(x ) = ln ,


Fig.-1 decoding comparisons

L(x) represents the ratio between the The probability of error is low and higher
likelihood of the symbol being 1 and 0 "_ decoding throughput in soft decoding
scheme then hard decoding scheme as
shown in fig.-1

IV. SIMULATION AND ANALYSIS


RESULTS

If we consider the power spectral density 5,


and no of message bits n as in table and
normalized bit energy Eb 6 then if the error
is in 2 bit then calculate the probability of

COMO431-3
CONFERENCE ON “SIGNAL PROCESSING AND REAL TIME OPERATING SYSTEM (SPRTOS)” MARCH 26-27 2011

[8] B.P. Lathi, “Modern Digital and Analog


Communication System” Oxford University
Press Inc,1998.
[9] Taub Schilling, “Principles of
Communication Systems” Tata McGRAW
REFERENCES HILL,1991.

[1] R. G. Gallager, Low Density Parity


Check Codes. MIT Press, 1963.
[10] P. Gopalan, R. Lipton, and Y. Z. Ding,
[2] D. MacKay, “Good Error-Correcting “Codes, adversaries, and information: A
computational approach,” paper, 2001,
Codes Based on Very Sparse Matrices,”
submitted for publication.
Information Theory, IEEE Transactions on,
vol. 45, 1999. [11] Clark, G. C. Jr. and J. Bibb Cain.,
Error-Correction Coding for Digital
[3] C. Berrou, A. Glavieux, and P. Communications, New York, Plenum Press,
Thitimajshima, “Near optimum error 1981.
correcting coding and decoding: Turbo-
Codes,” in Communications, [12] Gitlin, R. D., J. F. Hayes, and S. B.
1993.Technical Program, Conference Weinstein, Data Communications
Record, IEEE International Conference on, Principles, New York, Plenum, 1992.
May 1993
[13] Heller, J. A. and I. M. Jacobs, "Viterbi
[4]Y. Dai, N. Chen, and Z. Yan, “Memory- Decoding for Satellite and Space
Communication," IEEE Transactions on
efficient decoder architectures for LDPC” Communication Technology, Vol. COM-19,
accepted and to appear in IEEE October 1971, pp 835–848.
Trans.Circuits and Systems.
[14] Yasuda, Y., et. al., "High-rate
[5]P. Gopalan, R. Lipton, and Y. Z. Ding, punctured convolutional codes for soft
“Codes, adversaries, and information: decision Viterbi decoding," IEEE
Transactions on Communications, Vol.
[6]SIMAON HAYKIN, Digital COM-32, No. 3, pp 315–319, March 1984.
Communications McMaster University
JHON WILEY &SONS. [15] Haccoun, D., and Begin, G., "High-
rate punctured convolutional codes for
[7] M. Mansour and N. Shanbhag, “High- Viterbi and sequential decoding," IEEE
throughput LDPC decoders,” IEEE Tran. Transactions on Communications, Vol. 37,
Very Large Scale Integration Systems, vol. No. 11, pp 1113–1125, Nov. 1989.
11, pp. 976 – 996, 2003.

COMO431-4

You might also like