You are on page 1of 14

Silicon Dioxide: Properties and

Applications
The Universal Compound
Silicon dioxide (silica) is one of the most commonly encountered substances in both daily life and in electronics
manufacturing. Crystalline silicon dioxide (in several forms: quartz, cristobalite, tridymite) is an important
constituent of a great many minerals and gemstones, both in pure form and mixed with related oxides. Beach sand
is mostly silica. The working of silica into glass (usually by the addition of natron -- sodium oxide -- to lower the
melting point) has been known since antiquity, with polished glass lenses in eyeglasses and optical instruments
dating back more than 5 centuries. The whole of planar electronics processing and the modern IC industry has
been made possible by the unique properties of silicon dioxide: the only native oxide of a common semiconductor
which is stable in water and at elevated temperatures, an excellent electrical insulator, a mask to common
diffusing species, and capable of forming a nearly perfect electrical interface with its substrate. Deposited silicon
dioxide, almost always by CVD approaches, is almost as old as thermal growth on the substrate, and has been
employed in various ways in IC fabrication due to its familiarity, versatility, and reliability.

Let us examine this interesting material. SiO2 is formed by strong, directional covalent bonds, and has a well-
defined local structure: four oxygen atoms are arrayed at the corners of a tetrahedron around a central silicon
atom:

[The oxygen atoms are electronegative, and some of the silicon valence electron density is transferred to the
oxygen neighbors, but it is incorrect to regard the material as a salt of a Si[+4] ion with O[-2] ions, as is
sometimes seen in the literature: the directionality of the bonds is essential to the observed structures. ]

The bond angles around O-Si-O are essentially the tetrahedral angle, 109 degrees; the Si-O distance is 1.61 A
(0.16 nm) with very little variation. [The bond distance is slightly smaller than the sum of the conventional
covalent "radii" of the atoms: Si (0.11 nm) + O(0.066 nm) = 0.18 nm. Thus we ought to show the atoms as slightly
penetrating each other; the sphere size was shrunk in the diagram above for clarity.]

It is the oxygen "bridge" bonds between silicon atoms that give SiO2 many of its unique properties. The bond
angle Si-O-Si is nominally about 145 degrees, but can vary from about 100 to 170 degrees with very little change
in bond energy. Furthermore, rotation of the bond about the axis is almost completely free.

A convenient way of summarizing these observations (known as the Zachariesen-Warren model for the structure
of SiO2) is that the "tetrahedra" formed by the SiO4 groups must touch each other at their corners, but can do so at
widely varying angles.

The result of this flexibility in the bridge bonds is that SiO2, while it has many different possible crystalline
structures, can very easily form amorphous materials (i.e. materials with no long-range order). Essentially all
deposited and thermally grown oxides in semiconductor processing are amorphous. Unlike e.g. amorphous silicon,
amorphous silicon dioxide will not crystallize upon annealing at normal temperatures. ("Devitrification" -- that is,
crystallization -- of quartz furnace tubes used for high-temperature oxidation is sometimes observed after
thousands of hours of use at temperatures exceeding 1200 C.) The amorphous structure is tends to be very "open":
even in thermally-grown oxides, channels exist through which small positive ions such as Na+ and K+ can readily
migrate. These ions can move under the influence of electric fields within the gate oxides of MOS transistors,
causing shifts in the voltage at which the transistor turns on ("threshold shifts"). Exclusion of such ions is
imperative for reliable operation of MOS transistors and integrated circuits.

Let's look at some important properties of pure SiO2:

density 2.0-2.3 gm/cm3


electrical conductivity varies widely
>1E7 V/cm in thermal oxides; can be as low as 1E6
breakdown field
V/cm in CVd oxides
thermal conductivity 0.01 W/cm K (bulk)
thermal diffusivity 0.009 cm2/sec (bulk)
coefficient of thermal expansion 0.5 ppm/ K [note Si thermal exp 2.3 ppm/K]
refractive index 1.46 [thermal oxide]
3.9 [thermal oxide]; CVD oxides vary widely depending
dielectric constant
on H
Chemistry
Interaction with hydrogen and water are important in semiconductor applications of silicon dioxide. Hydrides such
as silane (SiH4) and DCS (SiH2Cl2) are often used as precursors for silicon dioxide deposition, and water is
ubiquitous in the ambient. Some important reactions are:

The first reaction has little change in enthalpy and is nearly reversible; locally strained bonds, with reduced bond
energy, are particularly vulnerable to attack by water ("hydrolysis"). Oxides containing large amounts of SiOH
are more hygroscopic, and readily adsorb water molecules from the air. The water can migrate through the
deposited materials to the gate oxide, there causing drifts in performance of transistors under bias, impairing hot
electron reliability, also known as gate oxide integrity or GOI. The water molecules can, however, be consumed
by the reactions with Si-H groups: this is the basis of the use of silicon-rich oxides as water getters or barriers.

Deposited oxides often have strained bonds and reduced density. At temperatures of around 650 to 700 C, local
structural readjustments become possible; oxides annealed at temperatures above 700 C for a few minutes are
normally found to be "densified", with reduced hydrogen content and improved stability in subsequent anneals or
exposure to ambient moisture.

Doped Oxides: PSG, BPSG


In IC processing it is often important that each deposited layer end up with a flat surface upon which to build and
pattern subsequent layers -- planarization. One means of planarizing a layer is to melt it and let it flow as a
liquid, minimizing surface tension and thus curvature. It is highly desirable to use such "flow" processes with
dielectric layers to smooth out the rough edges of underlying features such as polysilicon gates. However, pure
silicon dioxide requires temperatures of 1300-1400 C to flow readily; this is much too hot for other features on the
wafer to tolerate. Introduction of "dopants" (really more like alloying elements, as they are used in percentage
quantities) can reduce the melting point of the glass. Traditionally (as noted above) sodium oxides have been used
to soften glass, but sodium ions are unacceptable for electronic applications. Thus, addition of phosphorus or
boron (PSG, BSG, and BPSG) is used. (Arsenic is also occassionally employed as a dopant.)

Phosphosilicate glass (PSG) flows readily at 1000 C for 6-8 weight% P in the alloy. An additional benefit of
adding phosphorus is the "gettering" of small positive ions: Na is trapped at trivalent P atoms and thus prevented
from migrating. PSG was thus a very popular first deposited dielectric layer after transistors are formed (also
known as a contact dielectric or ILD). However, PSG has important limitations. The flow temperature cannot be
made lower by adding more phosphorus, because the additional material becomes susceptible to interaction with
ambient moisture to form phosphoric acid. In trace quantities, the acid leads to corrosion of subsequent metal
layers and poor reliability; in large quantities gross extraction in air occurs, leading to degraded flow properties
and lithography defects.
Borophosphosilicate glass (BPSG) can achieve a lower flow temperature: typically around 900 C for 4-5 wt.% of
each dopant. (Note that 4 weight % of boron is a very large mole percentage -- around 12 at.% depending on
composition-- because B atoms are so light.) BPSG has been the contact dielectric of choice in many processes
since the late 1980's. Two anneals may be used, one for planarization and a second after contact via holes are
etched to round the edges slightly for metal continuity.

Like PSG, the amount of dopants that can be added while remaining stable on exposure to the atmosphere is
limited. For high B concentrations, crystals of boric acid form at the surface of the oxide on exposure to air; high
P films give phosphoric acid. Stability in air is usually poorest after deposition and improved after flow, so it is
not uncommon to require that flow processes be performed within a few hours of deposition -- a significant
inconvenience in a production facility. Further, high dopant concentrations can lead to the formation of crystals of
boron phosphate (BPO4) within the oxide during anneals, particularly during the cooldown step. BPO4 is actually
a thermodynamically stable phase when B and P concentrations are high, and causes defects since the crystals are
not readily etched in wet HF or fluorine plasmas. The practical limit for minimum flow temperature appears to be
about 850 C for post-flow or 800 C for films deposited at high temperatures (i.e. flow in situ). These temperatures
are currently (late 90's) acceptable for many memory applications but are too high for advanced logic devices, so
flowed BPSG is slowly being replaced in many applications by CMP-planarized films.

CVD SiO2 Films: Survey of Precursors and Methods


The table below shows a few of the many precursors, reactors, and applications for CVD silicon dioxide and
doped oxide films.

Precursors Technique Applications


SiH4, O2; PH3 and B2H6 Showerhead APCVD ("Pyrox"
obsolete
as dopants; 400-500 C reactor)
Tube LPCVD BPSG
Injector APCVD BPSG, PSG final passivation

TEOS, O2; TMP and Tube LPCVD ("HTO"); 700 C spacer oxides, gate oxide sandwich, isolation
TMB as dopants sandwich; BPSG
PECVD; 300 - 350 C intermetal dielectric (dep/sputter etch or
sandwich with TEOS/O3); cap layers for BPSG
or PSG; final passivation

SiH4, N2O PECVD, 200-450 C cap layers and barrier layers for spin-on glass;
final passivation
TEOS, O3; TMP and Showerhead "SACVD", 200-700 IMD sandwich; BPSG; final passivation; spacer
TMB as dopants Torr, 350-500 C, or injector oxide
APCVD, 350-500 C

Properties of Silicon Dioxide-

Formula SiO2
Molar Mass 60.0843 g
Melting Point 1710 (oC)
Boiling Point 2230 (oC)
Density ~2.6 g/C3
Natural Abundance in 4.47x107 mg/kg
Solar System
Natural Abundance In 2.82x105 mg/kg
the earth’s crust
Natural Abundance in 2.2 mg/l
the sea
Percent Composition by 47.644% Si
Mass 53.255% O
Percent Composition by 33.33% Si
Number 66.66% O

Quartz Crystal

Silicon Dioxide, also commonly know as silica, is an interesting compound. Silicon


Dioxide is commonly found as a white or transparent, crystalline solid. It is an odorless
compound. It belongs to group IV of the chemical family called metal oxides. It is a very
stable compound, as in the only acid it will react with is hydrofluoric acid. Silicon
dioxide is an acidic oxide. It is acidic because when in water it produces hydrogen ions
from the water. Silicon dioxide also transmits visible and ultraviolet light.

Silicon Dioxide comes in many different geometrical patterns. 35 crystalline shapes


have been observed which results in differing density of each group of atoms. When the
first atomic bomb test run was dropped in New Mexico it produced another crystalline
form of silicon dioxide known as ketite. Quartz is one of the most common shapes. These
geometric crystalline shapes can transform when silicon dioxide is heated over 867 oC.
Silicon dioxide is held together by double, covalent bonds.

Si(s)+ 2O(g)àSiO2

In the equation above silicon react with 2 oxygen atoms to form Silicon Dioxide. In
this case the silicon ion is an ion with the valence of +4 and each of the two oxygen
anions have a valence of –2.

Uses/ Acquirement of Silicon Dioxide-


Silicon Dioxide is one of the most abundant compounds on the planet earth and
has many different uses.

Silicone can be easily found in the earths crust in crystalline form or in


amorphous powder form. Silicon and oxygen together are responsible for 75% of the
earths crust. Sand is Silicon Dioxide and that makes sandy areas such as the beach,
desserts, and other sandy areas a good resource for silicon dioxide. Silicon dioxide can be
found in the earth crust in quartz form also.

Silicon dioxide is a compound of many uses and has been for many years.
Silicon Dioxide , because of its abundance, is the compound that is used commercially as
a resource for pure silicon. To extract the silicon large furnaces are used to heat the
silicon dioxide, removing the oxygen and leaving pure silicon behind. Today, the modern
electronics world greatly depends on silicon dioxide for the manufacture of
semiconductors, wire insulation, and fiber optic cables. Its high melting temperature and
its chemical stability make it perfect ingredient for insulating wires. Since quartz (SiO2)
has piezoelectric properties this makes silicon an evermore-valuable compound to
modern electronics. Piezoelectric means that it has the capabilities converting mechanical
energy to electric energy and electric energy to mechanical energy. This property of
quartz allows for radio and TV stations to transmit, stabilize, and receive signals. Sonar
also uses this piezoelectric property to detect vibrations. Wrist watches, such as the
famous Rolex, use quartz to help keep accurate time. Today the oil industry uses silicon
dioxide gel to help refine crude oil into usable fuel such as gasoline. Silicon dioxide is
also often applied in field of engineering. Silicon dioxide is used in glasses for
windows( not to mention may other things made of glass), metal alloys, pipe flux, metal
alloys, concrete, sand for foundations, sealants, sandblasting, and many more
applications. These are a few of the main applications of silicon dioxide and there are a
few more listed below.
Some other uses include…
• Petroleum products
• Molds for castings
• Refractive pieces (lenses, prisms…)
• Thickening agent in medicines
• Precision measurement equipment (balances, gravimeters, galvanometers…)
• Detergents
History of Silicon Dioxide-

Silicon Dioxide dates back many years, back to the times after earth was formed.
At this time the earliest forms of life made their skeletons from silicon Dioxide. At this
time many plant also used silicon dioxide for support structures. Later on, on the
timeline of earth, during the time of man, the mighty Romans used silicon dioxide in the
form of sand to strengthen the invention of concrete. The upper class Romans also
utilized another form silicon dioxide; know as quartz, for gemstones in jewelry. Around
this same time in Asia, Hindu monks spent large portions of their live using silicon
dioxide in the form of sand to make designs and destroy them and then make another
design. This practice is still continued today. Further down the road of silicon dioxide and
the earth is the invention of glass. Although they may have not been the first to invent
glass, the Venetians of Italy perfected the art of glass blowing. Glass is mainly made of
silicon dioxide with other compounds such as Na2O, CaO, and Al2O3. Glass provided a
new technology that could be form into windows, art, containers, and later on into
polished lenses. Imagine where the world would be today without seeing glasses? Silicon
Dioxide has played a significant role in the worlds history and will continue to
throughout the ages. Some even believe that in the future the majority of life forms will
no longer be based on carbon but on silicon.

Silicon Dioxide
The chemical compound silicon dioxide, also known as silica (from the Latin silex), is an
oxide of silicon with a chemical formula of SiO2 and has been known for its hardness
since antiquity. Silica is most commonly found in nature as sand or quartz, as well as in
the cell walls of diatoms. Silica is the most abundant mineral in the Earth's crust.[1][2]

Silica is manufactured in several forms including fused quartz, crystal, fumed silica (or
pyrogenic silica, trademarked Aerosil or Cab-O-Sil), colloidal silica, silica gel, and
aerogel. In addition, silica nanosprings are produced by the vapor-liquid-solid method at
temperatures as low as room temperature.[3]

Silica is used primarily in the production of glass for windows, drinking glasses,
beverage bottles, and many other uses. The majority of optical fibers for
telecommunications are also made from silica. It is a primary raw material for many
whiteware ceramics such as earthenware, stoneware, porcelain, as well as industrial
Portland cement.

Silica is common additive in the production of foods, where it is used primarily as a flow
agent in powdered foods, or to absorb water in hygroscopic applications. It is the primary
component of diatomaceous earth which has many uses ranging from filtration to insect
control. It is also the primary component of rice husk ash which is used, for example, in
filtration and cement manufacturing.
Thin films of silica grown on silicon wafers via thermal oxidation methods can be quite
beneficial in microelectronics, where they act as electric insulators with high chemical
stability. In electrical applications, it can protect the silicon, store charge, block current,
and even act as a controlled pathway to limit current flow.

A silica-based aerogel was used in the Stardust spacecraft to collect extraterrestrial


particles. Silica is also used in the extraction of DNA and RNA due to its ability to bind
to the nucleic acids under the presence of chaotropes. As hydrophobic silica it is used as a
defoamer component. In hydrated form, it is used in toothpaste as a hard abrasive to
remove tooth plaque.

In its capacity as a refractory, it is useful in fiber form as a high-temperature thermal


protection fabric. In cosmetics, it is useful for its light-diffusing properties and natural
absorbency. Colloidal silica is used as a wine and juice fining agent. In pharmaceutical
products, silica aids powder flow when tablets are formed. Finally, it is used as a thermal
enhancement compound in ground source heat pump industry.

Crystal structure
In the vast majority of silicates, the Si atom shows tetrahedral coordination, with 4
oxygen atoms surrounding a central Si atom. The most common example is seen in the
quartz crystalline form of silica SiO2. In each of the most thermodynamically stable
crystalline forms of silica, on average, all 4 of the vertices (or oxygen atoms) of the SiO4
tetrahedra are shared with others, yielding the net chemical formula: SiO2.

Tetrahedral structural unit of silica (SiO4), the basic building block of the most ideal glass
former.

For example, in the unit cell of alpha-quartz, the central tetrahedron shares all 4 of its
corner O atoms, the 2 face-centered tetrahedra share 2 of their corner O atoms, and the 4
edge-centered terahedra share just one of their O atoms with other SiO4 tetrahedra. This
leaves a net average of 12 out of 24 total vertices for that portion of the 7 SiO4 tetrahedra
which are considered to be a part of the unit cell for silica (see 3-D Unit Cell).

SiO2 has a number of distinct crystalline forms (polymorphs) in addition to amorphous


forms. With the exception of stishovite and fibrous silica, all of the crystalline forms
involve tetrahedral SiO4 units linked together by shared vertices in different
arrangements. Silicon-oxygen bond lengths vary between the different crystal forms, for
example in α-quartz the bond length is 161 pm, whereas in α-tridymite it is in the range
154–171 pm. The Si-O-Si angle also varies between a low value of 140° in α-tridymite,
up to 180° in β-tridymite. In α-quartz the Si-O-Si angle is 144°.[5]

Fibrous silica has a structure similar to that of SiS2 with chains of edge-sharing SiO4
tetrahedra. Stishovite, the higher pressure form, in contrast has a rutile like structure
where silicon is 6 coordinate. The density of stishovite is 4.287 g/cm3, which compares to
α-quartz, the densest of the low pressure forms, which has a density of 2.648 g/cm 3.[6] The
difference in density can be ascribed to the increase in coordination as the six shortest Si-
O bond lengths in stishovite (four Si-O bond lengths of 176 pm and two others of 181
pm) are greater than the Si-O bond length (161 pm) in α-quartz. [7] The change in the
coordination increases the ionicity of the Si-O bond.[8] But more important is the
observation that any deviations from these standard parameters constitute microstructural
differences or variations which represent an approach to an amorphous, vitreous or glassy
solid.

Note that the only stable form under normal conditions is α-quartz and this is the form in
which crystalline silicon dioxide is usually encountered. In nature impurities in
crystalline α-quartz can give rise to colors (see list).

Note also that both high temperature minerals, cristobalite and tridymite, have both a
lower density and index of refraction than quartz. Since the composition is identical, the
reason for the discrepancies must be in the increased spacing in the high temperature
minerals. As is common with many substances, the higher the temperature the farther
apart the atoms due to the increased vibration energy.

The high pressure minerals, seifertite, stishovite, and coesite, on the other hand, have a
higher density and index of refraction when compared to quartz. This is probably due to
the intense compression of the atoms that must occur during their formation, resulting in
a more condensed structure.

Faujasite silica is another form of crystalline silica. It is obtained by dealumination of a


low-sodium, ultra-stable Y zeolite with a combined acid and thermal treatment. The
resulting product contains over 99% silica, has high crystallinity and high surface area
(over 800 m2/g). Faujasite-silica has very high thermal and acid stability. For example, it
maintains a high degree of long-range molecular order (or crystallinity) even after boiling
in concentrated hydrochloric acid.[9]

Molten silica exhibits several peculiar physical characteristics that are similar to the ones
observed in liquid water: negative temperature expansion, density maximum, and a heat
capacity minimum.[10] When molecular silicon monoxide, SiO, is condensed in an argon
matrix cooled with helium along with oxygen atoms generated by microwave discharge,
molecular SiO2 is produced which has a linear structure. Dimeric silicon dioxide, (SiO2)2
has been prepared by reacting O2 with matrix isolated dimeric silicon monoxide, (Si2O2).
In dimeric silicon dioxide there are two oxygen atoms bridging between the silicon atoms
with an Si-O-Si angle of 94° and bond length of 164.6 pm and the terminal Si-O bond
length is 150.2 pm. The Si-O bond length is 148.3 pm which compares with the length of
161 pm in α-quartz. The bond energy is estimated at 621.7 kJ/mol.[11]

Quartz glass
Main article: Glass
When silicon dioxide SiO2 is cooled rapidly enough, it does not crystallize but solidifies
as a glass. The glass transition temperature of pure SiO2 is about 1600 K (1330 °C or
2420 °F). Like most of the crystalline polymorphs the local atomic structure in pure silica
glass is regular tetrahedra of oxygen atoms around silicon atoms. The difference between
the glass and the crystals arises in the connectivity of these tetrahedral units. SiO2 glass
consists of a non-repeating network of tetrahedra, where all the oxygen corners connect
two neighbouring tetrahedra. Although there is no long range periodicity in the glassy
network there remains significant ordering at length scales well beyond the SiO bond
length. One example of this ordering is found in the preference of the network to form
rings of 6-tetrahedra.[28]

Chemistry

Manufactured silica fume at maximum surface area of 380 m2/g

Silicon dioxide is formed when silicon is exposed to oxygen (or air). A very shallow
layer (approximately 1 nm or 10 Å) of so-called native oxide is formed on the surface
when silicon is exposed to air under ambient conditions. Higher temperatures and
alternative environments are used to grow well-controlled layers of silicon dioxide on
silicon, for example at temperatures between 600 and 1200 °C, using so-called dry or wet
oxidation with O2 or H2O, respectively.[29] The depth of the layer of silicon replaced by
the dioxide is 44% of the depth of the silicon dioxide layer produced.[29]

Alternative methods used to deposit a layer of SiO2 include[30]

• Low temperature oxidation (400–450 °C) of silane

SiH4 + 2 O2 → SiO2 + 2 H2O.

• Decomposition of tetraethyl orthosilicate (TEOS) at 680–730 °C

Si(OC2H5)4 → SiO2 + 2 H2O + 4 C2H4.

• Plasma enhanced chemical vapor deposition using TEOS at about 400 °C


Si(OC2H5)4 + 12 O2 → SiO2 + 10 H2O + 8 CO2.

• Polymerization of tetraethyl orthosilicate (TEOS) at below 100 °C using amino


acid as catalyst.[31]

Pyrogenic silica (sometimes called fumed silica or silica fume), which is a very fine
particulate form of silicon dioxide, is prepared by burning SiCl4 in an oxygen rich
hydrocarbon flame to produce a "smoke" of SiO2:[6]

SiCl4 + 2 H2 + O2 → SiO2 + 4 HCl.

Amorphous silica, silica gel, is produced by the acidification of solutions of sodium


silicate to produce a gelatinous precipitate that is then washed and then dehydrated to
produce colorless microporous silica.[6]

Quartz exhibits a maximum solubility in water at temperatures about 340 °C.[32] This
property is used to grow single crystals of quartz in a hydrothermal process where natural
quartz is dissolved in superheated water in a pressure vessel which is cooler at the top.
Crystals of 0.5–1 kg can be grown over a period of 1–2 months.[5] These crystals are a
source of very pure quartz for use in electronic applications.[6]

Fluorine reacts with silicon dioxide to form SiF4 and O2 whereas the other halogen gases
(Cl2, Br2, I2) react much less readily.[6]

Silicon dioxide is attacked by hydrofluoric acid (HF) to produce hexafluorosilicic acid:[5]

SiO2 + 6 HF → H2SiF6 + 2 H2O.

HF is used to remove or pattern silicon dioxide in the semiconductor industry.

Silicon dioxide dissolves in hot concentrated alkali or fused hydroxide:[6]

SiO2 + 2 NaOH → Na2SiO3 + H2O.

Silicon dioxide reacts with basic metal oxides (e.g. sodium oxide, potassium oxide,
lead(II) oxide, zinc oxide, or mixtures of oxides forming silicates and glasses as the Si-O-
Si bonds in silica are broken successively).[5] As an example the reaction of sodium oxide
and SiO2 can produce sodium orthosilicate, sodium silicate, and glasses, dependent on the
proportions of reactants:[6]

2 Na2O + SiO2 → Na4SiO4;


Na2O + SiO2 → Na2SiO3;
(0.25–0.8)Na2O + SiO2 → glass.

Examples of such glasses have commercial significance e.g. soda lime glass, borosilicate
glass, lead glass. In these glasses, silica is termed the network former or lattice former.[5]
Bundle of optical fibers composed of high purity silica.

With silicon at high temperatures gaseous SiO is produced:[5]

SiO2 + Si → 2 SiO (gas).

Sol-gel

Silica fiber mesh for thermal insulation.

The sol-gel process is a wet chemical technique used for the fabrication of both glassy
and ceramic materials. In this process, the sol (or solution) evolves gradually towards the
formation of a gel-like network containing both a liquid phase and a solid phase. The
basic structure or morphology of the solid phase can range anywhere from discrete
colloidal particles to continuous chain-like polymer networks.[33][34]

The term “colloid” is specific to the size of the individual particles, which are greater
than atoms but small enough not to settle to the bottom of a container immediately. Their
dynamic behavior is governed by forces of gravity and sedimentation, but may remain
suspended in a liquid medium indefinitely. This critical size range (or particle width)
typically ranges from tens of angstroms to a few microns.
1. In basic solutions (pH > 7), the particles may grow to sufficient size to become
colloids. Particles like these may become highly ordered in a manner similar to
those seen in precious opal.
2. Under acidic conditions (pH < 7), a more open continuous network of chain-like
polymers is formed. Polymers like this can be useful due to their viscosity, which
allows them to be drawn or spun from solution into fibers, or drawn as thin films
into surface coatings. Such glass fiber is useful for guided lightwave transmission,
with ceramic fiber providing excellent thermal insulation.

In either case, the sol evolves towards the formation of a biphase gel. In the case of the
colloid, the number of particles in an extremely dilute suspension may be so low that a
significant amount of solvent may need to be removed initially for the gel-like properties
to be recognized. This can be accomplished in any number of ways. The simplest method
is to allow time for sedimentation to occur, and then pour off the remaining liquor. A
variable speed centrifuge can also be used to accelerate the process of liquid removal.

Removal of the remanent solvent phase requires a drying process, which is typically
accompanied by a significant amount of shrinkage and densification. Since the water will
most likely reside within microstructural pores, the rate at which the solvend can be
removed is ultimately determined by the distribution of pore room in the gel. Subsequent
thermal treatment (or low temperature sintering at 500–600 °C) may be performed in
order to obtain a higher density product. With regard to methods of application:

1. The sol can be deposited on a substrate to form a film using dip-coating or spin-
coating;
2. It can be cast into a suitable container with the desired shape;
3. It can be used to synthesize fine high-purity powders.[35][36]

The sol-gel approach is a cheap and low-temperature technique that maintains a high
degree of chemical purity. Thus it allows for total control of the product’s chemical
composition. It can be used in ceramics manufacturing processes, as an investment
casting material, or as a means of producing thin films or coatings.[37]

Biomaterials
Silicification is quite common in the biological world and occurs in bacteria, single-
celled organisms, plants, and animals (invertebrates and vertebrates). Crystalline minerals
formed in this environment often show exceptional physical properties (e.g. strength,
hardness, fracture toughness) and tend to form hierarchical structures that exhibit
microstructural order over a range of scales. The minerals are crystallized from an
environment that is undersaturated with respect to silicon, and under conditions of neutral
pH and low temperature (0–40 °C). Formation of the mineral may occur either within or
outside of the cell wall of an organism, and specific biochemical reactions for mineral
deposition exist that include lipids, proteins, and carbohydrates. Silica is a material
strengthener of bone and can be fetched in the trace silicon compounds in beer.
Health effects

Quartz sand (silica) as main raw material for commercial glass production

Inhaling finely divided crystalline silica dust in very small quantities (OSHA allows
0.1 mg/m3) over time can lead to silicosis, bronchitis, or cancer, as the dust becomes
lodged in the lungs and continuously irritates them, reducing lung capacities. (Silica does
not dissolve over time.) This effect can be an occupational hazard for people working
with sandblasting equipment, products that contain powdered crystalline silica and so on.
Children, asthmatics of any age, allergy sufferers, and the elderly (all of whom have
reduced lung capacity) can be affected in much earlier. Amorphous silica, such as fumed
silica is not associated with development of silicosis.[38] Laws restricting silica exposure
with respect to the silicosis hazard specify that the silica is both crystalline and dust-
forming.

In respects other than inhalation, pure silicon dioxide is inert and harmless. Clean silicon
dioxide yields no fumes and is insoluble in vivo. It is indigestible, with no nutritional
value and no toxicity.[citation needed] When silica is ingested orally, it passes unchanged
through the gastrointestinal (GI) tract, exiting in the feces, leaving no trace behind.[citation
needed]
Small pieces of silicon dioxide are also harmless[citation needed] as they do not obstruct
the GI tract, if they are not jagged enough to scathe its lining.

A study which followed subjects for 15 years found that higher levels of silica in water
appeared to decrease the risk of dementia. The study found that with an increase of 10
milligram-per-day of the intake of silica in drinking water, the risk of dementia dropped
by 11%.[39]

You might also like