Professional Documents
Culture Documents
2 marks:
Chapter 1
6. Explain MODULARITY:
Modularity in design means that the various functional blocks which make up the larger system must
have well-defined functions and interfaces.
Module can be designed independently
Modules (blocks) can be combined easily at the end.
Parallel design process is possible
Use of generic modules is easy.
Bottom up approach:
In it all basic modules are decided first.
These modules are combined to make higher level modules.
Until the top level block, smaller blocks are combined.
1. Define VOH, VOL, VIL, and VIH or Define VOL and VIL.
VOH: it is the minimum output voltage for logic high where dVout/dVin = -1.
VOL: it is the maximum output voltage for logic low where dVout/dVin = -1.
VIL : it is the maximum input voltage for logic low where dVout/dVin = -1.
VIH: it is the minimum input voltage for logic high where dVout/dVin = -1.
2. Draw a circuit of Enhancement load N MOS Inverter
Cascaded two inverters are connected as shown in figure. Parasitic capacitances comes into picture.
Cgd and Cgs are gate overlap capacitances.
Cdb and Csb are voltage dependent junction capacitances.
Cg is due to thin oxide capacitance over gate.
Cint is the lumped capacitance due to metal connections between two inverters
Csb,n and Csb,p have no effect on the transient analysis as voltage between gate to substrate is Zero.
Cgs,n and Cgs,p have no effect at the output terminal as they are connected between
between input and ground.
Cload = Cgd,n + Cgd,p + Cdb,n + Cdb,p + Cint + Cg
This Cload causes changes in the transient analysis of the inverter.
8. Draw circuit diagram of three Cascaded Inverter with VOH, VOL, VIH, VIL
notation
If the input of first inverter is VOH then the output of inverter 1 is VOL.
For inverter 2 due to interconnect the VOL may be interpreted as logic high.
Same applies to inverter 2
Example:
Case sel is
When “00” => Y <= I0;
When “01” => Y <= I1;
When “10” => Y <= I2;
When “11” => Y <= I3;
When others => Y <= ‘Z’;
End case;
Syntax:
Entity <entity_name> is
[Port(list- of-interface-signals: mode type [:= initial-value]); ]
End [entity] [entity_name];
Example:
Entity andgate is
Port( a,b : in std_logic;
C: out std_logic);
End andgate;
8. Write an entity for half adder circuit in VHDL.
9. Write an entity for full adder circuit in VHDL
10. Write the VHDL Code for NOT gate
11. Implement AND GATE using 2 to 1 Multiplexer.
12. Define component of 2×4 decoder in VHDL
13. Fill in Blanks – In General _____data flow______modeling used to implement combinational logic and
_behavioral___________ modeling used to implement sequential logic in VHDL.
3 marks
Chapter 1
1. Explain design hierarchy with example. 3 marks , Explain structural decomposition of 4-bit full adder (
4 marks)
Definition: Dividing module into sub module and repeating this operation on sub module until
the complexity of smallest part becomes manageable.
Based on divide and conquer
In fig.,CMOS four-bit adder into its components.
The adder can be decomposed progressively into one bit adders, separate carry and sum
circuits, and finally,into individual logic gates.
At this lower level of the hierarchy, the design of a simple circuit realizing a well-defined
Boolean function is much more easier to handle than at the higher levels of the hierarchy.
Chapter 3
Here whenever one of the signal in the sensitivity list changes state sequential statements are excecuted
in sequence one time.
Example:
Process(B,C,D)
Begin
A <= B;
B <= C;
C <= D;
End process;
4. Explain various types VHDL programming methodologies in brief
5. Explain Structural model with example in VHDL.
Structural Models
Structural models consist of code that represents specific pieces of hardware.
This modeling style, describes how the output is derived from the inputs using structured statements.
In this style only mapping of predefined components is done for final execution of program.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity andStur is
Port ( A,B: in STD_LOGIC;
Y : out STD_LOGIC);
end ;
architecture dataFlow of andStur is
component nand1
Port ( A,B: in STD_LOGIC;
Y : out STD_LOGIC);
end component;
signal P:std_logic;
begin
4 Marks
Chapter 1
Chapter 2
Chapter 3
Chapter 4 5
1. List various types of VHDL programming methodologies and Write VHDL CODE for two input XOR
gate.
2. Write VHDL code for 1:4 DeMultiplexer.
3. Write VHDL code for Encoder.
4. Write VHDL code for Parity Generator.
5. Write VHDL code for 8:1 Multiplexer.
6. Write a VHDL code for 8x1 multiplexer
7. Implement 1 to 4 Multiplexer using VHDL.
8. Implement 2 to 4 Decoder using VHDL.
9. List Modeling styles and explain any one of them.
10. Explain Data flow model with suitable example
11. Explain Structural model with suitable example
12.
13. Implement Half Adder using VHDL.
14. Implement JK Flip Flop using VHDL
15. Write VHDL code to implement Half subtractor.
16. Write VHDL code to implement EX NOR gate using data flow model.
17. Write VHDL code to implement Half Adder.
18. Write VHDL code to implement NOR gate using structural model.
19. Write VHDL code to implement Parity Generator
20. Write VHDL code to implement D flip flop
21. Write VHDL code to implement R S flip flop.
22. Write a VHDL program for D flip flop with reset (active high) input.
23. Write VHDL code to implement T flip flop
24. Write VHDL code to implement Down counter.
25. Write VHDL code to implement Up counter.
26. Write VHDL code to implement 8 to 3 Encoder.
27. Write a VHDL code for 3 to 8 decoder.
28. Write VHDL code to implement EX OR gate
29.
7 marks:
Chapter 1
Chapter 2
Chapter 3
Chapter 4 5