You are on page 1of 7

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO.

4, APRIL 2012 1023

Effect of Band-to-Band Tunneling


on Junctionless Transistors
Suresh Gundapaneni, Graduate Student Member, IEEE, Mohit Bajaj, Rajan K. Pandey, Member, IEEE,
Kota V. R. M. Murali, Member, IEEE, Swaroop Ganguly, Member, IEEE, and
Anil Kottantharayil, Senior Member, IEEE

Abstract—We evaluate the impact of band-to-band tunneling (at zero gate bias) due to effective work-function difference
(BTBT) on the characteristics of n-channel junctionless transistors with that of the gate electrode. This results in very low currents
(JLTs). A JLT that has a heavily doped channel, which is fully in the OFF state [1]. A positive gate bias forces the JLT layer
depleted in the OFF state, results in a significant band overlap
between the channel and drain regions. This overlap leads to a to flatband and then into accumulation, resulting in an increase
large BTBT of electrons from the channel to the drain in n-channel in the drain-to-source current [1]. The gate of JLT modulates
JLTs. This BTBT leads to a nonnegligible increase in the OFF-state the resistance of the heavily doped semiconductor; hence, the
leakage current, which needs to be understood and alleviated. In device behaves like a gated resistor [1].
the case of n-channel JLTs, tunneling of electrons from the valence Trigate JLTs with channel length of 1 μm were demonstrated
band of the channel to the conduction band of the drain leaves
behind holes in the channel, which would raise the channel poten- in the case of SOI substrates [1]. Recently, performance of
tial. This triggers a parasitic bipolar junction transistor formed 50- [8] and 26-nm- [10] gate-length JLTs has been reported. p-
by the source, channel, and drain regions induced in a JLT in the channel JLTs on germanium-on-insulator (GeOI) substrates
OFF state. Tunneling current is observed to be a strong function [11] and n-channel JLTs with poly-Si nanowire channels have
of the silicon body thickness and doping of a JLT. We present been also reported [9]. It has been shown that a JLT can be
guidelines to optimize the device for high ON-to-OFF current ratio.
Finally, we compare the OFF-state leakage of bulk JLTs with that a good candidate for Flash [12], dynamic, and static random
of silicon-on-insulator JLTs. access memory devices [13], [14]. Recent studies have also
include temperature dependence of electrical characteristics
Index Terms—Gated resistor, junctionless transistor (JLT),
scaling, tunneling. [15], effects of strain on JLT performance [16], ballistic trans-
port at short channel lengths [17], and radio-frequency perfor-
I. I NTRODUCTION mance analysis [18]. These devices are known to offer several
advantages over the conventional MOSFETs, viz., 1) better
EALIZING metallurgical junctions beyond 32-nm node
R for a metal–oxide–semiconductor field-effect transistor
(MOSFET) has become extremely challenging due to the need
scalability; 2) reduced fabrication process complexity [6];
3) low electric field during the ON state of the device [6]; 4) im-
pact ionization induced sharp subthreshold slope at a drain bias
of ultrasteep doping profiles [1]. Recently, new kind of device
of 1.5 V, which is better than that of conventional MOSFETs
designs, based on Lilienfeld’s first transistor architecture [2],
[19]; and 5) mobility enhancement due to bulk conduction [16].
which does not have any metallurgical junctions, have been
However, it has been reported that the JLT suffers large thresh-
proposed [3]–[7] and successfully fabricated in silicon [1],
old voltage variability with channel length and silicon body
[8], [9]. New JLT designs include nanowire gate-all-around
thickness, as compared to inversion-mode devices [8], [10].
(GAA) architectures [4], [8], trigated nanowire architectures
Although there are many studies that explore the physics of
with a silicon-on-insulator (SOI) [1], and bulk substrates [5].
JLTs [1], [3], the effect of band-to-band tunneling (BTBT) on
Planar JLT architectures on bulk substrates were also proposed
their characteristics is yet to be well understood. The current in
to further simplify the fabrication process [6]. Junctionless
the OFF state of a thin-body SOI-MOSFET (due to BTBT) is
transistors (JLTs) have an ultrathin device layer of a highly
known to turn ON the parasitic bipolar junction transistor (BJT)
doped semiconductor, which is volume depleted in the OFF state
formed by the source, channel, and drain [20]–[22]. While
BTBT [22]–[24] and parasitic transistor actions in MOSFETs
Manuscript received August 30, 2011; revised November 23, 2011;
accepted January 13, 2012. Date of publication February 17, 2012; date of
are well known [20]–[22], these are yet to be understood
current version March 23, 2012. The review of this paper was arranged by in JLTs.
Editor G. Jeong. We study, in detail, the OFF-state behavior of JLTs. We
S. Gundapaneni is with the Indian Institute of Technology Bombay, Mumbai
400076, India, and also with the IBM Semiconductor Research and Develop-
show that the JLT operating in volume depletion in OFF state
ment Center, Bangalore 560045, India (e-mail: gunsuresh@ee.iitb.ac.in). has a significant overlap between the valence and conduction
M. Bajaj, R. K. Pandey, and K. V. R. M. Murali are with the IBM Semicon- bands in the channel and drain, respectively. As doping of the
ductor Research and Development Center, Bangalore 560045, India.
S. Ganguly and A. Kottantharayil are with the Department of Electrical
source–channel–drain regions of JLT is high, the band overlap
Engineering and Centre of Excellence in Nanoelectronics, Indian Institute of during OFF state triggers tunneling of electrons from the valence
Technology Bombay, Mumbai 400076, India (e-mail: anilkg@ee.iitb.ac.in). band of the channel to the conduction band of the drain (for n-
Color versions of one or more of the figures in this paper are available online
at http://ieeexplore.ieee.org.
channel JLT operation). JLTs with a thicker device layer (or
Digital Object Identifier 10.1109/TED.2012.2185800 nanowire diameter of a GAA JLT) make the OFF-state BTBT

0018-9383/$31.00 © 2012 IEEE


1024 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO. 4, APRIL 2012

Fig. 1. Structure of (a) n-channel JLT and (b) BPJLT. Fig. 2. ID–VG plot for a JLT with and without the BTBT model. In addition,
shown is the ID–VG plot when SRH recombination rate is made high in
TABLE I order to keep the diffusion length of the carriers less than the channel length.
PARAMETERS USED FOR THE DEVICE SIMULATION Lg = 20 nm, TSi = 6 nm, Nd = 1019 cm−3, φm = 5.1 eV, Tox = 1 nm,
and VD = 1 V. Carrier lifetimes are set to 10−7 s; these are corresponding to
the lifetimes at a doping of∼1019 cm−3 and are set to 10−15 s for the high
SRH recombination case.

III. OFF-STATE B EHAVIOR OF JLT


While the inversion of the channel in conventional
MOSFETs keeps the channel series resistance low during the
ON state, the JLT operates around flatband during the ON state.
This requires the channel to have a high doping concentration
on the order of 1019 cm−3 to ensure that the series resistance
of the channel is low. While the flatband operation in the ON
state of JLTs has several advantages, the heavily doped channel
current very high. We show that the OFF-state leakage current is needs to be volume depleted in the OFF state. The channel
significantly lower in the bulk planar JLT (BPJLT). We further potential is lowered for the volume depletion to happen; this
carefully investigate the current components in the OFF state results in a large potential barrier between the source and
and report the constraints on an optimal design of JLT. Our channel, with band overlap between the channel and drain. On
analysis explains the OFF-state leakage trends experimentally the other hand, the gate overlap over the drain extension of
observed [8]. inversion-mode MOSFETs has a band bending in the vertical
direction (perpendicular to the channel) [22] and the drain bias
II. D EVICE S TRUCTURE AND S IMULATION S ETUP results in a band overlap in the lateral direction (along the
channel) [23], resulting in BTBT current, well known as a
The device structures of the SOI-JLT and BPJLT used for the gate-induced drain leakage. However, we show the existence
simulations are shown in Fig. 1. Parameters used for the device of BTBT current in the lateral direction for JLTs, i.e., due to the
simulation of the structures shown in Fig. 1 are listed in Table I. band overlap between the channel and the drain.
The models used for the simulations are calibrated against a Fig. 2 shows the typical ID–VG characteristics of a 20-nm-
long-channel experimentally demonstrated JLT, as described gate-length JLT. We can see that the OFF-state current computed
in [6]. We use a nonlocal BTBT model in Sentaurus release E- by taking BTBT into account is several orders higher compared
2010.12 [25] that is well calibrated to the experimental data, with the OFF-state current when the BTBT model is absent.
and this model is being used in the literature to predict the Hence, it is important to minimize the OFF-state current to
performance of tunnel FETs [26]. The nonlocal BTBT model obtain high ON-to-OFF ratio. A lateral band diagram of the JLT
is included in the simulation to take into account tunneling at different gate voltages [see Fig. 3(a)] shows a significant
along the lateral direction (i.e., tunneling of carriers between band overlap between the channel and drain regions for gate
the source, channel, and drain regions) and in the vertical voltages less than 0 V. The minimum tunneling width between
direction (i.e., tunneling of carriers between the n-type device the channel and drain regions at VG = 0 V is measured to be
layer and the substrate). The direct tunneling model for gate approximately 7 nm. This initiates tunneling of electrons from
leakage calculations is turned OFF assuming high-κ metal gate the valence band of the channel to the conduction band of the
stack. For thin device layers used in this paper, significant drain, leaving behind holes in the channel region. However,
quantization (due to field and size) can be expected. This can be from the band diagram in the y-direction [see Fig. 3(b)], at the
modeled as an effective increase in the band gap. The effective point of maximum tunneling rate (i.e., 5 Å away from the drain
band gap for the ultrathin-body SOI devices simulated here is and into the channel), shows no band overlap in the vertical
obtained from [27]. Band-gap narrowing (BGN) due to high direction. This suggests that BTBT is dominant in the lateral
doping is modeled using Old Slotboom BGN model [25]. direction.
GUNDAPANENI et al.: EFFECT OF BTBT ON JUNCTIONLESS TRANSISTORS 1025

Fig. 4. Two-dimensional contour plot for hole concentration in the channel


region when the BTBT model is (a) included and (b) not included.
(c) Schematic representing the parasitic BJT formed in the lateral direction.
Lg = 20 nm, TSi = 6 nm, Nd = 1019 cm−3, φm = 5.1 eV, Tox = 1 nm,
Fig. 3. (a) Band diagram in the lateral direction for a JLT at several gate VG = 0 V, and VD = 1 V.
voltages with the BTBT model included in the simulation. We have included
the band diagram at VG = 0.5
−V when the BTBT model is not included.
(b) Vertical band diagram (in the y-direction) at the point of maximum
tunneling rate (5 Å away from the drain and into the channel). Lg = 20 nm, saturating drain current at a gate bias of 0 V and lower. On the
TSi = 6 nm, Nd = 1019 cm−3, φm = 5.1 eV, Tox = 1 nm, and VD = 1 V. other hand, in simulations without the BTBT model, decreasing
the gate voltage from 0 to −0.5 V would increase the barrier by
Holes generated in the channel because of electrons tunnel- ∼0.5 V supporting the hole buildup and parasitic BJT model
ing from the channel to the drain are seen to be accumulated in described above, resulting in an ∼5 order decrease in drain
the channel, as there exists a barrier for holes to flow from the current.
channel to the source. The hole accumulation in the channel is To further explore the parasitic transistor action, the gain
illustrated in Fig. 4, where we show the hole concentration in of the lateral parasitic BJT is reduced by reducing the dif-
the channel region of JLT when simulated with and without the fusion length of carriers in silicon i.e., by increasing the
BTBT model at a gate bias of 0 V. We observe a large difference Shockley–Read–Hall (SRH) recombination rate. Lifetime en-
in the hole concentration in the channel due to tunneling of elec- gineering is widely used to obtain fast switching performance
trons from the channel to the drain. The band diagrams of the in bipolar power devices [28]. In practice, the carrier lifetime
n-type source, depleted channel, and n-type drain regions look is lowered by introducing deep levels in silicon; this includes
similar to that of an n-p-n BJT. Hence, we expect a parasitic BJT adding noble metal impurities such as gold or platinum and
action taking place in the lateral direction. Holes accumulated low-dose irradiation of electron, proton, or helium in silicon
in the floating-body channel of the JLT lead to a forward bias [28]. In our simulations, the lifetime of carriers is reduced to
at the source–channel junction, which is the emitter–base of the 10−15 s to shorten the diffusion length of carriers to∼2 nm,
lateral parasitic n-p-n BJT. The forward-biased source–channel i.e., ∼
10 times shorter than the gate length of the simulated
junction eventually turns ON the parasitic n-p-n BJT, resulting device. We notice in Fig. 2 that the OFF-state leakage current is
in a large drain current (collector current of the BJT). Once lowered by orders of magnitude when the SRH recombination
the BJT is triggered ON, any further decrease in gate bias will rate is high, i.e., OFF-state tunneling is lower when the diffusion
increase hole concentration and thereby increases the floating- length is shorter than the channel length of JLT.
body potential (observed as a slight downward shift of bands in
Fig. 3(b), when BTBT is included). This saturates the source-
IV. O PTIMIZATION OF THE ON -TO -OFF RATIO OF JLT
to-channel barrier height and the band overlap between the
channel and drain regions. It is observed, from the lateral band As established in the previous section, BTBT has a large
diagram in Fig. 3, that the BJT is triggered ON at 0 V of gate impact on the OFF-state current for a JLT. A careful design
bias due to a small tunneling width and further decrease in gate is essential to achieve a reasonable ION-to-IOFF ratio. In this
bias to −0.5 V does not increase the source-to-channel barrier section, we discuss various options for reducing the effect of
height. This is reflected in the ID–VG plot (see Fig. 2) as a BTBT current in the OFF-state characteristics.
1026 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO. 4, APRIL 2012

Fig. 5. ID–VG plot for a JLT with several device layer thicknesses. Lg =
20 nm; TSi = 4, 5, and 6 nm; Nd = 1019 cm−3; φm = 5.1 eV; Tox = 1 nm;
and VD = 1 V.

A. Dependence of OFF-State Current on Channel Thickness


In Fig. 5, we show the ID–VG plot of JLT for device layer
thicknesses (T Si )= 4, 5, and 6 nm. It is observed that the JLT
with thin device layer (TSi) has a higher threshold voltage and
a lower OFF current compared with the device with thicker TSi.
Reduction in OFF current with decreased TSi is due to the fact
that lateral BTBT from the channel to the drain is lower for JLT
with thin device layer. This can be further explained as follows.
Fig. 6. (a) Band diagram along the lateral direction (x-direction, source–
1) The device with a thick TSi has a higher drain-induced channel–drain) of the device taken 5 Å away from the gate dielectric with the
BTBT model included in the simulation. (b) Tunneling width along the channel
barrier lowering (DIBL) at the source/channel edge. It is of the device. (c) Bandgap versus silicon body thickness obtained from [27]. Lg
observed in Fig. 6(a) that the source-to-channel barrier = 20 nm; TSi = 4, 5, and 6 nm; Nd = 1019 cm−3; φm = 5.1 eV; Tox = 1
height at 0 V is lower for the JLT with thicker TSi. This is nm; VD = 1 V; and VG = 0 V.
reflected as a high OFF-state leakage current even without
tunneling. In addition, triggering of the parasitic BJT
would become easier with a lower barrier between the
source and the channel.
2) As the JLT with thick TSi suffers higher drain control
over the channel, the tunneling barrier width between
the channel and the drain would be slightly lower in
comparison to devices with thin TSi. Tunneling width is
measured as a minimum distance between the valence
band maxima at a given point in the channel to the
conduction band minima in the drain. In Fig. 6(b), we
plot the tunneling width versus the distance along the
channel for devices with TSi = 4 and 6 nm. We observe
the tunneling width to be lower by∼1 nm at all points
along the channel for devices with TSi = 6 nm compared Fig. 7. ID–VG plot for a JLT with channel lengths of 20, 50, and 100 nm.
with devices with TSi = 4 nm. TSi = 6 nm, Nd = 1019 cm−3, φm = 5.1 eV, Tox = 1 nm, and VD = 1 V.
3) The higher effective band gap of silicon for extremely
thin silicon films [as shown in Fig. 6(c)], due to energy
B. Dependence of OFF-State Current on the Channel
quantization, results in the reduced BTBT current. Al-
Length of JLT
though this effect is nominal in the range of TSi, as shown
in Fig. 6(a) (∼60 meV increase in effective bandgap for In Fig. 7, we show the ID–VG plot for a JLT with 20-, 50-,
TSi = 4 nm), it will be very important while predicting and 100-nm channel lengths and TSi = 6 nm. We observe that
the OFF-state current of JLTs for TSi < 4 nm. the OFF current is higher for a 20-nm-channel-length JLT when
Our observations of the OFF-state current leakage current compared with that for 50- and 100-nm-channel-length JLTs.
dependence on silicon body thickness could explain the trend The reasons for this are as follows.
reported from experiments [8] (reader may refer to [8]). On 1) For a given TSi, the device with shorter channel length
the other hand, BTBT in the inversion-mode transistor does not suffers higher DIBL in comparison with a long-channel
depend much on silicon body thickness [8], [24]. JLT. It was mentioned in Section IV-A that higher DIBL
GUNDAPANENI et al.: EFFECT OF BTBT ON JUNCTIONLESS TRANSISTORS 1027

Fig. 9. ID–VG plot for a BPJLT with and without the tunnel model. Lg =
Fig. 8. (a) OFF-state current at VG = 0 V. (b) ON-state current at VG = 20 nm; Xj = 12 nm; TSi = 6 nm; Nd = 1019 (1.5 × 1019) cm−3, i.e.,
1 V for a JLT. Lg = 20 nm, TSi = 3 to 8 nm, Nd = 6 × 1018 cm−3 to uniform doping (peak doping) for SOI-JLT (BPJLT); φm = 5.1 eV; Tox =
5 × 1019 cm−3, φm = 5.1 eV, Tox = 1 nm, and VD = 1 V, with the BTBT 1 nm; and VD = 1 V.
model.

lowers the tunneling width and would facilitate easy turn 6 nm (see Fig. 2). We observe that the OFF-state tunneling
ON of the parasitic BJT, hence, a higher OFF current for a
leakage dominates the drift–diffusion current approximately at
short-channel JLT. −0.3 V (this is 1.3 V lower than the flatband voltage). On the
2) In addition to the DIBL effect, a base of the parasitic BJT other hand, the BTBT current in the SOI-JLT with TSi = 6 nm
is wider for a long-channel JLT. A wider base has more starts to be dominant at +0.1 V (this is 0.9 V lower than the
recombinations happening in it; this results a reduced flatband voltage). This indicates that the tunneling current and,
gain of the BJT and, hence, a lower OFF current. hence, the parasitic BJT action in the BPJLT are less dominant
than those in the SOI-JLT, i.e., the gain of the parasitic BJT
For channel lengths up to 1 μm, DIBL would be almost is less in the BPJLT. The reason for reduced parasitic BJT
negligible, and also, the diffusion length comes in comparison action in the BPJLT compared with the SOI-JLT is explained
with the channel length. Hence, in a very long channel length as follows.
(∼1 μm) device, it is not expected that any parasitic BJT action It was explained in Section III that the hole accumulation
takes place [1]. in the channel region turns ON the parasitic BJT, increasing
the leakage current. However, in a BPJLT, the holes gen-
erated due to BTBT are also near the depletion region of
C. Dependence of OFF-State Current on Channel Doping the substrate–channel junction. The built-in field of the ver-
tical junction would sweep away the holes to the substrate.
Fig. 8 shows the OFF current and ION/IOFF as a function
We observe from the schematic of the parasitic BJT shown
of TSi for several channel dopings. Fully depleting the channel
in Fig. 4(c) that there is no such provision for the SOI-JLT.
would be difficult for a thick TSi or for a high channel doping
Hence, there would be less accumulation of holes in the
(Nd); hence, DIBL and BTBT are higher in these cases, leading
channel region and, hence, the reduced BJT action and re-
to a high OFF-state current [see Fig. 8(b)]. A careful choice
duced OFF-state leakage in BPJLT. We show in Fig. 10
of TSi and Nd should be made for an optimum value of
the 2-D plot for hole concentration in the channel regions of
ON current, OFF current, and their ratio. In Fig. 8(b), we show
SOI-JLT and BPJLT at a gate bias of 0 V. It is observed that
the ratio of ON-to-OFF current as a function of TSi and Nd. An
the hole accumulation in the channel of the bulk device is much
ON-to-OFF ratio of at least on the order of∼104 can be achieved
lower when compared to its SOI counterpart. We also observe
with Nd of ∼1019 along with a TSi of ∼5 nm. Although
that the magnitude of current at 0 V of gate bias for BPJLT is
we have shown the channel design space [see Fig. 8(b)] for
unaffected by the tunneling leakage. Hence, the design space
a drain voltage of 1 V with normal silicon carrier lifetimes,
for BPJLT reported in [6] is still valid when BTBT is taken into
reducing the lifetime of carriers or reducing the operating
account.
drain voltage can further increase the room for optimization
For the JLT to offer a proper switching behavior, the BTBT
of the JLT.
in the OFF state needs to be minimized. This can be done either
by reducing the body thickness or the channel doping. While
lower silicon doping offers several advantages such as increased
V. OFF-STATE B EHAVIOR OF BPJLT
channel mobility [10] and lower BTBT, the contact resistance
In this section, we evaluate the OFF-state behavior of the at source and drain will increase due to low doping. On the
bulk device that was proposed earlier [6]. In Fig. 9, we show other hand, reducing the body thickness demands an extremely
the ID–VG plot for a typical BPJLT when simulated with and controlled process. Other ways to improve the JLT characteris-
without the BTBT model included. The device is designed so tics include the work-function engineering and recombination
that its OFF current is similar to that of the SOI-JLT with TSi = lifetime engineering [28].
1028 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO. 4, APRIL 2012

[8] S.-J. Choi, D.-I. Moon, S. Kim, J. Duarte, and Y.-K. Choi, “Sensi-
tivity of threshold voltage to nanowire width variation in junctionless
transistors,” IEEE Electron Device Lett., vol. 32, no. 2, pp. 125–127,
Feb. 2011.
[9] C.-J. Su, T.-I. Tsai, Y.-L. Liou, Z.-M. Lin, H.-C. Lin, and T.-S. Chao,
“Gate-all-around junctionless transistors with heavily doped polysilicon
nanowire channels,” IEEE Electron Device Lett., vol. 32, no. 4, pp. 521–
523, Apr. 2011.
[10] R. Rios, A. Cappellani, M. Armstrong, A. Budrevich, H. Gomez,
R. Pai, N. Rahhal-orabi, and K. Kuhn, “Comparison of junctionless
and conventional trigate transistors with Lg down to 26 nm,” IEEE
Electron Device Lett., vol. 32, no. 9, pp. 1170–1172, Sep. 2011, DOI:
10.1109/LED.2011.2158978.
[11] D. D. Zhao, T. Nishimura, C. H. Lee, K. Nagashio, K. Kita, and
A. Toriumi, “Junctionless Ge p-channel metal–oxide–semiconductor
field-effect transistors fabricated on ultrathin Ge-on-insulator substrate,”
Appl. Phys. Exp., vol. 4, no. 3, pp. 031302–031304, 2011.
[12] S.-J. Choi, D.-I. Moon, S. Kim, J.-H. Ahn, J.-S. Lee, J.-Y. Kim, and Y.-
K. Choi, “Nonvolatile memory by all-around-gate junctionless tran-
sistor composed of silicon nanowire on bulk substrate,” IEEE Electron
Device Lett., vol. 32, no. 5, pp. 602–604, May 2011.
[13] C.-W. Lee, R. Yan, I. Ferain, A. Kranti, N. Akhvan, P. Razavi, R. Yu, and
Fig. 10. Two-dimensional contour plot for hole concentration in the channel J. Colinge, “Nanowire zero-capacitor DRAM transistors with and without
region of (a) BPJLT and (b) JLT during OFF state. Lg = 20 nm; Xj = 12 nm;
junctions,” in Proc. 10th IEEE-NANO, Aug. 2010, pp. 242–245.
TSi = 6 nm; Nd = 1019 (1.5×1019) cm−3, i.e., uniform doping (peak [14] A. Kranti, C. Lee, I. Ferain, R. Yan, N. Akhavan, P. Razavi, R. Yu,
doping) for SOI-JLT (BPJLT); φm = 5.1 eV; Tox = 1 nm; and VD = 1 V. G. A. Armstrong, and J. Colinge, “Junctionless 6T SRAM cell,” IET
Electron. Lett., vol. 46, no. 22, pp. 1491–1493, Oct. 2010.
VI. C ONCLUSION [15] C.-W. Lee, A. Borne, I. Ferain, A. Afzalian, R. Yan, N. Dehdashti
Akhavan, P. Razavi, and J.-P. Colinge, “High-temperature performance of
We have evaluated the impact of BTBT on the OFF-state silicon junctionless MOSFETs,” IEEE Trans. Electron Devices, vol. 57,
behavior of SOI-JLT and BPJLT. BTBT current is observed to no. 3, pp. 620–625, Mar. 2010.
be significant in SOI-JLTs due to the high doping in the channel. [16] J.-P. Raskin, J.-P. Colinge, I. Ferain, A. Kranti, C.-W. Lee, N. Akhavan,
R. Yan, P. Razavi, and R. Yu, “Mobility improvement in nanowire junc-
A careful device design is necessary to keep the tunneling leak- tionless transistors by uniaxial strain,” Appl. Phys. Lett., vol. 97, no. 4,
age current under control and to achieve a reasonable ON/OFF pp. 042114–042116, 2010.
ratio of JLT. Various device parameters viz., channel thickness, [17] D. Sels, B. Sore, and G. Groeseneken, “Quantum ballistic transport in
the junctionless nanowire pinch-off field effect transistor,” J. Comput.
channel length, and channel doping, have been evaluated to Electron., vol. 10, no. 1/2, pp. 216–221, Jun. 2011.
optimize the ON-to-OFF ratio of JLTs. [18] S. Cho, K. R. Kim, B.-G. Park, and I. M. Kang, “RF performance
and small-signal parameter extraction of junctionless silicon nanowire
MOSFETs,” IEEE Trans. Electron Devices, vol. 58, no. 5, pp. 1388–1396,
ACKNOWLEDGMENT May 2011.
[19] C.-W. Lee, A. N. Nazarov, I. Ferain, N. D. Akhavan, R. Yan, P. Razavi,
A part of this paper was done during the internship of one R. Yu, R. T. Doria, and J.-P. Colinge, “Low subthreshold slope in junction-
of the authors S. Gundapaneni at the Semiconductor Research less multigate transistors,” Appl. Phys. Lett., vol. 96, no. 10, pp. 102 106–
102 109, 2010.
and Development Center, IBM India, and a part of this paper [20] J. Chen, F. Assaderaghi, H.-J. Wann, P. Ko, C. Hu, P. Cheng, R. Solomon,
was carried out at the Centre of Excellence in Nanoelectronics, and T.-Y. Chan, “An accurate model of thin film SOI-MOSFET break-
Indian Institute of Technology Bombay, India. down voltage,” in IEDM Tech. Dig., Dec. 1991, pp. 671–674.
[21] M. Dunga, A. Kumar, and V. Ramgopal Rao, “Analysis of floating body
effects in thin film SOI MOSFETs using the GIDL current technique,” in
Proc. 8th IPFA, 2001, pp. 254–257.
REFERENCES [22] T. Hoffmann, G. Doorribos, I. Ferain, N. Collaert, P. Zimmerman,
[1] J.-P. Colinge, C.-W. Lee, A. Afzalian, N. D. Akhavan, R. Yan, M. Goodwin, R. Rooyackers, A. Kottantharayil, Y. Yim, A. Dixit,
I. Ferain, P. Razavi, B. O’Neill, A. Blake, M. White, A.-M. Kelleher, K. De Meyer, M. Jurczak, and S. Biesemans, “GIDL (gate-induced drain
B. McCarthy, and R. Murphy, “Nanowire transistors without junctions,” leakage) and parasitic Schottky barrier leakage elimination in aggres-
Nature Nanotechnol., vol. 5, no. 3, pp. 225–229, Mar. 2010. sively scaled HfO2 /TiN FinFET devices,” IEDM Tech. Dig., pp. 725–728,
[2] J. E. Lilienfeld, “Method and apparatus for controlling electric current,” Dec. 2005.
U.S. Patent 1 745 175, Jan. 28, 1930. [23] R. Pandey, K. Murali, S. Furkay, P. Oldiges, and E. Nowak,
[3] C.-W. Lee, A. Afzalian, N. D. Akhavan, R. Yan, I. Ferain, and J.- “Crystallographic-orientation-dependent gate-induced drain leakage in
P. Colinge, “Junctionless multigate field-effect transistor,” Appl. Phys. nanoscale MOSFETs,” IEEE Trans. Electron Devices, vol. 57, no. 9,
Lett., vol. 94, no. 5, pp. 053511–053512, 2009. pp. 2098–2105, Sep. 2010.
[4] B. Soree, W. Magnus, and G. Pourtois, “Analytical and self-consistent [24] H. Ananthan, A. Bansal, and K. Roy, “Analysis of drain-to-body band-to-
quantum mechanical model for a surrounding gate MOS nanowire oper- band tunneling in double gate MOSFET,” in Proc. IEEE Int. SOI Conf.
ated in JFET mode,” J. Comput. Electron., vol. 7, no. 3, pp. 380–383, Proc., 2005, pp. 159–160.
Sep. 2008. [25] Sentaurus Device User Guide. [Online]. Available: http://www.synopsys.
[5] A. Kranti, C.-W. Lee, I. Ferain, R. Yu, N. D. Akhavan, P. Razavi, and com
J. Colinge, “Junctionless nanowire transistor: Properties and design guide- [26] H. G. Virani, A. R. B. Rao, and A. Kottantharayil, “Dual-k spacer device
lines,” in Proc. 34th IEEE Eur. Solid-State Device Res. Conf., Aug. 2010, architectures for the improvement of performance of hetero structure n-
pp. 357–360. channel tunnel FETs,” IEEE Trans. Electron Devices, vol. 57, no. 10, pp.
[6] S. Gundapaneni, S. Ganguly, and A. Kottantharayil, “Bulk planar junc- 2410–2417, Oct. 2010.
tionless transistor (BPJLT): An attractive device alternative for scaling,” [27] A. Paul, M. Luisier, N. Neophytou, R. Kim, J. Geng, M. McLennan,
IEEE Electron Device Lett., vol. 32, no. 3, pp. 261–263, Mar. 2011. M. Lundstrom, and G. Klimeck, Band structure lab, May 2006. [Online].
[7] S. Gundapaneni, S. Ganguly, and A. Kottantharayil, “Enhanced electrosta- Available: http://nanohub.org/resources/1308
tic integrity of short channel junctionless transistor with high-κ spacers,” [28] J. Vobecky, “Lifetime engineering in high-power devices,” in Proc. 3rd
IEEE Electron Device Lett., vol. 32, no. 10, pp. 1325–1327, Oct. 2011. Int. EuroConf. ASDAM, 2000, pp. 21–28.
GUNDAPANENI et al.: EFFECT OF BTBT ON JUNCTIONLESS TRANSISTORS 1029

Suresh Gundapaneni (S’11) is currently working Kota V. R. M. Murali (M’11) received the M.S.
toward the Ph.D. degree on the physics of nanoscale and Ph.D. degrees from Massachusetts Institute of
metal–oxide–semiconductor devices at the Indian Technology, Cambridge.
Institute of Technology Bombay, Mumbai, India. He is the Lead Scientist and Senior Manager
Since January 2011, he has been an Intern at of Nanodevice Modeling Group at the IBM
the IBM Semiconductor Research and Development Semiconductor Research and Development Center,
Center, Bangalore, India. Bangalore, India. He has been a Visitor in IBM
Watson and Almaden Research centers and is also
an Adjunct Faculty Member at the Indian Institute of
Technology Bombay, Mumbai, India. His interests
are in nanoscale and quantum-scale device physics,
and he is currently leading efforts on 22/14 nm and beyond materials and device
modeling.

Swaroop Ganguly (S’00–M’06) received


the B.Tech. degree in electronics and electrical
Mohit Bajaj received the M.S. degree in chemical communication engineering from the Indian Institute
engineering from the Indian Institute of Science, of Technology, Kharagpur, India, in 1999 and the
Bangalore, India, in 2002 and Ph.D. degree in M.S.E. and Ph.D. degrees from the University
chemical engineering from Monash University, of Texas, Austin, in 2001 and 2005, for work on
Melbourne, Australia, in 2006, working on the dy- complementary metal–oxide–semiconductor source/
namics of polymer molecules in complex flows. drain engineering and semiconductor spintronics,
After the postdoctoral research with Monash Uni- respectively.
versity, he was with Australian Marine & Offshore He also worked on device–circuit interaction with
Group Consulting, Melbourne. Currently, he is a Freescale and on semiconductor spintronics as a
member of the IBM Semiconductor Research and Tokyo Electron Assignee with the Interuniversity Microelectronics Centre.
Development Center, Bangalore, where he is work- Since 2009, he has been with the Indian Institute of Technology, Bombay, India,
ing on the development of physical models for future-generation comple- where he is currently an Assistant Professor. His research interests are in the
mentary metal–oxide–semiconductor devices and the software development of physics and the technology of novel devices. His teaching interest is in physical
semiconductor device simulation tools. electronics.

Anil Kottantharayil (M’97–SM’08)


received the B.Tech. degree in electronics
and communication engineering from
Calicut Regional Engineering College,
University of Calicut, Malappuram, India, in
Rajan K. Pandey (M’11) received the M.Sc. degree 1993, the M.Tech. degree in electrical engineering
in physics from Banaras Hindu University, Varanasi, from the Indian Institute of Technology Bombay,
India, in 1998 and the Ph.D. degree from the Indian Mumbai, India, in 1997, and the Dr.Ing. degree
Institute of Technology Kanpur, Kanpur, India, in (summa cum laude) from the Universität der
2006, working on the electronic structure of semi- Bundeswehr, Munich, Germany, in 2002.
conductor quantum dots. From 2001 to 2006, he was with the Interuniver-
After a postdoctoral research at the University of sity Microelectronics Centre, Leuven, Belgium, where he worked on FinFETs,
California, Irvine, he worked with Motorola Labora- metal gate, and high-κ integration in logic technologies. Since 2006, he
tories, Bangalore, India. In 2008, he joined the IBM has been with the Department of Electrical Engineering, Indian Institute of
Semiconductor Research and Development Center, Technology Bombay, where he is currently an Associate Professor. His research
Bangalore, where he is working on multiscale interests are in the areas of novel MOS devices, memory technologies, and
modeling of next-generation CMOS devices. silicon-based solar cells.

You might also like