You are on page 1of 391

/*

Object 1

delay model : typ


check model : typ
power model : typ
capacitance model : typ
other model : typ
*/
library(slow) {

delay_model : table_lookup;
in_place_swap_mode : match_footprint;

/* unit attributes */
time_unit : "1ns";
voltage_unit : "1V";
current_unit : "1uA";
pulling_resistance_unit : "1kohm";
leakage_power_unit : "1nW";
capacitive_load_unit (1,pf);

slew_upper_threshold_pct_rise : 80;
slew_lower_threshold_pct_rise : 20;
slew_upper_threshold_pct_fall : 80;
slew_lower_threshold_pct_fall : 20;
input_threshold_pct_rise : 50;
input_threshold_pct_fall : 50;
output_threshold_pct_rise : 50;
output_threshold_pct_fall : 50;
nom_process : 1;
nom_voltage : 0.9;
nom_temperature : 125;
operating_conditions ( slow ) {
process : 1;
voltage : 0.9;
temperature : 125;
}
default_operating_conditions : slow;

lu_table_template(delay_template_6x1) {
variable_1 : input_net_transition;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0");
}
lu_table_template(delay_template_6x6) {
variable_1 : input_net_transition;
variable_2 : total_output_net_capacitance;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0");
index_2 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0");
}
lu_table_template(delay_template_6x7) {
variable_1 : input_net_transition;
variable_2 : total_output_net_capacitance;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0");
index_2 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
}
lu_table_template(delay_template_7x1) {
variable_1 : input_net_transition;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
}
lu_table_template(delay_template_7x7) {
variable_1 : input_net_transition;
variable_2 : total_output_net_capacitance;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
index_2 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
}
power_lut_template(energy_template_6x6) {
variable_1 : input_transition_time;
variable_2 : total_output_net_capacitance;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0");
index_2 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0");
}
power_lut_template(energy_template_6x7) {
variable_1 : input_transition_time;
variable_2 : total_output_net_capacitance;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0");
index_2 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
}
power_lut_template(energy_template_7x7) {
variable_1 : input_transition_time;
variable_2 : total_output_net_capacitance;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
index_2 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
}
lu_table_template(hold_template_6x3) {
variable_1 : constrained_pin_transition;
variable_2 : related_pin_transition;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0");
index_2 ("1000.0, 1001.0, 1002.0");
}
lu_table_template(hold_template_7x3) {
variable_1 : constrained_pin_transition;
variable_2 : related_pin_transition;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0"); opposite to
above exp
index_2 ("1000.0, 1001.0, 1002.0");
}
lu_table_template(hold_template_7x7) {
variable_1 : constrained_pin_transition;
variable_2 : related_pin_transition;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
index_2 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
}
power_lut_template(passive_energy_template_6x1) {
variable_1 : input_transition_time;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0");
}
power_lut_template(passive_energy_template_7x1) {
variable_1 : input_transition_time;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
}
lu_table_template(recovery_template_7x3) {
variable_1 : constrained_pin_transition;
variable_2 : related_pin_transition;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
index_2 ("1000.0, 1001.0, 1002.0");
}
lu_table_template(recovery_template_7x7) {
variable_1 : constrained_pin_transition;
variable_2 : related_pin_transition;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
index_2 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
}
lu_table_template(removal_template_7x3) {
variable_1 : constrained_pin_transition;
variable_2 : related_pin_transition;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
index_2 ("1000.0, 1001.0, 1002.0");
}
lu_table_template(removal_template_7x7) {
variable_1 : constrained_pin_transition;
variable_2 : related_pin_transition;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
index_2 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
}
lu_table_template(setup_template_6x3) {
variable_1 : constrained_pin_transition;
variable_2 : related_pin_transition;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0");opposite to above
exp
index_2 ("1000.0, 1001.0, 1002.0");
}
lu_table_template(setup_template_7x3) {
variable_1 : constrained_pin_transition;
variable_2 : related_pin_transition;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
index_2 ("1000.0, 1001.0, 1002.0");
}
lu_table_template(setup_template_7x7) {
variable_1 : constrained_pin_transition;
variable_2 : related_pin_transition;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
index_2 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
}
lu_table_template(width_template_6x1) {
variable_1 : related_pin_transition;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0");
}
lu_table_template(width_template_7x1) {
variable_1 : related_pin_transition;
index_1 ("1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0, 1006.0");
}

/* ----------------- *
* Design : ACHCONX2 *
* ----------------- */
cell (ACHCONX2) {
cell_footprint : ACHCON;
area : 37.845000;
cell_leakage_power : 325.652;
pin(A) {
direction : input;
capacitance : 0.00490759;
rise_capacitance : 0.00490603;
fall_capacitance : 0.00490759;
rise_capacitance_range ( 0.00490599, 0.00490606) ;
fall_capacitance_range ( 0.00490755, 0.00490762) ;
}
pin(B) {
direction : input;
capacitance : 0.0162436;
rise_capacitance : 0.0162403;
fall_capacitance : 0.0162436;
rise_capacitance_range ( 0.0160282, 0.0164525) ;
fall_capacitance_range ( 0.0160301, 0.0164571) ;
}
pin(CI) {
direction : input;
capacitance : 0.00481497;
rise_capacitance : 0.00481251;
fall_capacitance : 0.00481497;
}
pin(CON) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.146228;
function : "(!(((A B)+(B CI))+(CI A)))";
timing() { /* MIN */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.209537, 0.2199, 0.2401, 0.279248, 0.355865, 0.514091, 0.850791", \
"0.213035, 0.222911, 0.243336, 0.282229, 0.358987, 0.517343, 0.854826", \
"0.218947, 0.229279, 0.24948, 0.288317, 0.365159, 0.523938, 0.862117", \
"0.231588, 0.241744, 0.262029, 0.300802, 0.377578, 0.536484, 0.875244", \
"0.256641, 0.267025, 0.287309, 0.326233, 0.402823, 0.560708, 0.896788", \
"0.296954, 0.307355, 0.328115, 0.367015, 0.443534, 0.601534, 0.939173", \
"0.35211, 0.363545, 0.384634, 0.424513, 0.501366, 0.658558, 0.993279");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.129299, 0.144269, 0.174132, 0.234626, 0.36772, 0.656583, 1.25941", \
"0.129194, 0.144192, 0.173408, 0.234317, 0.366769, 0.655278, 1.25759", \
"0.129212, 0.144095, 0.174272, 0.234911, 0.368314, 0.657083, 1.26006", \
"0.130238, 0.144152, 0.174185, 0.234831, 0.368238, 0.656594, 1.26387", \
"0.128605, 0.143772, 0.173196, 0.234207, 0.36717, 0.655726, 1.25607", \
"0.127097, 0.141124, 0.172349, 0.23375, 0.368151, 0.65686, 1.26353", \
"0.126673, 0.141053, 0.171646, 0.234033, 0.370133, 0.658043, 1.26204");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.235965, 0.246936, 0.268035, 0.308896, 0.390113, 0.556926, 0.914497", \
"0.238745, 0.249213, 0.270649, 0.311311, 0.392469, 0.560199, 0.918737", \
"0.243938, 0.254929, 0.275942, 0.317101, 0.398026, 0.565297, 0.923613", \
"0.255072, 0.265695, 0.287153, 0.32792, 0.409128, 0.576588, 0.932978", \
"0.277642, 0.28833, 0.30983, 0.35068, 0.431905, 0.599239, 0.95534", \
"0.315869, 0.326603, 0.348137, 0.389499, 0.470474, 0.637767, 0.995484", \
"0.36922, 0.380389, 0.402619, 0.444768, 0.526034, 0.693217, 1.04675");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.136227, 0.152682, 0.184856, 0.252757, 0.398465, 0.714185, 1.36991", \
"0.137022, 0.152098, 0.186351, 0.253739, 0.400807, 0.7128, 1.37688", \
"0.136438, 0.152949, 0.185208, 0.25277, 0.398141, 0.711557, 1.37415", \
"0.137139, 0.152292, 0.186676, 0.253967, 0.400717, 0.714483, 1.3687", \
"0.136816, 0.152022, 0.186347, 0.253843, 0.400671, 0.714678, 1.369", \
"0.13411, 0.150899, 0.184406, 0.252085, 0.397656, 0.712151, 1.37681", \
"0.132726, 0.150922, 0.185238, 0.254476, 0.400836, 0.715119, 1.3681");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.212321, 0.222525, 0.242615, 0.281221, 0.357852, 0.516575, 0.855749", \
"0.215645, 0.225663, 0.245852, 0.284379, 0.361028, 0.519533, 0.857968", \
"0.221715, 0.23214, 0.252116, 0.290822, 0.367362, 0.525479, 0.862219", \
"0.23429, 0.244467, 0.264487, 0.303188, 0.379828, 0.538458, 0.877436", \
"0.259459, 0.269463, 0.28978, 0.32839, 0.404995, 0.563396, 0.901759", \
"0.299168, 0.309721, 0.330218, 0.369229, 0.445728, 0.603771, 0.941767", \
"0.353968, 0.365112, 0.386471, 0.426109, 0.50296, 0.660416, 0.997306");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.133018, 0.148231, 0.177832, 0.238105, 0.371173, 0.659994, 1.26847", \
"0.133157, 0.147842, 0.177187, 0.23699, 0.368787, 0.657768, 1.26265", \
"0.133403, 0.148085, 0.177357, 0.237558, 0.369874, 0.658657, 1.26329", \
"0.133158, 0.14817, 0.177757, 0.238017, 0.371064, 0.660099, 1.2682", \
"0.132067, 0.146747, 0.176393, 0.236241, 0.368302, 0.657383, 1.26495", \
"0.129836, 0.144231, 0.174869, 0.23596, 0.370532, 0.659917, 1.26768", \
"0.12771, 0.142462, 0.174104, 0.235521, 0.371006, 0.658883, 1.26827");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.236416, 0.247132, 0.26854, 0.309787, 0.391411, 0.558704, 0.914645", \
"0.23917, 0.249634, 0.271243, 0.312274, 0.393615, 0.561831, 0.920612", \
"0.244269, 0.255315, 0.276586, 0.317781, 0.399394, 0.566587, 0.924109", \
"0.255554, 0.266098, 0.287679, 0.328651, 0.410236, 0.578271, 0.936311", \
"0.278058, 0.288665, 0.310265, 0.351247, 0.432796, 0.600635, 0.958206", \
"0.315932, 0.326852, 0.348435, 0.390059, 0.471251, 0.637853, 0.995969", \
"0.369229, 0.380438, 0.402624, 0.444835, 0.526162, 0.693338, 1.04704");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.137508, 0.154314, 0.187186, 0.254557, 0.399865, 0.714427, 1.37501", \
"0.138798, 0.154277, 0.187803, 0.255112, 0.401755, 0.715585, 1.37739", \
"0.13772, 0.154236, 0.187014, 0.254491, 0.400461, 0.713757, 1.37847", \
"0.138589, 0.154203, 0.187665, 0.254854, 0.401017, 0.715937, 1.37311", \
"0.137843, 0.153529, 0.18707, 0.254436, 0.400786, 0.715934, 1.37163", \
"0.134578, 0.15109, 0.18563, 0.25347, 0.401014, 0.714086, 1.37708", \
"0.13343, 0.151277, 0.185555, 0.25451, 0.401466, 0.715998, 1.36971");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.098112, 0.114132, 0.141207, 0.186743, 0.270552, 0.435087, 0.777178", \
"0.100844, 0.116868, 0.143698, 0.189337, 0.272713, 0.438082, 0.780864", \
"0.106023, 0.121954, 0.148603, 0.193972, 0.277264, 0.442203, 0.784594", \
"0.115185, 0.131193, 0.158052, 0.203013, 0.28611, 0.450288, 0.789893", \
"0.133908, 0.148575, 0.174342, 0.219301, 0.301486, 0.464522, 0.805428", \
"0.157367, 0.173151, 0.198891, 0.24301, 0.323781, 0.486246, 0.824314", \
"0.185429, 0.202469, 0.22938, 0.274014, 0.355752, 0.517579, 0.855336");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.108693, 0.126584, 0.157227, 0.219525, 0.358496, 0.65086, 1.25779", \
"0.109105, 0.12688, 0.157654, 0.219343, 0.356911, 0.650447, 1.25915", \
"0.110233, 0.128593, 0.159956, 0.220093, 0.359061, 0.646889, 1.26106", \
"0.112265, 0.128171, 0.16042, 0.223166, 0.359976, 0.652187, 1.25466", \
"0.111769, 0.127187, 0.158184, 0.223619, 0.361763, 0.652515, 1.25923", \
"0.123831, 0.137626, 0.167253, 0.230032, 0.364206, 0.648703, 1.25412", \
"0.145742, 0.163169, 0.198378, 0.265664, 0.369628, 0.659409, 1.25354");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.105046, 0.120965, 0.149009, 0.196796, 0.286109, 0.462723, 0.826732", \
"0.107464, 0.12333, 0.151013, 0.198748, 0.287991, 0.464266, 0.829555", \
"0.112157, 0.127882, 0.155501, 0.202996, 0.291935, 0.468825, 0.836837", \
"0.120623, 0.136349, 0.163722, 0.210882, 0.299368, 0.475795, 0.842526", \
"0.138327, 0.152623, 0.179067, 0.225685, 0.313332, 0.487806, 0.853117", \
"0.161427, 0.176071, 0.201929, 0.247791, 0.333588, 0.507696, 0.870689", \
"0.18766, 0.20254, 0.229249, 0.275576, 0.361576, 0.533744, 0.893754");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.111919, 0.130804, 0.162614, 0.230136, 0.383908, 0.705789, 1.36662", \
"0.112145, 0.130313, 0.163385, 0.230374, 0.384134, 0.705714, 1.36518", \
"0.112667, 0.130337, 0.16518, 0.231385, 0.385112, 0.703705, 1.37283", \
"0.11182, 0.127785, 0.164857, 0.233035, 0.385321, 0.70497, 1.36967", \
"0.110033, 0.126723, 0.163267, 0.236813, 0.38746, 0.706699, 1.37244", \
"0.123093, 0.138365, 0.171729, 0.242235, 0.390906, 0.708101, 1.37137", \
"0.145263, 0.164066, 0.203841, 0.278123, 0.39633, 0.707513, 1.37379");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.132686, 0.143615, 0.165706, 0.207648, 0.288685, 0.452719, 0.793513", \
"0.135382, 0.146152, 0.168126, 0.21018, 0.291167, 0.455378, 0.797707", \
"0.14065, 0.151672, 0.173727, 0.215671, 0.296704, 0.460354, 0.804188", \
"0.150893, 0.162082, 0.184032, 0.226049, 0.307221, 0.470907, 0.814797", \
"0.170934, 0.18187, 0.203603, 0.245243, 0.325999, 0.489059, 0.831182", \
"0.205147, 0.216002, 0.237999, 0.279423, 0.360093, 0.524144, 0.865183", \
"0.249767, 0.262789, 0.290118, 0.342557, 0.440148, 0.61418, 0.962337");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.115136, 0.130552, 0.161232, 0.224972, 0.362838, 0.655259, 1.25876", \
"0.115332, 0.130758, 0.161346, 0.224891, 0.362256, 0.654698, 1.2607", \
"0.114946, 0.130582, 0.16174, 0.225233, 0.36349, 0.656986, 1.26709", \
"0.117021, 0.134388, 0.164423, 0.224697, 0.363922, 0.656913, 1.26706", \
"0.133569, 0.148341, 0.177763, 0.229978, 0.363337, 0.656626, 1.26545", \
"0.162193, 0.173944, 0.196091, 0.242145, 0.365816, 0.654896, 1.26454", \
"0.204095, 0.212998, 0.229307, 0.267968, 0.395379, 0.670445, 1.26877");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.130778, 0.142779, 0.167025, 0.212113, 0.299594, 0.475451, 0.841388", \
"0.133191, 0.145108, 0.169221, 0.214729, 0.302299, 0.477512, 0.844053", \
"0.138094, 0.150004, 0.174184, 0.219802, 0.307281, 0.482149, 0.847844", \
"0.147869, 0.159829, 0.183966, 0.229446, 0.316985, 0.491968, 0.857394", \
"0.167206, 0.178927, 0.202525, 0.247237, 0.334226, 0.508902, 0.872567", \
"0.199597, 0.211383, 0.23505, 0.279313, 0.365193, 0.539576, 0.906954", \
"0.24023, 0.253844, 0.28195, 0.336273, 0.437223, 0.619373, 0.987958");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.11361, 0.131019, 0.165211, 0.237609, 0.390245, 0.707841, 1.37756", \
"0.114368, 0.1316, 0.166283, 0.238593, 0.392445, 0.711048, 1.37698", \
"0.113484, 0.132648, 0.166449, 0.238242, 0.391841, 0.711402, 1.37304", \
"0.12014, 0.137485, 0.169509, 0.237835, 0.392125, 0.711596, 1.37125", \
"0.136185, 0.152482, 0.184561, 0.240511, 0.389217, 0.710694, 1.37536", \
"0.164706, 0.178166, 0.204145, 0.256636, 0.393966, 0.710608, 1.3785", \
"0.20696, 0.217822, 0.239492, 0.286016, 0.422151, 0.727056, 1.37946");
}
}
timing() {
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.049462, 0.060281, 0.082839, 0.126609, 0.213626, 0.385573, 0.731827", \
"0.052467, 0.063215, 0.085885, 0.129877, 0.216419, 0.389298, 0.736389", \
"0.058522, 0.069515, 0.091947, 0.136131, 0.223379, 0.395355, 0.743808", \
"0.070356, 0.081747, 0.104271, 0.148338, 0.235076, 0.407531, 0.754133", \
"0.087425, 0.101324, 0.127292, 0.172051, 0.260023, 0.431974, 0.779024", \
"0.109035, 0.126614, 0.159372, 0.213359, 0.30524, 0.477684, 0.825013", \
"0.135649, 0.158203, 0.200061, 0.269669, 0.383387, 0.568978, 0.920752");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.060327, 0.077934, 0.114986, 0.19028, 0.341209, 0.643706, 1.25285", \
"0.060629, 0.077964, 0.1152, 0.189793, 0.341148, 0.644645, 1.25591", \
"0.062245, 0.078988, 0.116117, 0.191166, 0.342751, 0.645503, 1.26067", \
"0.068674, 0.084483, 0.119643, 0.19141, 0.342305, 0.645551, 1.25581", \
"0.087972, 0.100813, 0.132375, 0.199766, 0.343349, 0.645526, 1.25919", \
"0.122466, 0.137093, 0.16617, 0.226321, 0.360214, 0.64698, 1.25595", \
"0.182846, 0.199835, 0.232759, 0.29445, 0.415571, 0.677694, 1.26196");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.052572, 0.06403, 0.087507, 0.134487, 0.227577, 0.411158, 0.782372", \
"0.05505, 0.06627, 0.089957, 0.136952, 0.230046, 0.413626, 0.784735", \
"0.060505, 0.071763, 0.095548, 0.142468, 0.235277, 0.418851, 0.789636", \
"0.071462, 0.082893, 0.106418, 0.152895, 0.245432, 0.427496, 0.797588", \
"0.086888, 0.101094, 0.127941, 0.174562, 0.266516, 0.450275, 0.819597", \
"0.105909, 0.123422, 0.155936, 0.212063, 0.308068, 0.492992, 0.861155", \
"0.129553, 0.151369, 0.192646, 0.262582, 0.378515, 0.573522, 0.949747");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.064534, 0.083963, 0.124936, 0.207498, 0.372054, 0.701595, 1.3735", \
"0.064869, 0.083686, 0.124993, 0.207548, 0.372191, 0.701766, 1.37382", \
"0.065902, 0.084512, 0.125391, 0.206689, 0.372938, 0.70262, 1.37467", \
"0.073187, 0.090153, 0.128438, 0.208331, 0.37334, 0.704557, 1.37522", \
"0.091851, 0.106387, 0.141542, 0.215551, 0.374727, 0.704143, 1.37317", \
"0.125625, 0.142151, 0.17536, 0.24332, 0.390197, 0.70791, 1.37278", \
"0.185063, 0.204543, 0.241321, 0.31138, 0.447867, 0.739446, 1.38169");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.025102, 0.024866, 0.024481, 0.023969, 0.023398, 0.022871, 0.022428", \
"0.025075, 0.024839, 0.024453, 0.02394, 0.023369, 0.022842, 0.022399", \
"0.025051, 0.024815, 0.024429, 0.023915, 0.023342, 0.022813, 0.022369", \
"0.025096, 0.024859, 0.024473, 0.023956, 0.023379, 0.022844, 0.022395", \
"0.025346, 0.025112, 0.024723, 0.0242, 0.023608, 0.023053, 0.022585", \
"0.026249, 0.02602, 0.025638, 0.025111, 0.024499, 0.023906, 0.023394", \
"0.028698, 0.028469, 0.028096, 0.027566, 0.026918, 0.026255, 0.025662");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.027024, 0.026791, 0.026399, 0.025853, 0.025207, 0.024565, 0.023987", \
"0.026989, 0.026757, 0.026365, 0.025819, 0.025172, 0.024532, 0.023954", \
"0.026964, 0.026731, 0.026338, 0.025791, 0.025143, 0.0245, 0.023921", \
"0.026997, 0.026765, 0.026372, 0.025825, 0.025176, 0.02453, 0.023948", \
"0.027264, 0.027032, 0.02664, 0.026088, 0.025428, 0.024765, 0.024166", \
"0.028259, 0.028032, 0.027645, 0.027093, 0.026411, 0.025707, 0.025058", \
"0.030837, 0.030616, 0.030237, 0.029679, 0.028964, 0.028197, 0.02747");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.043886, 0.043815, 0.043702, 0.043564, 0.043425, 0.043301, 0.043183", \
"0.043797, 0.043728, 0.043617, 0.043482, 0.043344, 0.043222, 0.043105", \
"0.043781, 0.043711, 0.043601, 0.043467, 0.043333, 0.043218, 0.043102", \
"0.044027, 0.04395, 0.043836, 0.043699, 0.043564, 0.043444, 0.043328", \
"0.045114, 0.044982, 0.044861, 0.044689, 0.044523, 0.044378, 0.044247", \
"0.048072, 0.047914, 0.047677, 0.047397, 0.047123, 0.046902, 0.04672", \
"0.054933, 0.054689, 0.054303, 0.053829, 0.053364, 0.052988, 0.052683");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"-0.005321, -0.005379, -0.005472, -0.005587, -0.005707, -0.005821,
-0.005939", \
"-0.005421, -0.005478, -0.005567, -0.005678, -0.005796, -0.005909,
-0.006026", \
"-0.005435, -0.005489, -0.005577, -0.005684, -0.005797, -0.005909,
-0.006024", \
"-0.005123, -0.005184, -0.005276, -0.005387, -0.005503, -0.005615,
-0.00573", \
"-0.003851, -0.003936, -0.004062, -0.00421, -0.004359, -0.004501,
-0.004631", \
"-0.000546, -0.000679, -0.000883, -0.001134, -0.001391, -0.001615,
-0.001806", \
"0.00686, 0.00666, 0.006325, 0.005892, 0.005447, 0.005045, 0.004727");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.005473, 0.005479, 0.005483, 0.005484, 0.00548, 0.00547, 0.005447", \
"0.005451, 0.005458, 0.005468, 0.005473, 0.005471, 0.005462, 0.00544", \
"0.005446, 0.005457, 0.005469, 0.005477, 0.005479, 0.005471, 0.00545", \
"0.005524, 0.005531, 0.00554, 0.005548, 0.005552, 0.005546, 0.005527", \
"0.005801, 0.005782, 0.005765, 0.005755, 0.005749, 0.005736, 0.005716", \
"0.006682, 0.006588, 0.006468, 0.006393, 0.006296, 0.006228, 0.006172", \
"0.008988, 0.008749, 0.008403, 0.008004, 0.007712, 0.00741, 0.007196");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.006862, 0.006864, 0.006864, 0.006853, 0.006828, 0.006775, 0.006666", \
"0.006834, 0.006842, 0.006841, 0.006835, 0.006814, 0.006762, 0.006654", \
"0.006829, 0.006836, 0.006843, 0.006841, 0.006822, 0.006772, 0.006665", \
"0.006916, 0.006918, 0.006922, 0.006921, 0.006905, 0.006859, 0.006755", \
"0.007233, 0.00721, 0.007182, 0.00716, 0.007152, 0.007096, 0.006986", \
"0.008198, 0.008112, 0.008033, 0.007898, 0.007775, 0.007625, 0.007514", \
"0.010631, 0.01043, 0.010115, 0.009732, 0.009418, 0.00905, 0.008727");
}
}
}
}

/* ---------------- *
* Design : ADDFHX1 *
* ---------------- */
cell (ADDFHX1) {
cell_footprint : ADDFH;
area : 21.193200;
cell_leakage_power : 93.146;
pin(A) {
direction : input;
capacitance : 0.00853799;
rise_capacitance : 0.00853464;
fall_capacitance : 0.00853799;
rise_capacitance_range ( 0.0084494, 0.00861987) ;
fall_capacitance_range ( 0.00845364, 0.00862235) ;
}
pin(B) {
direction : input;
capacitance : 0.00806266;
rise_capacitance : 0.00806266;
fall_capacitance : 0.00786741;
rise_capacitance_range ( 0.00796431, 0.008161) ;
fall_capacitance_range ( 0.0075721, 0.00816272) ;
}
pin(CI) {
direction : input;
capacitance : 0.00576158;
rise_capacitance : 0.00576158;
fall_capacitance : 0.00573833;
rise_capacitance_range ( 0.00520881, 0.00631435) ;
fall_capacitance_range ( 0.00515946, 0.0063172) ;
}
pin(CO) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.143925;
function : "(((A B)+(B CI))+(CI A))";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.158708, 0.173318, 0.198734, 0.240398, 0.310872, 0.435571, 0.676248", \
"0.160985, 0.175573, 0.2011, 0.24263, 0.312877, 0.438026, 0.678698", \
"0.165447, 0.179873, 0.205309, 0.246986, 0.316948, 0.442287, 0.683169", \
"0.173066, 0.187828, 0.21324, 0.254699, 0.324689, 0.450092, 0.690979", \
"0.189357, 0.203923, 0.2291, 0.270533, 0.340455, 0.465733, 0.706538", \
"0.216906, 0.231968, 0.258148, 0.300161, 0.370471, 0.49581, 0.736104", \
"0.254222, 0.27013, 0.29743, 0.342786, 0.416294, 0.543453, 0.784356");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.049593, 0.061515, 0.083996, 0.125885, 0.208011, 0.37172, 0.71744", \
"0.049395, 0.060637, 0.083866, 0.126102, 0.207645, 0.372111, 0.718467", \
"0.049546, 0.061086, 0.084075, 0.125624, 0.207805, 0.371287, 0.711309", \
"0.049184, 0.061435, 0.084076, 0.125808, 0.207837, 0.371739, 0.713187", \
"0.048805, 0.061546, 0.083495, 0.12664, 0.207837, 0.372981, 0.715254", \
"0.054701, 0.066237, 0.087446, 0.129601, 0.210166, 0.373321, 0.717645", \
"0.063335, 0.076741, 0.097994, 0.140002, 0.217909, 0.377255, 0.713874");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.171259, 0.184524, 0.207557, 0.245181, 0.307309, 0.413998, 0.613172", \
"0.174255, 0.187359, 0.210417, 0.248263, 0.310302, 0.416911, 0.616137", \
"0.180023, 0.193044, 0.216354, 0.253857, 0.316097, 0.422657, 0.622102", \
"0.18974, 0.202852, 0.225884, 0.263648, 0.325877, 0.432434, 0.631767", \
"0.207503, 0.220684, 0.244057, 0.281687, 0.343767, 0.450414, 0.649625", \
"0.241592, 0.254819, 0.277938, 0.315706, 0.377966, 0.484671, 0.683992", \
"0.290231, 0.304541, 0.329712, 0.370027, 0.435285, 0.544648, 0.743867");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.050682, 0.061342, 0.082427, 0.118778, 0.18807, 0.324609, 0.609578", \
"0.051047, 0.061169, 0.08188, 0.119177, 0.187437, 0.325165, 0.610968", \
"0.050056, 0.061589, 0.081866, 0.118983, 0.187852, 0.324528, 0.609077", \
"0.050576, 0.060381, 0.082266, 0.118912, 0.187928, 0.322804, 0.606114", \
"0.049538, 0.061281, 0.082133, 0.118771, 0.187841, 0.32523, 0.604601", \
"0.054721, 0.065358, 0.08573, 0.120994, 0.189199, 0.326113, 0.61241", \
"0.066652, 0.077739, 0.097103, 0.134564, 0.200315, 0.330169, 0.61004");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.16198, 0.176723, 0.202864, 0.244514, 0.314848, 0.440314, 0.681727", \
"0.16375, 0.178401, 0.204257, 0.246165, 0.316458, 0.441855, 0.683245", \
"0.167051, 0.181999, 0.20769, 0.24963, 0.319535, 0.445077, 0.686375", \
"0.174593, 0.189287, 0.214972, 0.256878, 0.327037, 0.452417, 0.693857", \
"0.190904, 0.20532, 0.231281, 0.272668, 0.342478, 0.467953, 0.708857", \
"0.219331, 0.233933, 0.260368, 0.302417, 0.372507, 0.498017, 0.739403", \
"0.257284, 0.273104, 0.301159, 0.345332, 0.419224, 0.545779, 0.786783");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.050088, 0.063157, 0.085742, 0.12649, 0.208133, 0.373223, 0.718743", \
"0.050728, 0.062769, 0.08527, 0.127347, 0.207931, 0.373711, 0.719666", \
"0.050633, 0.063148, 0.084931, 0.12701, 0.208734, 0.374008, 0.713407", \
"0.050085, 0.06223, 0.085244, 0.126601, 0.207962, 0.372035, 0.718654", \
"0.049986, 0.062689, 0.085155, 0.126674, 0.20852, 0.374351, 0.715657", \
"0.056006, 0.067074, 0.089786, 0.130066, 0.21018, 0.374762, 0.719961", \
"0.064964, 0.077515, 0.099494, 0.140729, 0.218891, 0.37944, 0.716455");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.174728, 0.187914, 0.211309, 0.249263, 0.311833, 0.418408, 0.617528", \
"0.177227, 0.190442, 0.213795, 0.251758, 0.314335, 0.420919, 0.619749", \
"0.181653, 0.195046, 0.218238, 0.256309, 0.318827, 0.425376, 0.624551", \
"0.190035, 0.203344, 0.22666, 0.264616, 0.32696, 0.433627, 0.63323", \
"0.208037, 0.221065, 0.244175, 0.281725, 0.343952, 0.450774, 0.650275", \
"0.241623, 0.255001, 0.278268, 0.316226, 0.378612, 0.485546, 0.684636", \
"0.29113, 0.305602, 0.330941, 0.371387, 0.436846, 0.545905, 0.745744");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.05223, 0.063264, 0.083063, 0.120394, 0.18927, 0.32557, 0.61282", \
"0.052079, 0.062791, 0.082887, 0.119628, 0.189233, 0.325508, 0.612892", \
"0.052147, 0.062787, 0.082794, 0.119695, 0.189165, 0.324806, 0.611591", \
"0.051952, 0.063269, 0.082814, 0.119822, 0.188698, 0.325301, 0.612665", \
"0.051187, 0.062345, 0.082745, 0.120433, 0.188534, 0.325549, 0.612846", \
"0.056585, 0.067008, 0.086976, 0.12276, 0.190276, 0.326673, 0.613605", \
"0.067406, 0.07804, 0.098826, 0.134672, 0.200623, 0.333366, 0.615924");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.153955, 0.168588, 0.194473, 0.236407, 0.307045, 0.432743, 0.673594", \
"0.156213, 0.170835, 0.196657, 0.238823, 0.309211, 0.435021, 0.67569", \
"0.160282, 0.174828, 0.200716, 0.24261, 0.313401, 0.439026, 0.679856", \
"0.167706, 0.182425, 0.208219, 0.250089, 0.320522, 0.44634, 0.687306", \
"0.183528, 0.197996, 0.223632, 0.265392, 0.335803, 0.461638, 0.70185", \
"0.20681, 0.222413, 0.249445, 0.292889, 0.364769, 0.490189, 0.730602", \
"0.237152, 0.253493, 0.282039, 0.328238, 0.403873, 0.533919, 0.775154");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.048823, 0.061212, 0.084095, 0.126753, 0.207743, 0.373418, 0.715498", \
"0.049159, 0.061273, 0.084385, 0.126469, 0.208143, 0.374733, 0.719123", \
"0.048719, 0.061419, 0.08358, 0.126035, 0.208011, 0.373528, 0.717253", \
"0.048385, 0.060694, 0.08333, 0.125814, 0.208653, 0.372215, 0.711971", \
"0.048689, 0.061269, 0.084721, 0.126386, 0.208543, 0.374124, 0.716864", \
"0.055356, 0.067193, 0.090226, 0.12873, 0.210146, 0.373577, 0.712724", \
"0.063189, 0.075787, 0.100587, 0.143181, 0.220385, 0.380147, 0.715895");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.174324, 0.187893, 0.211794, 0.250515, 0.314089, 0.421816, 0.621099", \
"0.176852, 0.190571, 0.214329, 0.253136, 0.316571, 0.42349, 0.622897", \
"0.181927, 0.195598, 0.219355, 0.258144, 0.320673, 0.427326, 0.62692", \
"0.190848, 0.204484, 0.228304, 0.267016, 0.329377, 0.436272, 0.635277", \
"0.208436, 0.221932, 0.245668, 0.284432, 0.347934, 0.456294, 0.65646", \
"0.238853, 0.252901, 0.277535, 0.316722, 0.380924, 0.489387, 0.689592", \
"0.28057, 0.295269, 0.320999, 0.363694, 0.432132, 0.5459, 0.746844");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.052135, 0.062193, 0.082869, 0.119476, 0.18899, 0.325604, 0.609912", \
"0.052246, 0.06211, 0.082834, 0.119383, 0.18921, 0.325427, 0.613746", \
"0.051862, 0.062528, 0.08372, 0.119552, 0.188627, 0.322662, 0.60554", \
"0.05174, 0.062653, 0.083213, 0.120047, 0.189109, 0.324746, 0.609813", \
"0.051372, 0.062899, 0.083403, 0.119376, 0.188158, 0.324275, 0.610968", \
"0.056419, 0.067106, 0.087383, 0.121738, 0.190268, 0.324193, 0.607133", \
"0.066792, 0.078433, 0.099235, 0.13721, 0.202099, 0.330436, 0.607979");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.171572, 0.18612, 0.212008, 0.253928, 0.324183, 0.44955, 0.690694", \
"0.173123, 0.187989, 0.213777, 0.255716, 0.325852, 0.451516, 0.692303", \
"0.176994, 0.191647, 0.217333, 0.259171, 0.329701, 0.454896, 0.695701", \
"0.185054, 0.199626, 0.225747, 0.267264, 0.337865, 0.462909, 0.704229", \
"0.204212, 0.218734, 0.244422, 0.286028, 0.355954, 0.481583, 0.722091", \
"0.241396, 0.25639, 0.28241, 0.324233, 0.394236, 0.519314, 0.760348", \
"0.290037, 0.30555, 0.333849, 0.378391, 0.451648, 0.578713, 0.8192");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.050864, 0.062928, 0.085284, 0.12716, 0.208996, 0.374346, 0.716728", \
"0.051001, 0.063535, 0.085675, 0.1268, 0.209093, 0.374829, 0.720998", \
"0.050554, 0.063363, 0.085088, 0.126436, 0.208486, 0.373976, 0.718158", \
"0.050185, 0.063079, 0.085083, 0.126433, 0.208696, 0.373376, 0.71292", \
"0.049769, 0.062413, 0.085605, 0.127168, 0.208994, 0.374945, 0.719274", \
"0.055632, 0.067443, 0.090434, 0.132021, 0.212116, 0.375726, 0.719882", \
"0.068334, 0.079577, 0.102283, 0.143884, 0.223424, 0.38461, 0.722856");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.178018, 0.191346, 0.214675, 0.252555, 0.314939, 0.422684, 0.623051", \
"0.179681, 0.193019, 0.216321, 0.254252, 0.316984, 0.425141, 0.625721", \
"0.183569, 0.197022, 0.220376, 0.258366, 0.322023, 0.430194, 0.630728", \
"0.192705, 0.205969, 0.229219, 0.267108, 0.3307, 0.439091, 0.639429", \
"0.213804, 0.226909, 0.250181, 0.287855, 0.350185, 0.45693, 0.656536", \
"0.258684, 0.271941, 0.295029, 0.332478, 0.394678, 0.501224, 0.70054", \
"0.323717, 0.338278, 0.363299, 0.403319, 0.468678, 0.577485, 0.776343");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.05217, 0.063144, 0.083898, 0.121775, 0.192176, 0.326482, 0.613603", \
"0.052419, 0.06257, 0.084646, 0.121751, 0.191987, 0.3275, 0.614535", \
"0.052364, 0.063019, 0.084322, 0.12181, 0.192094, 0.32696, 0.613313", \
"0.05184, 0.063035, 0.083885, 0.121451, 0.191174, 0.328446, 0.614743", \
"0.051448, 0.062975, 0.083992, 0.121851, 0.190917, 0.328419, 0.61423", \
"0.057277, 0.068572, 0.090091, 0.126334, 0.194516, 0.328156, 0.611898", \
"0.071946, 0.082681, 0.10147, 0.140644, 0.209442, 0.339629, 0.615871");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.145671, 0.160241, 0.185975, 0.227972, 0.29839, 0.424235, 0.665029", \
"0.14759, 0.16224, 0.188084, 0.229922, 0.300573, 0.426254, 0.666448", \
"0.151936, 0.166539, 0.192311, 0.234138, 0.304705, 0.430454, 0.671228", \
"0.16098, 0.175586, 0.201188, 0.2432, 0.313512, 0.43938, 0.680155", \
"0.18107, 0.195567, 0.220978, 0.262604, 0.333045, 0.458875, 0.699444", \
"0.215171, 0.229992, 0.25662, 0.298903, 0.370069, 0.495401, 0.736166", \
"0.257344, 0.273151, 0.302309, 0.348063, 0.422513, 0.550941, 0.790628");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.048692, 0.060401, 0.083853, 0.125456, 0.20796, 0.373535, 0.718339", \
"0.048654, 0.06035, 0.083572, 0.125311, 0.208076, 0.373784, 0.718174", \
"0.048744, 0.060948, 0.083786, 0.125785, 0.20719, 0.372448, 0.717569", \
"0.048578, 0.060493, 0.083878, 0.125611, 0.206919, 0.373178, 0.711916", \
"0.048694, 0.060805, 0.084104, 0.126601, 0.208119, 0.373321, 0.71379", \
"0.056055, 0.067027, 0.088999, 0.130497, 0.209145, 0.372592, 0.713879", \
"0.069162, 0.081414, 0.103249, 0.144764, 0.220741, 0.381029, 0.717985");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.159955, 0.173716, 0.197665, 0.236517, 0.298718, 0.405242, 0.604732", \
"0.161911, 0.175693, 0.199695, 0.238561, 0.300618, 0.407331, 0.606749", \
"0.166248, 0.18005, 0.203949, 0.242807, 0.304905, 0.411717, 0.610726", \
"0.17588, 0.189622, 0.213514, 0.252398, 0.314494, 0.421235, 0.620623", \
"0.198266, 0.211841, 0.235668, 0.27421, 0.33645, 0.442946, 0.6423", \
"0.242058, 0.256036, 0.279847, 0.318564, 0.380686, 0.487581, 0.686341", \
"0.304557, 0.319043, 0.345504, 0.386788, 0.451596, 0.56077, 0.759502");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.050454, 0.061241, 0.082081, 0.118813, 0.187704, 0.322306, 0.604613", \
"0.050549, 0.061076, 0.082285, 0.118899, 0.187954, 0.324856, 0.609082", \
"0.050378, 0.061159, 0.081581, 0.118904, 0.188164, 0.324152, 0.608533", \
"0.049337, 0.061309, 0.081581, 0.118848, 0.188193, 0.322729, 0.605292", \
"0.049758, 0.060879, 0.08161, 0.119109, 0.18745, 0.325055, 0.611831", \
"0.056305, 0.066524, 0.086697, 0.122107, 0.190031, 0.326495, 0.612797", \
"0.072915, 0.082371, 0.102253, 0.138289, 0.202777, 0.331216, 0.608487");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.153804, 0.168276, 0.193941, 0.235555, 0.305434, 0.430694, 0.670898", \
"0.155875, 0.170337, 0.196044, 0.237586, 0.307535, 0.432807, 0.672885", \
"0.160107, 0.17469, 0.200096, 0.241702, 0.311992, 0.437061, 0.67785", \
"0.169086, 0.183677, 0.209054, 0.250628, 0.320855, 0.445961, 0.68664", \
"0.189328, 0.203679, 0.229219, 0.270224, 0.340158, 0.465283, 0.705566", \
"0.225242, 0.240061, 0.266072, 0.307781, 0.378179, 0.50311, 0.743677", \
"0.269154, 0.285199, 0.313378, 0.358708, 0.432266, 0.559032, 0.799474");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.04883, 0.061261, 0.084099, 0.125519, 0.20836, 0.373738, 0.718851", \
"0.048827, 0.061315, 0.083981, 0.126203, 0.208397, 0.374773, 0.718337", \
"0.049203, 0.061117, 0.083828, 0.126567, 0.20857, 0.374242, 0.719282", \
"0.048692, 0.061038, 0.084005, 0.126585, 0.208743, 0.37338, 0.718995", \
"0.048811, 0.061031, 0.084251, 0.126932, 0.208856, 0.373894, 0.717834", \
"0.056886, 0.06801, 0.090458, 0.13108, 0.211163, 0.373286, 0.717677", \
"0.070643, 0.082246, 0.106196, 0.145297, 0.223599, 0.382, 0.721124");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.16261, 0.175875, 0.198962, 0.23657, 0.300176, 0.408769, 0.609263", \
"0.164576, 0.177833, 0.200882, 0.238588, 0.302214, 0.410934, 0.61079", \
"0.169012, 0.182113, 0.205198, 0.242984, 0.306753, 0.414993, 0.615541", \
"0.178694, 0.191716, 0.214846, 0.252616, 0.316003, 0.424647, 0.625037", \
"0.200975, 0.214128, 0.236921, 0.274403, 0.337794, 0.44626, 0.646497", \
"0.245043, 0.258483, 0.281363, 0.318723, 0.38217, 0.490511, 0.690708", \
"0.306308, 0.321257, 0.346355, 0.386964, 0.454021, 0.565672, 0.765168");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.053184, 0.064051, 0.084958, 0.12279, 0.192472, 0.326184, 0.612238", \
"0.053592, 0.064159, 0.084995, 0.122664, 0.191919, 0.327891, 0.611629", \
"0.053458, 0.064232, 0.084945, 0.122497, 0.192431, 0.327213, 0.61355", \
"0.0532, 0.063864, 0.085136, 0.12298, 0.192441, 0.326791, 0.609832", \
"0.053523, 0.064355, 0.085336, 0.122628, 0.191816, 0.328854, 0.614565", \
"0.059829, 0.069512, 0.089947, 0.126154, 0.193993, 0.329673, 0.615321", \
"0.076199, 0.087625, 0.106394, 0.142661, 0.208471, 0.338632, 0.614801");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.005069, 0.004995, 0.004931, 0.004898, 0.004888, 0.004887, 0.004883", \
"0.005055, 0.00498, 0.004914, 0.004884, 0.004875, 0.004874, 0.00487", \
"0.005037, 0.004963, 0.004899, 0.004866, 0.004857, 0.004857, 0.004852", \
"0.00502, 0.004944, 0.00488, 0.004845, 0.004837, 0.004836, 0.004833", \
"0.005036, 0.004959, 0.004891, 0.004849, 0.004836, 0.004835, 0.004831", \
"0.005192, 0.005096, 0.005005, 0.004946, 0.004921, 0.004913, 0.004907", \
"0.005651, 0.005537, 0.005414, 0.005317, 0.005267, 0.005233, 0.005215");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.007185, 0.007105, 0.007033, 0.006994, 0.006981, 0.006977, 0.006972", \
"0.007173, 0.007094, 0.00702, 0.006984, 0.006968, 0.006965, 0.00696", \
"0.007159, 0.007079, 0.007007, 0.006969, 0.006955, 0.006951, 0.006945", \
"0.00714, 0.007061, 0.006987, 0.006949, 0.006936, 0.006933, 0.006928", \
"0.007144, 0.007064, 0.006991, 0.006947, 0.006931, 0.006928, 0.006923", \
"0.007297, 0.007205, 0.007109, 0.007044, 0.007013, 0.007002, 0.006992", \
"0.00777, 0.007658, 0.007533, 0.007424, 0.007357, 0.007319, 0.007293");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.004922, 0.004845, 0.004779, 0.004748, 0.00474, 0.004737, 0.004731", \
"0.004905, 0.004831, 0.004765, 0.004733, 0.004724, 0.004722, 0.004716", \
"0.004882, 0.004805, 0.004739, 0.004704, 0.004698, 0.004698, 0.004692", \
"0.004845, 0.004771, 0.004706, 0.004669, 0.004661, 0.004661, 0.004656", \
"0.004845, 0.004767, 0.004695, 0.004653, 0.004642, 0.004641, 0.004637", \
"0.004993, 0.004899, 0.004815, 0.004752, 0.004723, 0.004713, 0.004705", \
"0.005498, 0.005379, 0.005244, 0.005143, 0.005078, 0.005045, 0.005026");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.007563, 0.007481, 0.007404, 0.00736, 0.007343, 0.007338, 0.007331", \
"0.007547, 0.007465, 0.007388, 0.007344, 0.007328, 0.007323, 0.007316", \
"0.007521, 0.00744, 0.007364, 0.007322, 0.007305, 0.0073, 0.007293", \
"0.007489, 0.007407, 0.007331, 0.007287, 0.007272, 0.007267, 0.007261", \
"0.007475, 0.007391, 0.00731, 0.007262, 0.007245, 0.00724, 0.007234", \
"0.007624, 0.007528, 0.007431, 0.007359, 0.007323, 0.007307, 0.007295", \
"0.008127, 0.008009, 0.007871, 0.007761, 0.007684, 0.007637, 0.007607");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.004586, 0.004514, 0.004455, 0.004425, 0.004417, 0.004415, 0.004411", \
"0.004581, 0.004509, 0.00445, 0.00442, 0.004412, 0.004411, 0.004406", \
"0.004571, 0.0045, 0.00444, 0.004409, 0.004402, 0.004401, 0.004397", \
"0.004561, 0.004489, 0.004427, 0.004395, 0.004387, 0.004387, 0.004384", \
"0.004592, 0.004516, 0.004447, 0.004404, 0.004391, 0.004389, 0.004386", \
"0.004798, 0.004702, 0.004603, 0.004536, 0.004502, 0.004489, 0.00448", \
"0.005341, 0.00522, 0.005089, 0.004974, 0.004902, 0.004862, 0.004839");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.007689, 0.007609, 0.007535, 0.007493, 0.007475, 0.007467, 0.007461", \
"0.007679, 0.0076, 0.007526, 0.007483, 0.007466, 0.007458, 0.007452", \
"0.007664, 0.007585, 0.007509, 0.007467, 0.007449, 0.007443, 0.007438", \
"0.007648, 0.007568, 0.007491, 0.007448, 0.00743, 0.007425, 0.007419", \
"0.007682, 0.007599, 0.007517, 0.007463, 0.00744, 0.007431, 0.007424", \
"0.007878, 0.007779, 0.007674, 0.007592, 0.007547, 0.007526, 0.007512", \
"0.008444, 0.008322, 0.008179, 0.008059, 0.007963, 0.007906, 0.007869");
}
}
}
pin(S) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.142766;
function : "((A^B)^CI)";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.131846, 0.144989, 0.168017, 0.205681, 0.270344, 0.390924, 0.631722", \
"0.13444, 0.147602, 0.170807, 0.208131, 0.27278, 0.393511, 0.634253", \
"0.139163, 0.152369, 0.175331, 0.212873, 0.277506, 0.398205, 0.63927", \
"0.147555, 0.160675, 0.183617, 0.221246, 0.285959, 0.406759, 0.646181", \
"0.163705, 0.176647, 0.199433, 0.236769, 0.301436, 0.421742, 0.663392", \
"0.187451, 0.201213, 0.22497, 0.263418, 0.328809, 0.449419, 0.690057", \
"0.216398, 0.231118, 0.256419, 0.297592, 0.365469, 0.487539, 0.727629");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.040929, 0.052267, 0.073301, 0.113602, 0.193724, 0.366576, 0.712998", \
"0.040878, 0.052564, 0.073487, 0.11354, 0.195849, 0.363516, 0.717881", \
"0.041025, 0.051626, 0.073693, 0.113596, 0.195786, 0.364578, 0.718536", \
"0.040482, 0.052212, 0.073015, 0.113473, 0.195414, 0.363508, 0.714594", \
"0.041134, 0.052384, 0.073203, 0.114019, 0.194679, 0.366446, 0.715047", \
"0.047584, 0.05833, 0.078876, 0.118092, 0.198056, 0.364631, 0.718298", \
"0.05677, 0.067045, 0.089153, 0.127699, 0.205188, 0.370622, 0.719414");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.14463, 0.156242, 0.176718, 0.210207, 0.265911, 0.366432, 0.563802", \
"0.147783, 0.159416, 0.179812, 0.213255, 0.269274, 0.369677, 0.567", \
"0.153634, 0.16535, 0.185708, 0.2192, 0.275176, 0.375258, 0.571896", \
"0.16355, 0.175158, 0.195598, 0.229096, 0.284996, 0.385052, 0.581914", \
"0.181252, 0.192728, 0.21317, 0.246365, 0.302111, 0.402461, 0.599634", \
"0.2097, 0.221773, 0.242901, 0.276827, 0.333283, 0.433612, 0.630304", \
"0.248287, 0.261423, 0.284479, 0.320967, 0.380795, 0.483639, 0.680905");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.040594, 0.050709, 0.068954, 0.104231, 0.172357, 0.312676, 0.598692", \
"0.040518, 0.050228, 0.069464, 0.10374, 0.171481, 0.309071, 0.606584", \
"0.040842, 0.049896, 0.069451, 0.10349, 0.171838, 0.311522, 0.6052", \
"0.039943, 0.050655, 0.06894, 0.104077, 0.171801, 0.311716, 0.604498", \
"0.040537, 0.050189, 0.069712, 0.104396, 0.17216, 0.31254, 0.600742", \
"0.047138, 0.056599, 0.073777, 0.108611, 0.174092, 0.312931, 0.605005", \
"0.056992, 0.06665, 0.085262, 0.120015, 0.18433, 0.319329, 0.606698");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.183536, 0.198444, 0.224433, 0.26658, 0.335628, 0.458982, 0.70064", \
"0.185138, 0.199788, 0.226167, 0.267934, 0.337168, 0.460222, 0.702021", \
"0.18739, 0.202297, 0.228321, 0.270336, 0.339447, 0.462694, 0.704489", \
"0.191743, 0.206811, 0.23306, 0.274801, 0.34417, 0.466849, 0.708393", \
"0.201984, 0.217091, 0.242698, 0.284649, 0.35375, 0.476748, 0.718519", \
"0.220835, 0.23606, 0.26254, 0.304635, 0.374163, 0.497662, 0.739249", \
"0.249751, 0.265709, 0.293494, 0.338108, 0.409719, 0.534598, 0.776276");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.053341, 0.065158, 0.088654, 0.128594, 0.208446, 0.370211, 0.724552", \
"0.053467, 0.065608, 0.088301, 0.127855, 0.208485, 0.373216, 0.72375", \
"0.053405, 0.065034, 0.088514, 0.128294, 0.208446, 0.372385, 0.724035", \
"0.052708, 0.065589, 0.087648, 0.127586, 0.207344, 0.374208, 0.716394", \
"0.052267, 0.065193, 0.087567, 0.128812, 0.208166, 0.373938, 0.720943", \
"0.057751, 0.068832, 0.090415, 0.131131, 0.209599, 0.371998, 0.723908", \
"0.065177, 0.077222, 0.100862, 0.140609, 0.217222, 0.375492, 0.725827");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.198084, 0.211533, 0.234988, 0.272886, 0.334464, 0.439814, 0.638857", \
"0.200275, 0.213809, 0.237138, 0.275006, 0.336774, 0.441898, 0.640847", \
"0.204417, 0.217837, 0.241172, 0.27921, 0.340955, 0.446068, 0.645286", \
"0.211184, 0.2247, 0.247977, 0.285939, 0.347533, 0.452798, 0.652069", \
"0.223458, 0.236918, 0.260196, 0.298113, 0.359539, 0.464889, 0.664181", \
"0.246275, 0.260055, 0.283401, 0.321106, 0.382922, 0.488393, 0.687564", \
"0.281668, 0.296031, 0.321099, 0.361415, 0.42581, 0.533253, 0.732947");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.053825, 0.064806, 0.084881, 0.121327, 0.188, 0.325488, 0.615066", \
"0.054152, 0.064641, 0.085303, 0.12033, 0.189082, 0.324985, 0.614643", \
"0.054372, 0.063869, 0.084906, 0.121733, 0.187985, 0.325658, 0.614123", \
"0.054063, 0.064569, 0.085239, 0.120168, 0.189821, 0.322527, 0.611055", \
"0.053983, 0.064082, 0.084888, 0.12097, 0.189397, 0.322103, 0.610503", \
"0.058242, 0.068515, 0.087922, 0.122683, 0.18932, 0.326409, 0.613205", \
"0.066792, 0.07764, 0.099059, 0.135926, 0.200079, 0.332396, 0.616471");
}
}
timing() { /* MIN */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.291383, 0.30324, 0.323822, 0.359324, 0.422267, 0.541061, 0.780356", \
"0.294064, 0.305814, 0.32644, 0.361911, 0.425014, 0.543835, 0.783144", \
"0.299082, 0.310633, 0.331312, 0.366766, 0.429737, 0.548493, 0.787738", \
"0.307472, 0.319154, 0.339828, 0.375197, 0.43832, 0.55712, 0.795393", \
"0.324614, 0.336242, 0.357178, 0.392403, 0.45577, 0.574471, 0.812696", \
"0.358962, 0.370431, 0.391177, 0.426566, 0.489456, 0.608327, 0.847275", \
"0.41603, 0.427517, 0.447542, 0.482514, 0.544794, 0.663481, 0.900992");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.043966, 0.054352, 0.07517, 0.115122, 0.195747, 0.363904, 0.707661", \
"0.043673, 0.05435, 0.075217, 0.114475, 0.195826, 0.36356, 0.710181", \
"0.04396, 0.05448, 0.075899, 0.114787, 0.195831, 0.36084, 0.707016", \
"0.043134, 0.054992, 0.074996, 0.114237, 0.195553, 0.362605, 0.712356", \
"0.043969, 0.055053, 0.075061, 0.114342, 0.195863, 0.36083, 0.710877", \
"0.04378, 0.05528, 0.076308, 0.114616, 0.195363, 0.361878, 0.715182", \
"0.04564, 0.056826, 0.077916, 0.116055, 0.196215, 0.362111, 0.714362");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.277548, 0.287627, 0.305425, 0.336379, 0.390532, 0.489696, 0.686124", \
"0.27989, 0.290094, 0.307915, 0.338764, 0.392874, 0.491839, 0.688178", \
"0.283855, 0.294502, 0.312361, 0.343214, 0.397265, 0.496566, 0.693179", \
"0.291442, 0.302365, 0.320165, 0.351073, 0.40513, 0.504371, 0.70097", \
"0.306987, 0.317555, 0.33553, 0.366279, 0.420507, 0.519656, 0.716167", \
"0.335583, 0.34581, 0.363493, 0.394147, 0.448286, 0.546984, 0.742906", \
"0.378782, 0.38836, 0.405721, 0.43611, 0.489718, 0.58827, 0.784746");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.042639, 0.052588, 0.071221, 0.105475, 0.172301, 0.308269, 0.599906", \
"0.042206, 0.052272, 0.071815, 0.105575, 0.172871, 0.312749, 0.5975", \
"0.042216, 0.052594, 0.071053, 0.105915, 0.173022, 0.311591, 0.604484", \
"0.042493, 0.052168, 0.071038, 0.105549, 0.172608, 0.310787, 0.599072", \
"0.042671, 0.052875, 0.071716, 0.10531, 0.172221, 0.308978, 0.606408", \
"0.043162, 0.053255, 0.072324, 0.105835, 0.172124, 0.311742, 0.60101", \
"0.045008, 0.054783, 0.07354, 0.10728, 0.172689, 0.311933, 0.604148");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.299008, 0.310621, 0.33073, 0.36526, 0.427318, 0.54603, 0.785598", \
"0.302095, 0.313684, 0.333763, 0.3683, 0.430521, 0.549181, 0.788741", \
"0.307934, 0.319592, 0.339698, 0.374227, 0.436399, 0.555121, 0.794048", \
"0.317818, 0.329419, 0.349545, 0.384081, 0.446228, 0.564882, 0.80424", \
"0.335737, 0.347276, 0.367474, 0.401947, 0.463939, 0.582711, 0.822336", \
"0.369305, 0.380874, 0.400826, 0.435193, 0.49742, 0.616068, 0.855135", \
"0.425299, 0.436513, 0.456348, 0.490344, 0.551607, 0.670063, 0.90816");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.045121, 0.056028, 0.077335, 0.116673, 0.196593, 0.365559, 0.714377", \
"0.044939, 0.056424, 0.077758, 0.11708, 0.19697, 0.365252, 0.714465", \
"0.045159, 0.056466, 0.076928, 0.117052, 0.196581, 0.365598, 0.716848", \
"0.045121, 0.056394, 0.077027, 0.117041, 0.195816, 0.364456, 0.716276", \
"0.045428, 0.056453, 0.077609, 0.116731, 0.196577, 0.363916, 0.714803", \
"0.045147, 0.056976, 0.077133, 0.116997, 0.195913, 0.363446, 0.716708", \
"0.047482, 0.05877, 0.079904, 0.11773, 0.198019, 0.364542, 0.715928");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.278026, 0.288892, 0.308024, 0.340801, 0.397303, 0.498209, 0.694789", \
"0.280034, 0.290808, 0.310011, 0.342956, 0.399528, 0.500403, 0.696918", \
"0.284474, 0.294731, 0.314042, 0.346907, 0.403313, 0.50432, 0.70091", \
"0.292272, 0.302454, 0.32173, 0.354643, 0.411022, 0.512058, 0.708602", \
"0.30754, 0.317976, 0.337415, 0.37018, 0.426746, 0.527534, 0.72406", \
"0.335841, 0.346819, 0.366052, 0.398683, 0.455069, 0.556104, 0.752635", \
"0.380274, 0.390869, 0.409652, 0.441519, 0.498242, 0.598358, 0.794259");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.047077, 0.057418, 0.07699, 0.110833, 0.179004, 0.31588, 0.607003", \
"0.047727, 0.057465, 0.077342, 0.111733, 0.179001, 0.316041, 0.598399", \
"0.048033, 0.058374, 0.077301, 0.111108, 0.17786, 0.312656, 0.60536", \
"0.048313, 0.058624, 0.077457, 0.111828, 0.178661, 0.315734, 0.605427", \
"0.04864, 0.058789, 0.077474, 0.111602, 0.177623, 0.312506, 0.606733", \
"0.048399, 0.059354, 0.077565, 0.111718, 0.178742, 0.314975, 0.60316", \
"0.051221, 0.060999, 0.079654, 0.113579, 0.179662, 0.313029, 0.607143");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.12082, 0.133659, 0.156063, 0.19269, 0.25634, 0.376428, 0.615738", \
"0.123599, 0.136376, 0.158905, 0.19547, 0.259266, 0.378973, 0.620081", \
"0.128657, 0.141426, 0.163919, 0.200505, 0.264212, 0.38372, 0.625332", \
"0.137416, 0.150186, 0.172563, 0.209117, 0.272982, 0.393038, 0.633065", \
"0.153493, 0.166201, 0.188325, 0.224869, 0.288491, 0.408428, 0.649686", \
"0.175254, 0.18864, 0.212285, 0.250077, 0.314498, 0.434707, 0.675051", \
"0.199809, 0.2143, 0.239533, 0.280432, 0.347513, 0.468794, 0.708455");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.038649, 0.049263, 0.070758, 0.110621, 0.193245, 0.362536, 0.707781", \
"0.037913, 0.049468, 0.070845, 0.110548, 0.191753, 0.364652, 0.717196", \
"0.038296, 0.049699, 0.070148, 0.111035, 0.192523, 0.364496, 0.714853", \
"0.038194, 0.049508, 0.070735, 0.111253, 0.192331, 0.363807, 0.710885", \
"0.039344, 0.050102, 0.071131, 0.110932, 0.193218, 0.364092, 0.717401", \
"0.045153, 0.056737, 0.077433, 0.116269, 0.196549, 0.363117, 0.717153", \
"0.055581, 0.065677, 0.088181, 0.127753, 0.203863, 0.366163, 0.710152");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.135634, 0.14712, 0.167203, 0.200201, 0.255667, 0.355734, 0.552884", \
"0.139024, 0.150464, 0.170602, 0.203599, 0.259284, 0.359027, 0.555965", \
"0.14519, 0.156589, 0.1767, 0.209758, 0.265097, 0.365145, 0.56218", \
"0.155296, 0.166791, 0.18684, 0.219897, 0.275225, 0.3753, 0.572365", \
"0.173081, 0.184483, 0.204384, 0.237174, 0.292416, 0.392539, 0.589624", \
"0.200428, 0.212281, 0.233271, 0.267114, 0.322991, 0.423294, 0.620265", \
"0.235886, 0.249071, 0.271775, 0.308085, 0.367386, 0.46992, 0.666848");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.038647, 0.048311, 0.067374, 0.102264, 0.170692, 0.30916, 0.604659", \
"0.038932, 0.048783, 0.067068, 0.101923, 0.169861, 0.30799, 0.605914", \
"0.038755, 0.048624, 0.067389, 0.102204, 0.170747, 0.311899, 0.598651", \
"0.039027, 0.048527, 0.067582, 0.101988, 0.170798, 0.311724, 0.5995", \
"0.038562, 0.048252, 0.067385, 0.102678, 0.170687, 0.311564, 0.598753", \
"0.04574, 0.055268, 0.072717, 0.106587, 0.173884, 0.312687, 0.600161", \
"0.056681, 0.065999, 0.085598, 0.120441, 0.185062, 0.318811, 0.607488");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.184529, 0.199497, 0.225613, 0.268189, 0.337741, 0.461498, 0.702782", \
"0.185767, 0.200846, 0.22743, 0.269595, 0.339191, 0.463081, 0.705319", \
"0.189027, 0.203951, 0.230119, 0.272687, 0.342348, 0.46595, 0.707432", \
"0.195828, 0.210707, 0.236866, 0.279441, 0.349307, 0.472782, 0.715416", \
"0.211043, 0.22619, 0.252114, 0.294655, 0.364057, 0.488158, 0.730106", \
"0.239639, 0.254951, 0.28195, 0.324522, 0.39501, 0.518975, 0.761576", \
"0.282561, 0.298598, 0.326243, 0.371715, 0.444699, 0.570635, 0.814144");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.054504, 0.065711, 0.0892, 0.130069, 0.207689, 0.375055, 0.715316", \
"0.053423, 0.066307, 0.089377, 0.129503, 0.209966, 0.370904, 0.725591", \
"0.054439, 0.066157, 0.088545, 0.130149, 0.207767, 0.37534, 0.717092", \
"0.054123, 0.06598, 0.088334, 0.129506, 0.208786, 0.375643, 0.725508", \
"0.053853, 0.066477, 0.088759, 0.130368, 0.20976, 0.372069, 0.724774", \
"0.058388, 0.07033, 0.093648, 0.132735, 0.212352, 0.377245, 0.724411", \
"0.067362, 0.078977, 0.101607, 0.145048, 0.219992, 0.383187, 0.723873");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.195208, 0.20881, 0.232236, 0.27024, 0.332312, 0.437759, 0.636991", \
"0.197253, 0.210659, 0.234068, 0.272277, 0.334172, 0.439682, 0.639201", \
"0.201346, 0.214755, 0.238173, 0.27639, 0.338293, 0.443896, 0.643482", \
"0.208826, 0.222413, 0.24582, 0.284012, 0.345864, 0.45149, 0.651034", \
"0.224642, 0.237986, 0.261536, 0.299651, 0.361443, 0.467199, 0.666864", \
"0.257141, 0.270743, 0.294247, 0.332149, 0.394396, 0.500455, 0.699831", \
"0.308718, 0.323174, 0.347695, 0.388288, 0.453366, 0.561657, 0.762615");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.054652, 0.065284, 0.085882, 0.120958, 0.190023, 0.325762, 0.615152", \
"0.05454, 0.065231, 0.08592, 0.121643, 0.190691, 0.324378, 0.613142", \
"0.054578, 0.065244, 0.085917, 0.121691, 0.190716, 0.323814, 0.612351", \
"0.054452, 0.065308, 0.085939, 0.121083, 0.190696, 0.323826, 0.612846", \
"0.054379, 0.065488, 0.085591, 0.122125, 0.19052, 0.323612, 0.612118", \
"0.058707, 0.070703, 0.088793, 0.124117, 0.190471, 0.327958, 0.615965", \
"0.069469, 0.080535, 0.100245, 0.1372, 0.202136, 0.334865, 0.619101");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.295355, 0.306917, 0.327692, 0.363082, 0.426194, 0.545187, 0.784378", \
"0.296897, 0.308567, 0.329244, 0.364674, 0.4278, 0.546867, 0.7858", \
"0.300705, 0.31246, 0.333161, 0.36858, 0.43166, 0.550501, 0.788934", \
"0.309451, 0.321228, 0.341964, 0.377352, 0.440375, 0.559214, 0.798567", \
"0.330088, 0.341709, 0.362465, 0.397911, 0.461047, 0.580015, 0.817816", \
"0.374311, 0.385763, 0.406546, 0.441881, 0.504927, 0.623818, 0.861872", \
"0.427104, 0.438724, 0.458626, 0.493252, 0.555061, 0.673442, 0.912179");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.045164, 0.056526, 0.077524, 0.117067, 0.196964, 0.363282, 0.71445", \
"0.045277, 0.056452, 0.076977, 0.117147, 0.197188, 0.361638, 0.71574", \
"0.044977, 0.056582, 0.077458, 0.117103, 0.195822, 0.362412, 0.71202", \
"0.045104, 0.056535, 0.077129, 0.116788, 0.19685, 0.365632, 0.708187", \
"0.045223, 0.056648, 0.077377, 0.117047, 0.195495, 0.362588, 0.712112", \
"0.045413, 0.057018, 0.07822, 0.117415, 0.195956, 0.363574, 0.71554", \
"0.047725, 0.058525, 0.079792, 0.117643, 0.196686, 0.365408, 0.711399");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.28103, 0.291439, 0.309688, 0.340841, 0.395508, 0.494733, 0.691496", \
"0.283577, 0.29393, 0.312181, 0.343303, 0.397974, 0.497015, 0.693495", \
"0.287722, 0.298224, 0.316367, 0.347501, 0.40212, 0.501396, 0.698049", \
"0.295073, 0.305543, 0.323648, 0.354838, 0.409226, 0.508897, 0.705828", \
"0.308894, 0.319119, 0.337395, 0.368508, 0.42318, 0.522615, 0.719049", \
"0.333153, 0.343472, 0.36142, 0.392302, 0.44696, 0.546013, 0.742306", \
"0.370831, 0.380524, 0.398023, 0.428185, 0.481844, 0.580675, 0.777221");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.044847, 0.054146, 0.073095, 0.107314, 0.174243, 0.312431, 0.600632", \
"0.044429, 0.054287, 0.072936, 0.106421, 0.173288, 0.313543, 0.599987", \
"0.04448, 0.054104, 0.072844, 0.107563, 0.174331, 0.312113, 0.598538", \
"0.044621, 0.054663, 0.072829, 0.107782, 0.174703, 0.3129, 0.605018", \
"0.044678, 0.054532, 0.073207, 0.107002, 0.173511, 0.311134, 0.607511", \
"0.04568, 0.055501, 0.074323, 0.107403, 0.173481, 0.312918, 0.603483", \
"0.046167, 0.056527, 0.074507, 0.107958, 0.172945, 0.313537, 0.59761");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.314061, 0.326093, 0.346942, 0.382093, 0.444867, 0.564261, 0.802847", \
"0.316861, 0.328831, 0.349602, 0.384817, 0.447564, 0.56698, 0.80668", \
"0.321996, 0.333935, 0.354716, 0.389975, 0.452732, 0.572163, 0.811514", \
"0.330831, 0.342813, 0.36364, 0.398666, 0.461425, 0.5807, 0.820906", \
"0.347071, 0.359008, 0.379858, 0.414862, 0.477609, 0.596866, 0.837102", \
"0.377102, 0.388998, 0.409604, 0.44451, 0.507271, 0.626505, 0.865386", \
"0.44825, 0.459051, 0.479004, 0.513367, 0.575754, 0.693788, 0.932295");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.046174, 0.056783, 0.078169, 0.117402, 0.197029, 0.364379, 0.717537", \
"0.046135, 0.05682, 0.078661, 0.117333, 0.198086, 0.364113, 0.717383", \
"0.046158, 0.05689, 0.078118, 0.117385, 0.197905, 0.364772, 0.717954", \
"0.045903, 0.057077, 0.078103, 0.117685, 0.197896, 0.366876, 0.713866", \
"0.046002, 0.057035, 0.077826, 0.117851, 0.197709, 0.367147, 0.71569", \
"0.046398, 0.057935, 0.07828, 0.117699, 0.196321, 0.365888, 0.716001", \
"0.047805, 0.058943, 0.080015, 0.117656, 0.197343, 0.366457, 0.716336");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.285846, 0.296486, 0.315739, 0.348672, 0.405085, 0.506184, 0.70272", \
"0.287388, 0.298255, 0.317335, 0.350339, 0.406868, 0.507528, 0.70355", \
"0.291093, 0.301848, 0.320955, 0.353773, 0.410248, 0.511355, 0.707654", \
"0.298949, 0.309665, 0.328803, 0.361672, 0.418102, 0.519207, 0.715892", \
"0.316922, 0.327719, 0.346893, 0.379645, 0.436453, 0.537048, 0.733508", \
"0.353717, 0.364363, 0.383454, 0.416315, 0.472708, 0.573653, 0.77027", \
"0.410488, 0.420035, 0.438358, 0.470234, 0.52584, 0.626437, 0.8221");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.047049, 0.057441, 0.076013, 0.11155, 0.178483, 0.314409, 0.608014", \
"0.046665, 0.057231, 0.077268, 0.111127, 0.177036, 0.314833, 0.60845", \
"0.046937, 0.057138, 0.076248, 0.110905, 0.178875, 0.314159, 0.608476", \
"0.046707, 0.057569, 0.076977, 0.111549, 0.178243, 0.313448, 0.605417", \
"0.046933, 0.057562, 0.076166, 0.110507, 0.176596, 0.313808, 0.607861", \
"0.047215, 0.0572, 0.0769, 0.11149, 0.178153, 0.313082, 0.604223", \
"0.049111, 0.059169, 0.077955, 0.112129, 0.178795, 0.313609, 0.606802");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.118518, 0.131773, 0.154805, 0.192138, 0.256404, 0.37641, 0.616991", \
"0.121221, 0.134465, 0.157396, 0.194807, 0.259157, 0.379018, 0.61982", \
"0.125958, 0.139103, 0.162078, 0.199594, 0.2641, 0.38402, 0.622893", \
"0.13401, 0.147198, 0.170075, 0.207463, 0.271752, 0.391703, 0.63267", \
"0.148334, 0.161493, 0.184262, 0.221856, 0.286373, 0.406186, 0.646032", \
"0.163388, 0.177575, 0.202242, 0.242185, 0.308956, 0.429304, 0.669343", \
"0.180461, 0.195386, 0.221869, 0.264453, 0.334133, 0.457764, 0.698126");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.039362, 0.050304, 0.071193, 0.111308, 0.194414, 0.362758, 0.715867", \
"0.039369, 0.050289, 0.071476, 0.111993, 0.194036, 0.363917, 0.717184", \
"0.038853, 0.050259, 0.071192, 0.111914, 0.194375, 0.360606, 0.710267", \
"0.039023, 0.050031, 0.071884, 0.111718, 0.194126, 0.364048, 0.717197", \
"0.041491, 0.0521, 0.072302, 0.113218, 0.192667, 0.364202, 0.711249", \
"0.046164, 0.057673, 0.080165, 0.119809, 0.199472, 0.365393, 0.713949", \
"0.055197, 0.067082, 0.090642, 0.131649, 0.208255, 0.371444, 0.71403");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.137113, 0.149481, 0.170992, 0.205853, 0.263609, 0.365274, 0.562597", \
"0.14008, 0.152391, 0.173904, 0.208824, 0.266489, 0.368237, 0.565529", \
"0.145515, 0.15772, 0.179313, 0.214107, 0.272069, 0.373536, 0.570725", \
"0.154414, 0.166695, 0.188164, 0.222923, 0.280759, 0.382468, 0.579693", \
"0.170646, 0.182743, 0.203984, 0.238635, 0.296576, 0.397939, 0.59514", \
"0.191937, 0.204687, 0.227424, 0.263997, 0.323364, 0.425504, 0.622833", \
"0.221498, 0.234756, 0.258386, 0.297072, 0.361382, 0.46816, 0.666777");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.041233, 0.052138, 0.07163, 0.107373, 0.175596, 0.313361, 0.600562", \
"0.041435, 0.052161, 0.071672, 0.107501, 0.17585, 0.313502, 0.600633", \
"0.041631, 0.051767, 0.071524, 0.106883, 0.175054, 0.31067, 0.60429", \
"0.04156, 0.051257, 0.071678, 0.107363, 0.175638, 0.312593, 0.601672", \
"0.041561, 0.05157, 0.071918, 0.107036, 0.175157, 0.312205, 0.605606", \
"0.046479, 0.058405, 0.078891, 0.114535, 0.180958, 0.313423, 0.605103", \
"0.057313, 0.067682, 0.088378, 0.126062, 0.19456, 0.3258, 0.610364");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.186345, 0.201257, 0.227303, 0.269679, 0.339413, 0.462616, 0.705016", \
"0.187215, 0.202164, 0.228171, 0.27058, 0.340087, 0.463599, 0.704281", \
"0.189675, 0.20456, 0.230588, 0.272846, 0.342561, 0.465608, 0.707708", \
"0.195665, 0.210569, 0.237119, 0.278944, 0.348521, 0.471649, 0.713728", \
"0.212431, 0.227211, 0.253378, 0.295298, 0.364293, 0.487774, 0.729334", \
"0.247767, 0.26303, 0.28894, 0.330782, 0.400142, 0.523081, 0.764531", \
"0.299112, 0.314774, 0.34141, 0.385016, 0.45668, 0.581755, 0.82223");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.05391, 0.065358, 0.087951, 0.128405, 0.208752, 0.375174, 0.723823", \
"0.053913, 0.065814, 0.088154, 0.129619, 0.207061, 0.373467, 0.7209", \
"0.053637, 0.065207, 0.087659, 0.128586, 0.207792, 0.37525, 0.718839", \
"0.052446, 0.065461, 0.088151, 0.127914, 0.208366, 0.374886, 0.719743", \
"0.052552, 0.065514, 0.086966, 0.12856, 0.20782, 0.370204, 0.723861", \
"0.057112, 0.068327, 0.090657, 0.130143, 0.209254, 0.374718, 0.714288", \
"0.068391, 0.079195, 0.102814, 0.141217, 0.218534, 0.379598, 0.724602");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.189656, 0.203236, 0.226655, 0.264796, 0.32668, 0.432019, 0.631183", \
"0.190214, 0.203556, 0.227122, 0.265228, 0.327118, 0.432654, 0.63219", \
"0.192258, 0.205574, 0.22927, 0.267278, 0.328995, 0.434627, 0.633785", \
"0.198691, 0.212126, 0.235511, 0.273632, 0.335377, 0.440806, 0.64017", \
"0.217157, 0.230582, 0.25387, 0.291764, 0.353271, 0.458673, 0.657906", \
"0.258349, 0.271715, 0.29469, 0.332463, 0.393933, 0.499463, 0.698353", \
"0.322631, 0.336674, 0.360826, 0.400025, 0.463873, 0.571216, 0.771027");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.054472, 0.065039, 0.085683, 0.12062, 0.189823, 0.325489, 0.615014", \
"0.054553, 0.065441, 0.084106, 0.12209, 0.189776, 0.324062, 0.606846", \
"0.053766, 0.065108, 0.085085, 0.12192, 0.188317, 0.32603, 0.614774", \
"0.05422, 0.064009, 0.085244, 0.121922, 0.188111, 0.325954, 0.61451", \
"0.054197, 0.063658, 0.085241, 0.121202, 0.189697, 0.323192, 0.612733", \
"0.057938, 0.068657, 0.08797, 0.122269, 0.189351, 0.326587, 0.61488", \
"0.070541, 0.080765, 0.100185, 0.135416, 0.200372, 0.33196, 0.613722");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.288818, 0.300232, 0.320506, 0.355094, 0.417326, 0.536039, 0.774661", \
"0.290647, 0.302175, 0.32248, 0.357039, 0.419184, 0.538099, 0.777052", \
"0.29514, 0.306686, 0.326725, 0.361331, 0.423498, 0.542016, 0.781597", \
"0.304519, 0.316075, 0.336099, 0.370685, 0.432888, 0.551614, 0.79042", \
"0.325276, 0.336604, 0.356951, 0.391506, 0.453828, 0.572522, 0.811588", \
"0.366611, 0.377891, 0.397857, 0.43244, 0.494587, 0.613297, 0.85245", \
"0.435251, 0.445679, 0.464652, 0.498059, 0.559005, 0.677277, 0.915981");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.043549, 0.054876, 0.076036, 0.115001, 0.19602, 0.36366, 0.712085", \
"0.044125, 0.055039, 0.075647, 0.115394, 0.195947, 0.361115, 0.712968", \
"0.043413, 0.054817, 0.075859, 0.114645, 0.194424, 0.362571, 0.706727", \
"0.043655, 0.054798, 0.075919, 0.114654, 0.194381, 0.364838, 0.711295", \
"0.043996, 0.055146, 0.075327, 0.114585, 0.195906, 0.361425, 0.71349", \
"0.044835, 0.055611, 0.076771, 0.114891, 0.196255, 0.362339, 0.715429", \
"0.045353, 0.056228, 0.077034, 0.114978, 0.196424, 0.365716, 0.713233");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.271768, 0.281663, 0.29974, 0.330391, 0.384617, 0.483714, 0.679874", \
"0.273821, 0.283717, 0.301799, 0.332436, 0.386671, 0.485723, 0.68183", \
"0.277929, 0.287915, 0.305828, 0.336728, 0.390686, 0.490005, 0.686496", \
"0.286372, 0.296386, 0.31427, 0.345108, 0.399131, 0.498425, 0.694747", \
"0.304296, 0.314181, 0.33213, 0.362905, 0.417138, 0.516193, 0.712327", \
"0.337599, 0.347351, 0.364965, 0.395875, 0.449834, 0.54913, 0.745561", \
"0.386679, 0.396191, 0.41267, 0.442663, 0.496608, 0.595787, 0.791521");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.042821, 0.052784, 0.071703, 0.105521, 0.171867, 0.310061, 0.600655", \
"0.042726, 0.052759, 0.071761, 0.105551, 0.171557, 0.310225, 0.60588", \
"0.042087, 0.052738, 0.071076, 0.105808, 0.17306, 0.310371, 0.598879", \
"0.042964, 0.052747, 0.070857, 0.10582, 0.173065, 0.312223, 0.59754", \
"0.042827, 0.053239, 0.072025, 0.105402, 0.171656, 0.31009, 0.60598", \
"0.043949, 0.053683, 0.072665, 0.106017, 0.173353, 0.312605, 0.600082", \
"0.044007, 0.054343, 0.073588, 0.106168, 0.171579, 0.312336, 0.598621");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.30026, 0.31232, 0.332849, 0.368117, 0.431215, 0.550321, 0.789433", \
"0.302239, 0.31423, 0.334786, 0.369912, 0.432693, 0.551975, 0.791483", \
"0.306468, 0.318453, 0.339063, 0.37429, 0.437063, 0.556523, 0.795983", \
"0.315832, 0.327618, 0.34839, 0.383549, 0.446372, 0.565791, 0.804302", \
"0.336464, 0.34845, 0.369307, 0.404296, 0.467057, 0.586342, 0.826602", \
"0.378358, 0.390192, 0.410599, 0.445578, 0.508244, 0.627632, 0.867559", \
"0.447201, 0.458199, 0.477894, 0.511789, 0.573946, 0.69337, 0.931857");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.046447, 0.057626, 0.078702, 0.117606, 0.197463, 0.364643, 0.71815", \
"0.046456, 0.0575, 0.078634, 0.117978, 0.197027, 0.36719, 0.71705", \
"0.04645, 0.057307, 0.078192, 0.117449, 0.198018, 0.365666, 0.718126", \
"0.046469, 0.057749, 0.07884, 0.117562, 0.19686, 0.365023, 0.717511", \
"0.046471, 0.057434, 0.077772, 0.117932, 0.198001, 0.367171, 0.717063", \
"0.046685, 0.058204, 0.078478, 0.117941, 0.198082, 0.365494, 0.716665", \
"0.047115, 0.058296, 0.079444, 0.118792, 0.199604, 0.36721, 0.718288");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.27298, 0.283344, 0.301488, 0.332703, 0.387257, 0.48671, 0.683294", \
"0.275016, 0.285425, 0.303563, 0.334613, 0.389298, 0.488737, 0.685336", \
"0.279085, 0.289452, 0.307646, 0.338737, 0.393432, 0.492972, 0.689227", \
"0.287492, 0.297819, 0.315962, 0.347276, 0.401651, 0.501352, 0.698301", \
"0.305057, 0.315384, 0.333465, 0.364563, 0.419265, 0.518825, 0.71571", \
"0.337749, 0.347669, 0.365616, 0.39668, 0.451057, 0.550783, 0.747611", \
"0.389359, 0.398455, 0.41474, 0.444349, 0.497753, 0.595962, 0.79253");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.044301, 0.054601, 0.073302, 0.107534, 0.17437, 0.313773, 0.606067", \
"0.04425, 0.054076, 0.072879, 0.10687, 0.172843, 0.311117, 0.607296", \
"0.044225, 0.054444, 0.073352, 0.106933, 0.173613, 0.312542, 0.602745", \
"0.044629, 0.054519, 0.073561, 0.107222, 0.174317, 0.312548, 0.604864", \
"0.044222, 0.054292, 0.073484, 0.107165, 0.173762, 0.310886, 0.60607", \
"0.04525, 0.055356, 0.073602, 0.107848, 0.174919, 0.313319, 0.602663", \
"0.045635, 0.055738, 0.074652, 0.107927, 0.175361, 0.312679, 0.607548");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.007185, 0.007105, 0.007033, 0.006994, 0.006981, 0.006977, 0.006972", \
"0.007173, 0.007094, 0.00702, 0.006984, 0.006968, 0.006965, 0.00696", \
"0.007159, 0.007079, 0.007007, 0.006969, 0.006955, 0.006951, 0.006945", \
"0.00714, 0.007061, 0.006987, 0.006949, 0.006936, 0.006933, 0.006928", \
"0.007144, 0.007064, 0.006991, 0.006947, 0.006931, 0.006928, 0.006923", \
"0.007297, 0.007205, 0.007109, 0.007044, 0.007013, 0.007002, 0.006992", \
"0.00777, 0.007658, 0.007533, 0.007424, 0.007357, 0.007319, 0.007293");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.005069, 0.004995, 0.004931, 0.004898, 0.004888, 0.004887, 0.004883", \
"0.005055, 0.00498, 0.004914, 0.004884, 0.004875, 0.004874, 0.00487", \
"0.005037, 0.004963, 0.004899, 0.004866, 0.004857, 0.004857, 0.004852", \
"0.00502, 0.004944, 0.00488, 0.004845, 0.004837, 0.004836, 0.004833", \
"0.005036, 0.004959, 0.004891, 0.004849, 0.004836, 0.004835, 0.004831", \
"0.005192, 0.005096, 0.005005, 0.004946, 0.004921, 0.004913, 0.004907", \
"0.005651, 0.005537, 0.005414, 0.005317, 0.005267, 0.005233, 0.005215");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.007563, 0.007481, 0.007404, 0.00736, 0.007343, 0.007338, 0.007331", \
"0.007547, 0.007465, 0.007388, 0.007344, 0.007328, 0.007323, 0.007316", \
"0.007521, 0.00744, 0.007364, 0.007322, 0.007305, 0.0073, 0.007293", \
"0.007489, 0.007407, 0.007331, 0.007287, 0.007272, 0.007267, 0.007261", \
"0.007475, 0.007391, 0.00731, 0.007262, 0.007245, 0.00724, 0.007234", \
"0.007624, 0.007528, 0.007431, 0.007359, 0.007323, 0.007307, 0.007295", \
"0.008127, 0.008009, 0.007871, 0.007761, 0.007684, 0.007637, 0.007607");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.004922, 0.004845, 0.004779, 0.004748, 0.00474, 0.004737, 0.004731", \
"0.004905, 0.004831, 0.004765, 0.004733, 0.004724, 0.004722, 0.004716", \
"0.004882, 0.004805, 0.004739, 0.004704, 0.004698, 0.004698, 0.004692", \
"0.004845, 0.004771, 0.004706, 0.004669, 0.004661, 0.004661, 0.004656", \
"0.004845, 0.004767, 0.004695, 0.004653, 0.004642, 0.004641, 0.004637", \
"0.004993, 0.004899, 0.004815, 0.004752, 0.004723, 0.004713, 0.004705", \
"0.005498, 0.005379, 0.005244, 0.005143, 0.005078, 0.005045, 0.005026");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.007689, 0.007609, 0.007535, 0.007493, 0.007475, 0.007467, 0.007461", \
"0.007679, 0.0076, 0.007526, 0.007483, 0.007466, 0.007458, 0.007452", \
"0.007664, 0.007585, 0.007509, 0.007467, 0.007449, 0.007443, 0.007438", \
"0.007648, 0.007568, 0.007491, 0.007448, 0.00743, 0.007425, 0.007419", \
"0.007682, 0.007599, 0.007517, 0.007463, 0.00744, 0.007431, 0.007424", \
"0.007878, 0.007779, 0.007674, 0.007592, 0.007547, 0.007526, 0.007512", \
"0.008444, 0.008322, 0.008179, 0.008059, 0.007963, 0.007906, 0.007869");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.004586, 0.004514, 0.004455, 0.004425, 0.004417, 0.004415, 0.004411", \
"0.004581, 0.004509, 0.00445, 0.00442, 0.004412, 0.004411, 0.004406", \
"0.004571, 0.0045, 0.00444, 0.004409, 0.004402, 0.004401, 0.004397", \
"0.004561, 0.004489, 0.004427, 0.004395, 0.004387, 0.004387, 0.004384", \
"0.004592, 0.004516, 0.004447, 0.004404, 0.004391, 0.004389, 0.004386", \
"0.004798, 0.004702, 0.004603, 0.004536, 0.004502, 0.004489, 0.00448", \
"0.005341, 0.00522, 0.005089, 0.004974, 0.004902, 0.004862, 0.004839");
}
}
}
}

/* ---------------- *
* Design : ADDFHX2 *
* ---------------- */
cell (ADDFHX2) {
cell_footprint : ADDFH;
area : 22.707000;
cell_leakage_power : 127.443;
pin(A) {
direction : input;
capacitance : 0.00850605;
rise_capacitance : 0.00850409;
fall_capacitance : 0.00850605;
rise_capacitance_range ( 0.00843389, 0.00857428) ;
fall_capacitance_range ( 0.00843698, 0.00857512) ;
}
pin(B) {
direction : input;
capacitance : 0.00809292;
rise_capacitance : 0.00809292;
fall_capacitance : 0.00789654;
rise_capacitance_range ( 0.00797741, 0.00820844) ;
fall_capacitance_range ( 0.00758272, 0.00821037) ;
}
pin(CI) {
direction : input;
capacitance : 0.00575289;
rise_capacitance : 0.00575289;
fall_capacitance : 0.00573059;
rise_capacitance_range ( 0.0051619, 0.00634388) ;
fall_capacitance_range ( 0.00511563, 0.00634554) ;
}
pin(CO) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.284896;
function : "(((A B)+(B CI))+(CI A))";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.178728, 0.19479, 0.222657, 0.267424, 0.340585, 0.468016, 0.710559", \
"0.180971, 0.19727, 0.224972, 0.269568, 0.342476, 0.470268, 0.7132", \
"0.185369, 0.201467, 0.229287, 0.273919, 0.347014, 0.474562, 0.717714", \
"0.193274, 0.209237, 0.237169, 0.281953, 0.354534, 0.482941, 0.725401", \
"0.209403, 0.225579, 0.253158, 0.297975, 0.370529, 0.498694, 0.74141", \
"0.240015, 0.256375, 0.284203, 0.3286, 0.40152, 0.529501, 0.772493", \
"0.282285, 0.299439, 0.329219, 0.376576, 0.452378, 0.582171, 0.824529");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.054812, 0.066965, 0.09046, 0.134358, 0.214361, 0.378361, 0.718915", \
"0.054322, 0.067579, 0.090535, 0.133631, 0.214691, 0.378737, 0.719242", \
"0.054493, 0.066631, 0.090325, 0.133478, 0.215458, 0.377879, 0.72034", \
"0.05424, 0.067111, 0.090046, 0.13364, 0.214208, 0.378918, 0.723468", \
"0.053222, 0.066591, 0.090965, 0.133996, 0.214651, 0.378574, 0.722487", \
"0.057902, 0.070015, 0.09423, 0.134619, 0.216093, 0.379059, 0.724498", \
"0.069031, 0.081611, 0.105166, 0.147418, 0.224386, 0.385364, 0.719849");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.19723, 0.211873, 0.237048, 0.277416, 0.343129, 0.453237, 0.655541", \
"0.200303, 0.214957, 0.240038, 0.280427, 0.346169, 0.456148, 0.658371", \
"0.205871, 0.22047, 0.245691, 0.286055, 0.351833, 0.46193, 0.664227", \
"0.21448, 0.229356, 0.254683, 0.29515, 0.360534, 0.470809, 0.673234", \
"0.231909, 0.246737, 0.271889, 0.312541, 0.377786, 0.488037, 0.690453", \
"0.267939, 0.282431, 0.307576, 0.347737, 0.413029, 0.523245, 0.725471", \
"0.323201, 0.338808, 0.365666, 0.408253, 0.476129, 0.588107, 0.790305");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.05876, 0.070176, 0.090089, 0.129245, 0.198526, 0.331656, 0.617844", \
"0.058038, 0.069639, 0.091142, 0.128346, 0.198795, 0.333112, 0.620205", \
"0.058778, 0.070138, 0.089378, 0.128835, 0.198393, 0.331617, 0.618617", \
"0.058046, 0.069622, 0.090498, 0.128303, 0.198397, 0.331876, 0.617714", \
"0.057614, 0.068673, 0.09048, 0.128226, 0.197335, 0.332687, 0.615487", \
"0.060336, 0.072721, 0.092276, 0.129129, 0.198402, 0.333487, 0.617424", \
"0.073188, 0.083987, 0.105869, 0.142065, 0.208842, 0.340283, 0.621187");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.183296, 0.199592, 0.227558, 0.272658, 0.346, 0.474185, 0.717846", \
"0.184865, 0.201214, 0.229159, 0.27442, 0.347496, 0.475747, 0.719154", \
"0.188358, 0.204424, 0.232987, 0.277803, 0.35099, 0.479119, 0.722784", \
"0.195777, 0.212039, 0.240132, 0.285213, 0.358175, 0.486386, 0.729912", \
"0.212035, 0.228165, 0.256576, 0.30117, 0.374163, 0.502205, 0.745757", \
"0.243137, 0.259468, 0.287304, 0.332148, 0.405291, 0.533458, 0.776785", \
"0.28639, 0.303315, 0.333155, 0.381023, 0.456471, 0.586179, 0.829424");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.056068, 0.068464, 0.092712, 0.134633, 0.215249, 0.379202, 0.723331", \
"0.056075, 0.068466, 0.092712, 0.134854, 0.215101, 0.380255, 0.719606", \
"0.055932, 0.068219, 0.093288, 0.133594, 0.216098, 0.378433, 0.723825", \
"0.056118, 0.067982, 0.093463, 0.134167, 0.216483, 0.379975, 0.725196", \
"0.054848, 0.067721, 0.092562, 0.134278, 0.215333, 0.380125, 0.724227", \
"0.057927, 0.071261, 0.09496, 0.135098, 0.216981, 0.380212, 0.725255", \
"0.070551, 0.08246, 0.106552, 0.148045, 0.225415, 0.385625, 0.726948");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.199137, 0.213797, 0.239143, 0.279626, 0.345104, 0.45545, 0.657844", \
"0.201514, 0.216226, 0.241802, 0.282291, 0.347573, 0.457919, 0.660249", \
"0.206177, 0.220853, 0.246471, 0.286946, 0.352206, 0.462595, 0.664906", \
"0.215573, 0.230173, 0.255263, 0.295708, 0.361379, 0.47151, 0.673847", \
"0.233525, 0.248188, 0.273485, 0.313709, 0.379213, 0.489282, 0.692094", \
"0.269087, 0.283614, 0.308554, 0.348694, 0.413858, 0.524122, 0.726024", \
"0.323885, 0.339394, 0.366146, 0.408686, 0.477016, 0.588647, 0.791112");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.059388, 0.071193, 0.090636, 0.129351, 0.198604, 0.332524, 0.618573", \
"0.059415, 0.070641, 0.092021, 0.128952, 0.198865, 0.333266, 0.620241", \
"0.05948, 0.070571, 0.092058, 0.129423, 0.198685, 0.333515, 0.619845", \
"0.059135, 0.070657, 0.091779, 0.129247, 0.198669, 0.332871, 0.618011", \
"0.05882, 0.070326, 0.092021, 0.128608, 0.198801, 0.332971, 0.618801", \
"0.061299, 0.073222, 0.093309, 0.129534, 0.199419, 0.33429, 0.618881", \
"0.074144, 0.084693, 0.106804, 0.142108, 0.210837, 0.340982, 0.621289");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.174024, 0.190136, 0.218072, 0.26306, 0.336525, 0.464931, 0.707959", \
"0.176441, 0.192591, 0.220726, 0.265594, 0.339141, 0.467226, 0.710416", \
"0.180587, 0.196651, 0.224771, 0.269687, 0.343188, 0.471409, 0.714591", \
"0.18796, 0.204207, 0.232344, 0.277083, 0.350518, 0.478749, 0.722059", \
"0.203845, 0.220065, 0.248015, 0.29278, 0.366123, 0.494391, 0.737413", \
"0.231978, 0.248502, 0.27718, 0.322269, 0.396039, 0.524336, 0.767464", \
"0.267455, 0.284895, 0.315047, 0.363662, 0.441203, 0.573534, 0.816244");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.053862, 0.067062, 0.0908, 0.133123, 0.21536, 0.379078, 0.718528", \
"0.053511, 0.066826, 0.090279, 0.134409, 0.215648, 0.378357, 0.72326", \
"0.053874, 0.066584, 0.090296, 0.134408, 0.215898, 0.379026, 0.724494", \
"0.053829, 0.065892, 0.090059, 0.13436, 0.214947, 0.378325, 0.718423", \
"0.053403, 0.065805, 0.089933, 0.133888, 0.214774, 0.379497, 0.718606", \
"0.058832, 0.07146, 0.094208, 0.136333, 0.216718, 0.379985, 0.724328", \
"0.06881, 0.081612, 0.106392, 0.15052, 0.227814, 0.386119, 0.718685");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.200082, 0.215325, 0.241017, 0.282462, 0.34898, 0.459365, 0.661709", \
"0.202868, 0.218009, 0.243746, 0.285134, 0.350774, 0.461151, 0.663513", \
"0.207975, 0.223223, 0.248912, 0.289585, 0.354803, 0.465181, 0.667536", \
"0.216772, 0.231997, 0.257595, 0.298296, 0.363832, 0.474155, 0.676454", \
"0.2341, 0.249009, 0.274791, 0.315995, 0.382872, 0.494531, 0.696821", \
"0.268322, 0.283328, 0.30887, 0.349962, 0.416741, 0.5292, 0.732098", \
"0.315956, 0.331622, 0.359433, 0.403954, 0.474694, 0.590173, 0.794421");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.059309, 0.070685, 0.091829, 0.128474, 0.198155, 0.333482, 0.614833", \
"0.05938, 0.070647, 0.091909, 0.128506, 0.198152, 0.333361, 0.616513", \
"0.059262, 0.070635, 0.091776, 0.128204, 0.198134, 0.333373, 0.616424", \
"0.058879, 0.070541, 0.092005, 0.128479, 0.198495, 0.333378, 0.615938", \
"0.058959, 0.069761, 0.091724, 0.128349, 0.197974, 0.333438, 0.615944", \
"0.061395, 0.071503, 0.092789, 0.129808, 0.198985, 0.333201, 0.617468", \
"0.074604, 0.08645, 0.109068, 0.145484, 0.210562, 0.340492, 0.620495");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.192798, 0.208943, 0.237317, 0.282425, 0.355572, 0.483743, 0.726968", \
"0.194703, 0.210836, 0.23909, 0.284258, 0.357293, 0.485534, 0.729164", \
"0.198643, 0.214737, 0.242777, 0.287834, 0.361293, 0.489144, 0.732935", \
"0.206656, 0.222877, 0.251012, 0.296181, 0.369099, 0.497361, 0.741213", \
"0.22541, 0.241664, 0.269593, 0.314618, 0.387982, 0.515738, 0.759307", \
"0.265615, 0.281559, 0.309349, 0.353676, 0.426729, 0.554618, 0.797593", \
"0.321318, 0.338588, 0.368205, 0.415868, 0.491705, 0.62108, 0.863809");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.056585, 0.068443, 0.093168, 0.135911, 0.21703, 0.380379, 0.724273", \
"0.056687, 0.068466, 0.0928, 0.136094, 0.215906, 0.379441, 0.724083", \
"0.0558, 0.068744, 0.092117, 0.135863, 0.215938, 0.379626, 0.725178", \
"0.056162, 0.068219, 0.092161, 0.135948, 0.215413, 0.379446, 0.720121", \
"0.055627, 0.067442, 0.092012, 0.134368, 0.215017, 0.37972, 0.72287", \
"0.058833, 0.071482, 0.09501, 0.136862, 0.21783, 0.380314, 0.72439", \
"0.074912, 0.087014, 0.110285, 0.151995, 0.229447, 0.386469, 0.724275");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.202809, 0.217669, 0.243164, 0.28382, 0.349286, 0.461161, 0.66488", \
"0.2047, 0.21942, 0.244982, 0.285498, 0.351965, 0.464025, 0.667742", \
"0.208754, 0.223484, 0.248996, 0.290352, 0.357201, 0.469059, 0.672797", \
"0.217682, 0.232608, 0.257792, 0.299096, 0.366256, 0.478289, 0.681345", \
"0.238391, 0.253242, 0.278439, 0.319139, 0.384172, 0.494863, 0.69858", \
"0.284669, 0.299129, 0.324099, 0.364161, 0.429105, 0.539235, 0.741373", \
"0.358039, 0.373635, 0.400523, 0.442602, 0.509766, 0.621059, 0.822609");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.059585, 0.070996, 0.093091, 0.131351, 0.201449, 0.33696, 0.616885", \
"0.060159, 0.070678, 0.093368, 0.130455, 0.201896, 0.335925, 0.616705", \
"0.059408, 0.071022, 0.093014, 0.131355, 0.201548, 0.336921, 0.616507", \
"0.059769, 0.070743, 0.093147, 0.131311, 0.20114, 0.336795, 0.62249", \
"0.059443, 0.071199, 0.092066, 0.131028, 0.201954, 0.33578, 0.617066", \
"0.064632, 0.075131, 0.095278, 0.13248, 0.202263, 0.337894, 0.619578", \
"0.079363, 0.089978, 0.109921, 0.149399, 0.216647, 0.347733, 0.621932");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.165006, 0.181104, 0.209193, 0.254009, 0.327537, 0.455582, 0.698972", \
"0.167098, 0.183205, 0.211232, 0.256124, 0.329566, 0.457681, 0.700892", \
"0.171451, 0.187531, 0.215391, 0.260448, 0.333923, 0.46208, 0.705374", \
"0.180349, 0.196418, 0.224412, 0.26927, 0.342734, 0.470806, 0.714637", \
"0.200209, 0.216222, 0.244033, 0.288647, 0.361951, 0.49032, 0.733209", \
"0.238793, 0.255132, 0.282862, 0.327637, 0.4008, 0.528972, 0.771996", \
"0.288494, 0.306373, 0.33572, 0.383904, 0.460964, 0.591254, 0.833703");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.053646, 0.066608, 0.089803, 0.133716, 0.21494, 0.377612, 0.721194", \
"0.053549, 0.066284, 0.089879, 0.133651, 0.21448, 0.378118, 0.715774", \
"0.0536, 0.066564, 0.089628, 0.133568, 0.21504, 0.377506, 0.722732", \
"0.053625, 0.066704, 0.090111, 0.132548, 0.213766, 0.378288, 0.718338", \
"0.053137, 0.065664, 0.090121, 0.13291, 0.214279, 0.377767, 0.72295", \
"0.058823, 0.070462, 0.094681, 0.136048, 0.215963, 0.379517, 0.716988", \
"0.074349, 0.085051, 0.109355, 0.150355, 0.22791, 0.383299, 0.719774");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.184491, 0.199563, 0.225687, 0.266986, 0.33297, 0.443228, 0.645536", \
"0.186522, 0.201631, 0.227732, 0.269022, 0.334995, 0.445248, 0.647665", \
"0.190909, 0.206222, 0.232115, 0.273596, 0.339777, 0.449792, 0.651757", \
"0.200564, 0.215721, 0.241646, 0.282988, 0.349218, 0.459335, 0.661691", \
"0.222268, 0.237412, 0.263295, 0.304541, 0.370584, 0.480722, 0.683208", \
"0.268847, 0.283397, 0.309275, 0.3502, 0.415919, 0.525708, 0.727454", \
"0.340841, 0.356686, 0.383961, 0.426175, 0.494493, 0.60604, 0.807521");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.058661, 0.069483, 0.089677, 0.12933, 0.197866, 0.331607, 0.614204", \
"0.058314, 0.068642, 0.090298, 0.129468, 0.19771, 0.332938, 0.615456", \
"0.05768, 0.069401, 0.090371, 0.128724, 0.19862, 0.333547, 0.618891", \
"0.057707, 0.069322, 0.090951, 0.128218, 0.198719, 0.331921, 0.614003", \
"0.057033, 0.069327, 0.090938, 0.128149, 0.198049, 0.33351, 0.612554", \
"0.060407, 0.070711, 0.092405, 0.129742, 0.198583, 0.334473, 0.616402", \
"0.079534, 0.089051, 0.109825, 0.146729, 0.213035, 0.342067, 0.615231");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.173307, 0.189376, 0.217213, 0.261978, 0.334979, 0.462732, 0.705522", \
"0.175516, 0.19145, 0.219327, 0.264108, 0.33719, 0.464863, 0.707635", \
"0.179791, 0.195797, 0.223677, 0.268434, 0.341055, 0.469061, 0.712003", \
"0.188678, 0.204683, 0.232675, 0.277114, 0.349859, 0.478176, 0.720801", \
"0.208504, 0.224513, 0.252122, 0.296777, 0.369806, 0.497405, 0.740268", \
"0.247865, 0.264241, 0.291767, 0.3361, 0.408841, 0.536559, 0.778977", \
"0.300396, 0.317266, 0.346959, 0.394695, 0.470756, 0.599701, 0.842045");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.05446, 0.066687, 0.089972, 0.134202, 0.215963, 0.378172, 0.724088", \
"0.054351, 0.067102, 0.090169, 0.133805, 0.215807, 0.379197, 0.723874", \
"0.054218, 0.06689, 0.090294, 0.134141, 0.215922, 0.378662, 0.723088", \
"0.053735, 0.067048, 0.090248, 0.133606, 0.215884, 0.378465, 0.72389", \
"0.053582, 0.066283, 0.090978, 0.133517, 0.214957, 0.379713, 0.72325", \
"0.059917, 0.071226, 0.094709, 0.136067, 0.216997, 0.379773, 0.723342", \
"0.075582, 0.087907, 0.1104, 0.153234, 0.22958, 0.386859, 0.725374");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.187226, 0.201873, 0.227024, 0.267402, 0.333949, 0.44583, 0.649609", \
"0.189335, 0.204031, 0.229166, 0.26982, 0.3359, 0.447949, 0.6517", \
"0.19379, 0.208572, 0.233763, 0.274117, 0.340679, 0.452702, 0.656087", \
"0.2035, 0.218207, 0.243239, 0.283755, 0.349854, 0.461862, 0.665624", \
"0.225287, 0.239966, 0.264952, 0.305267, 0.37135, 0.483873, 0.686803", \
"0.271755, 0.286128, 0.310815, 0.350603, 0.416919, 0.528576, 0.73198", \
"0.342245, 0.358149, 0.384629, 0.427029, 0.495426, 0.608798, 0.811742");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.061274, 0.072723, 0.093103, 0.131195, 0.202056, 0.337074, 0.618673", \
"0.060656, 0.07242, 0.092877, 0.131597, 0.202264, 0.336633, 0.616723", \
"0.061255, 0.072132, 0.093594, 0.131844, 0.201561, 0.336736, 0.621992", \
"0.061068, 0.072565, 0.093613, 0.131936, 0.202333, 0.336852, 0.618329", \
"0.060865, 0.0721, 0.093564, 0.131236, 0.201366, 0.33743, 0.621233", \
"0.063979, 0.075014, 0.095084, 0.13401, 0.203068, 0.337056, 0.621509", \
"0.083601, 0.09494, 0.112631, 0.150231, 0.215295, 0.344999, 0.624859");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.00902, 0.008725, 0.008428, 0.008227, 0.008117, 0.008064, 0.008026", \
"0.009006, 0.008714, 0.008417, 0.008214, 0.008103, 0.00805, 0.008012", \
"0.00899, 0.008696, 0.008401, 0.008196, 0.008086, 0.008031, 0.007994", \
"0.008972, 0.008678, 0.008381, 0.008177, 0.008066, 0.008012, 0.007975", \
"0.008987, 0.008693, 0.008395, 0.008182, 0.008064, 0.008007, 0.00797", \
"0.009199, 0.008881, 0.008544, 0.008286, 0.008142, 0.008074, 0.008032", \
"0.009826, 0.009469, 0.009061, 0.008736, 0.008516, 0.0084, 0.00833");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.011134, 0.010837, 0.010525, 0.010303, 0.010191, 0.010133, 0.010095", \
"0.011122, 0.010824, 0.010517, 0.010303, 0.010178, 0.010119, 0.010082", \
"0.011109, 0.01081, 0.010501, 0.010285, 0.010165, 0.010106, 0.010068", \
"0.011091, 0.010792, 0.010482, 0.010263, 0.010144, 0.010088, 0.010051", \
"0.011089, 0.010789, 0.010478, 0.010257, 0.010136, 0.010078, 0.01004", \
"0.011288, 0.010973, 0.010638, 0.010381, 0.010231, 0.010154, 0.010105", \
"0.01194, 0.011589, 0.011194, 0.01085, 0.010639, 0.010499, 0.010406");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.008861, 0.008567, 0.008268, 0.008059, 0.007948, 0.007891, 0.007851", \
"0.008849, 0.008553, 0.008253, 0.008049, 0.007933, 0.007877, 0.007838", \
"0.008822, 0.008529, 0.008231, 0.008019, 0.00791, 0.007856, 0.007816", \
"0.008789, 0.008495, 0.008197, 0.007991, 0.007875, 0.007822, 0.007784", \
"0.008785, 0.00849, 0.008186, 0.007975, 0.007857, 0.0078, 0.007761", \
"0.009027, 0.008707, 0.00836, 0.008092, 0.007939, 0.007865, 0.007818", \
"0.0097, 0.009329, 0.008903, 0.008551, 0.008327, 0.008201, 0.008123");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.011568, 0.011262, 0.010944, 0.010719, 0.010586, 0.010524, 0.010483", \
"0.011555, 0.011248, 0.010931, 0.010705, 0.010572, 0.01051, 0.010469", \
"0.011531, 0.011225, 0.010907, 0.010682, 0.01055, 0.010488, 0.010448", \
"0.0115, 0.011193, 0.010875, 0.010649, 0.010524, 0.010462, 0.01042", \
"0.011485, 0.011177, 0.010855, 0.010627, 0.010492, 0.01043, 0.010389", \
"0.011685, 0.011367, 0.011021, 0.010749, 0.010584, 0.010497, 0.010442", \
"0.012393, 0.012032, 0.01161, 0.011266, 0.011005, 0.01085, 0.010752");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.008486, 0.008196, 0.007906, 0.007711, 0.007603, 0.00755, 0.007513", \
"0.008482, 0.008194, 0.007904, 0.007708, 0.0076, 0.007547, 0.007511", \
"0.008476, 0.008186, 0.007896, 0.0077, 0.007593, 0.00754, 0.007504", \
"0.008466, 0.008177, 0.007886, 0.007686, 0.007579, 0.007527, 0.007492", \
"0.008501, 0.008209, 0.00791, 0.007702, 0.007584, 0.007529, 0.007494", \
"0.008793, 0.008476, 0.008132, 0.007874, 0.007714, 0.007634, 0.007585", \
"0.009613, 0.009231, 0.008799, 0.008433, 0.008184, 0.008037, 0.007946");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.011671, 0.011366, 0.011049, 0.010821, 0.010696, 0.010629, 0.010587", \
"0.011664, 0.011359, 0.011042, 0.010819, 0.01069, 0.010622, 0.01058", \
"0.011652, 0.011348, 0.011032, 0.010806, 0.010677, 0.01061, 0.010569", \
"0.01164, 0.011334, 0.011018, 0.01079, 0.010659, 0.010594, 0.010554", \
"0.011672, 0.011365, 0.011043, 0.010805, 0.010667, 0.010598, 0.010554", \
"0.011928, 0.011603, 0.011253, 0.010983, 0.010802, 0.010703, 0.010642", \
"0.012782, 0.01241, 0.011974, 0.011586, 0.011303, 0.011121, 0.011005");
}
}
}
pin(S) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.282716;
function : "((A^B)^CI)";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.1592, 0.173909, 0.199809, 0.241007, 0.309215, 0.43164, 0.671627", \
"0.16179, 0.176544, 0.202138, 0.243504, 0.31189, 0.433884, 0.675052", \
"0.166614, 0.181347, 0.206966, 0.248448, 0.316689, 0.438614, 0.679564", \
"0.175018, 0.189802, 0.215389, 0.256764, 0.325042, 0.447177, 0.688278", \
"0.191516, 0.206167, 0.23188, 0.272909, 0.340997, 0.463539, 0.705002", \
"0.220167, 0.235279, 0.261175, 0.302569, 0.370834, 0.493097, 0.734097", \
"0.257043, 0.272972, 0.300676, 0.3444, 0.415765, 0.539299, 0.780238");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.049693, 0.062318, 0.084201, 0.124731, 0.205301, 0.370029, 0.719467", \
"0.050222, 0.061677, 0.084215, 0.125206, 0.204346, 0.372328, 0.715658", \
"0.050135, 0.061571, 0.084333, 0.124988, 0.204381, 0.372015, 0.71224", \
"0.050213, 0.060965, 0.084487, 0.123837, 0.205168, 0.372079, 0.714103", \
"0.049891, 0.061815, 0.083892, 0.124434, 0.20507, 0.370741, 0.721759", \
"0.055272, 0.066201, 0.087631, 0.127912, 0.206267, 0.372554, 0.712831", \
"0.066316, 0.076942, 0.100415, 0.138555, 0.215616, 0.376863, 0.715362");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.176395, 0.189637, 0.212351, 0.249187, 0.309471, 0.41295, 0.611381", \
"0.179652, 0.192826, 0.215571, 0.252304, 0.312902, 0.416483, 0.614815", \
"0.185774, 0.198888, 0.22168, 0.258453, 0.318712, 0.422482, 0.621068", \
"0.195757, 0.208973, 0.23164, 0.268466, 0.328805, 0.432527, 0.631141", \
"0.213598, 0.226921, 0.249462, 0.286379, 0.346731, 0.449936, 0.647901", \
"0.246622, 0.259981, 0.282517, 0.319201, 0.379533, 0.483069, 0.681436", \
"0.294108, 0.308254, 0.332335, 0.371802, 0.434858, 0.539729, 0.738935");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.052601, 0.062373, 0.082372, 0.117598, 0.186173, 0.320809, 0.612316", \
"0.052326, 0.061476, 0.082288, 0.117111, 0.185126, 0.32252, 0.610747", \
"0.052024, 0.062618, 0.08109, 0.118008, 0.185996, 0.319274, 0.609564", \
"0.052573, 0.062167, 0.082258, 0.117712, 0.186211, 0.318804, 0.608201", \
"0.05181, 0.062298, 0.081773, 0.118304, 0.184293, 0.321953, 0.613762", \
"0.056402, 0.065598, 0.084922, 0.119211, 0.186269, 0.323527, 0.610428", \
"0.068404, 0.078821, 0.097866, 0.134028, 0.197347, 0.3304, 0.61301");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.225287, 0.241788, 0.270483, 0.316953, 0.3923, 0.520222, 0.762872", \
"0.226776, 0.243145, 0.27195, 0.318548, 0.393489, 0.521038, 0.763684", \
"0.229205, 0.245588, 0.27443, 0.321383, 0.396263, 0.523799, 0.766723", \
"0.233801, 0.250299, 0.279321, 0.325961, 0.400845, 0.52844, 0.771217", \
"0.243629, 0.260243, 0.289132, 0.335691, 0.410489, 0.53839, 0.781073", \
"0.265563, 0.281971, 0.310594, 0.356683, 0.431701, 0.559873, 0.802342", \
"0.299404, 0.316475, 0.346534, 0.394638, 0.471849, 0.601091, 0.843918");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.067692, 0.079958, 0.103986, 0.146339, 0.226287, 0.385781, 0.72935", \
"0.067501, 0.080308, 0.103374, 0.146291, 0.225091, 0.387493, 0.72738", \
"0.068427, 0.079321, 0.104168, 0.145796, 0.225626, 0.384373, 0.72985", \
"0.068241, 0.079577, 0.10344, 0.14565, 0.224951, 0.383415, 0.731494", \
"0.067571, 0.078589, 0.10301, 0.146027, 0.225173, 0.386803, 0.723247", \
"0.070245, 0.081684, 0.104018, 0.146275, 0.225727, 0.386545, 0.725421", \
"0.079436, 0.090954, 0.115577, 0.156784, 0.234254, 0.390534, 0.727");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.245559, 0.260486, 0.28642, 0.328149, 0.395628, 0.507367, 0.710124", \
"0.247943, 0.262865, 0.288818, 0.330832, 0.397984, 0.5097, 0.712348", \
"0.252328, 0.267227, 0.29307, 0.334841, 0.402371, 0.513572, 0.716439", \
"0.259235, 0.274094, 0.300276, 0.341996, 0.409339, 0.520784, 0.723763", \
"0.271418, 0.286226, 0.312334, 0.353984, 0.421619, 0.532896, 0.735267", \
"0.296053, 0.310859, 0.33651, 0.377987, 0.445163, 0.556596, 0.759068", \
"0.337997, 0.353649, 0.380274, 0.423647, 0.492679, 0.60563, 0.80792");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.072951, 0.083133, 0.102417, 0.142079, 0.209931, 0.342069, 0.621042", \
"0.072902, 0.083294, 0.10234, 0.141356, 0.209873, 0.342548, 0.621925", \
"0.072036, 0.083527, 0.104339, 0.141237, 0.210438, 0.344259, 0.626731", \
"0.071632, 0.082704, 0.103825, 0.141964, 0.20983, 0.34387, 0.627178", \
"0.070948, 0.082667, 0.103284, 0.141352, 0.211175, 0.342874, 0.62365", \
"0.072726, 0.083382, 0.103883, 0.141545, 0.210538, 0.342248, 0.621996", \
"0.08427, 0.097394, 0.116958, 0.153677, 0.218416, 0.34712, 0.623796");
}
}
timing() { /* MIN */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.367455, 0.380283, 0.402127, 0.438739, 0.503315, 0.622652, 0.860841", \
"0.370022, 0.38296, 0.40488, 0.441538, 0.505864, 0.625438, 0.863894", \
"0.374934, 0.387814, 0.409786, 0.446402, 0.510731, 0.630028, 0.86866", \
"0.383653, 0.396364, 0.418234, 0.454921, 0.519365, 0.638697, 0.876886", \
"0.400851, 0.41351, 0.435416, 0.472011, 0.536916, 0.656001, 0.894347", \
"0.436213, 0.44873, 0.470618, 0.507144, 0.571501, 0.690712, 0.928795", \
"0.499612, 0.511938, 0.532874, 0.568798, 0.63288, 0.751683, 0.989805");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.054764, 0.066523, 0.088121, 0.127757, 0.206501, 0.371712, 0.714734", \
"0.05452, 0.06564, 0.087883, 0.128972, 0.207002, 0.371417, 0.712144", \
"0.054713, 0.066443, 0.087964, 0.128005, 0.206552, 0.371751, 0.71089", \
"0.054628, 0.06538, 0.08853, 0.127588, 0.206385, 0.371618, 0.714715", \
"0.054939, 0.065522, 0.087956, 0.128989, 0.205995, 0.370517, 0.711764", \
"0.054982, 0.065673, 0.08873, 0.128923, 0.206283, 0.369945, 0.715747", \
"0.055376, 0.067214, 0.089287, 0.129647, 0.206475, 0.370676, 0.71116");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.351713, 0.362862, 0.381974, 0.413782, 0.470263, 0.571228, 0.767881", \
"0.354238, 0.365365, 0.38449, 0.416434, 0.472688, 0.573871, 0.770644", \
"0.358628, 0.369865, 0.388943, 0.420979, 0.477063, 0.577899, 0.774413", \
"0.366563, 0.377767, 0.396854, 0.428687, 0.485115, 0.586027, 0.782456", \
"0.382215, 0.393379, 0.412342, 0.444292, 0.500606, 0.601677, 0.797884", \
"0.412385, 0.423566, 0.442268, 0.47398, 0.530209, 0.631204, 0.827369", \
"0.462084, 0.472809, 0.491106, 0.522265, 0.577799, 0.678606, 0.875055");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.05569, 0.065462, 0.085681, 0.12033, 0.186965, 0.323486, 0.607428", \
"0.055571, 0.065769, 0.085509, 0.121676, 0.187562, 0.321132, 0.608763", \
"0.056046, 0.06513, 0.085375, 0.121005, 0.187309, 0.321988, 0.60957", \
"0.05606, 0.065058, 0.085343, 0.120108, 0.186543, 0.323274, 0.61298", \
"0.055506, 0.065103, 0.085538, 0.120061, 0.187169, 0.322828, 0.612669", \
"0.055807, 0.065649, 0.086595, 0.121348, 0.185939, 0.322668, 0.613867", \
"0.057047, 0.06757, 0.08648, 0.122101, 0.187104, 0.323755, 0.605803");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.376087, 0.388952, 0.410544, 0.446738, 0.510251, 0.628921, 0.867198", \
"0.379234, 0.391995, 0.413872, 0.449904, 0.51325, 0.632215, 0.871099", \
"0.385046, 0.397913, 0.419588, 0.455695, 0.51921, 0.637895, 0.876178", \
"0.394744, 0.407542, 0.42919, 0.465467, 0.528954, 0.64745, 0.885768", \
"0.412531, 0.425349, 0.44714, 0.483254, 0.54681, 0.665335, 0.902986", \
"0.447178, 0.45999, 0.481681, 0.517555, 0.581047, 0.699856, 0.93874", \
"0.510184, 0.522252, 0.54351, 0.578929, 0.642624, 0.760389, 0.998648");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.055293, 0.066657, 0.089098, 0.130676, 0.208707, 0.372526, 0.714743", \
"0.055375, 0.066745, 0.089138, 0.130725, 0.208827, 0.372001, 0.715659", \
"0.055446, 0.06682, 0.089128, 0.130734, 0.208807, 0.372075, 0.714636", \
"0.054643, 0.066439, 0.089656, 0.130682, 0.208663, 0.372517, 0.715984", \
"0.055341, 0.066568, 0.090099, 0.130496, 0.207272, 0.372491, 0.719142", \
"0.055622, 0.066803, 0.089677, 0.130326, 0.208745, 0.372444, 0.71952", \
"0.056069, 0.0678, 0.091087, 0.130712, 0.208619, 0.372098, 0.712996");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.353785, 0.365397, 0.385189, 0.418729, 0.477457, 0.580616, 0.777951", \
"0.355695, 0.367457, 0.387501, 0.421034, 0.479408, 0.58299, 0.780205", \
"0.359845, 0.371543, 0.39157, 0.425144, 0.483481, 0.586672, 0.784095", \
"0.367464, 0.379234, 0.399523, 0.432832, 0.491008, 0.594136, 0.791247", \
"0.383268, 0.395021, 0.415326, 0.448667, 0.507093, 0.610549, 0.807748", \
"0.413963, 0.425613, 0.445651, 0.478775, 0.537115, 0.640154, 0.837194", \
"0.465006, 0.476339, 0.495688, 0.52799, 0.585746, 0.6886, 0.885214");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.059703, 0.069998, 0.091256, 0.126795, 0.193063, 0.324171, 0.612449", \
"0.060272, 0.070967, 0.090874, 0.125697, 0.192779, 0.327544, 0.609515", \
"0.059564, 0.070104, 0.090979, 0.125645, 0.193353, 0.324872, 0.61348", \
"0.060521, 0.069646, 0.090258, 0.12642, 0.192049, 0.32737, 0.61604", \
"0.061178, 0.07041, 0.090112, 0.126323, 0.191898, 0.327742, 0.613823", \
"0.061593, 0.070955, 0.091435, 0.126261, 0.191466, 0.326955, 0.615519", \
"0.063285, 0.071961, 0.09184, 0.127285, 0.193763, 0.328057, 0.61597");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.147199, 0.161723, 0.186878, 0.22753, 0.294793, 0.416268, 0.657222", \
"0.150064, 0.164559, 0.189877, 0.230373, 0.297757, 0.419028, 0.659802", \
"0.155254, 0.169756, 0.194931, 0.235623, 0.30299, 0.42433, 0.665192", \
"0.164131, 0.178591, 0.203808, 0.244356, 0.311905, 0.433257, 0.673362", \
"0.181108, 0.195577, 0.220584, 0.260794, 0.328198, 0.44994, 0.689633", \
"0.208287, 0.223218, 0.248785, 0.289737, 0.35787, 0.478977, 0.718766", \
"0.241926, 0.257595, 0.284824, 0.328623, 0.398968, 0.521868, 0.761922");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.047348, 0.059159, 0.08137, 0.122818, 0.202719, 0.370606, 0.719115", \
"0.047517, 0.059309, 0.081663, 0.122557, 0.202362, 0.370509, 0.715665", \
"0.047448, 0.059088, 0.081593, 0.122755, 0.20285, 0.370487, 0.717174", \
"0.046792, 0.059278, 0.081568, 0.122004, 0.202187, 0.369943, 0.713143", \
"0.047137, 0.059141, 0.081906, 0.122144, 0.202182, 0.367608, 0.718879", \
"0.053987, 0.064933, 0.085918, 0.126157, 0.203499, 0.370183, 0.716641", \
"0.064799, 0.076128, 0.098999, 0.138603, 0.213668, 0.375082, 0.72004");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.166975, 0.179874, 0.202369, 0.238936, 0.298493, 0.401938, 0.600167", \
"0.170313, 0.183354, 0.205805, 0.242228, 0.302477, 0.405239, 0.602903", \
"0.176648, 0.189698, 0.212158, 0.248596, 0.30876, 0.411557, 0.60912", \
"0.187092, 0.200088, 0.222804, 0.259169, 0.318892, 0.422273, 0.620467", \
"0.205145, 0.218293, 0.240612, 0.277071, 0.336731, 0.439936, 0.638194", \
"0.237755, 0.250918, 0.273476, 0.309664, 0.369523, 0.472801, 0.670775", \
"0.282607, 0.2968, 0.321198, 0.359896, 0.422787, 0.527885, 0.72558");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.0498, 0.059899, 0.080497, 0.115598, 0.18411, 0.320165, 0.60476", \
"0.050776, 0.059886, 0.08029, 0.115415, 0.182837, 0.320765, 0.612841", \
"0.050788, 0.059789, 0.080411, 0.115614, 0.182671, 0.320371, 0.612798", \
"0.049997, 0.060046, 0.080096, 0.1151, 0.183716, 0.319599, 0.603266", \
"0.050039, 0.060101, 0.079906, 0.116507, 0.183521, 0.317969, 0.608943", \
"0.05486, 0.064341, 0.083924, 0.118207, 0.184975, 0.322217, 0.609448", \
"0.068177, 0.077434, 0.098466, 0.132742, 0.196705, 0.329681, 0.612366");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.226207, 0.242655, 0.271573, 0.319053, 0.394281, 0.522305, 0.764911", \
"0.227806, 0.244303, 0.273375, 0.320581, 0.396103, 0.523873, 0.766723", \
"0.230879, 0.24735, 0.276894, 0.323639, 0.398875, 0.527165, 0.770627", \
"0.237598, 0.254232, 0.283633, 0.330239, 0.405763, 0.534182, 0.777614", \
"0.252986, 0.269891, 0.2989, 0.345457, 0.420898, 0.548852, 0.792403", \
"0.285526, 0.301801, 0.330699, 0.377282, 0.452971, 0.581158, 0.824607", \
"0.334653, 0.352248, 0.381942, 0.431697, 0.509311, 0.639521, 0.882672");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.069159, 0.079866, 0.104376, 0.14755, 0.22688, 0.387553, 0.733982", \
"0.069478, 0.080656, 0.105107, 0.147286, 0.227074, 0.387122, 0.734806", \
"0.067397, 0.080321, 0.105996, 0.146378, 0.227852, 0.384843, 0.734708", \
"0.067204, 0.081264, 0.105816, 0.146701, 0.227485, 0.387128, 0.731391", \
"0.068342, 0.081489, 0.104979, 0.148047, 0.225991, 0.388696, 0.728845", \
"0.072739, 0.082625, 0.106262, 0.148947, 0.228394, 0.386294, 0.733635", \
"0.082322, 0.093285, 0.116408, 0.160451, 0.236968, 0.394233, 0.736927");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.243069, 0.258066, 0.283946, 0.325771, 0.393659, 0.505076, 0.708361", \
"0.245148, 0.260111, 0.285976, 0.32783, 0.395693, 0.507071, 0.710353", \
"0.249349, 0.264386, 0.290495, 0.332457, 0.399933, 0.511677, 0.714983", \
"0.257066, 0.272052, 0.297936, 0.339784, 0.40773, 0.519185, 0.722208", \
"0.272688, 0.28768, 0.313659, 0.355484, 0.423482, 0.535151, 0.737918", \
"0.307198, 0.322085, 0.347856, 0.3894, 0.457049, 0.568962, 0.772042", \
"0.366658, 0.382111, 0.409209, 0.452543, 0.522325, 0.635074, 0.839141");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.072178, 0.083485, 0.104197, 0.14184, 0.211788, 0.34508, 0.628037", \
"0.072213, 0.08352, 0.104248, 0.141968, 0.211694, 0.345083, 0.627694", \
"0.072121, 0.083422, 0.104545, 0.142568, 0.210793, 0.344611, 0.62747", \
"0.072131, 0.083461, 0.104284, 0.141832, 0.212016, 0.345009, 0.627055", \
"0.071622, 0.083422, 0.104213, 0.141813, 0.212412, 0.343739, 0.624229", \
"0.073361, 0.083739, 0.105204, 0.143327, 0.211325, 0.344066, 0.622696", \
"0.086686, 0.096832, 0.118189, 0.152658, 0.222596, 0.353109, 0.631632");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.371955, 0.3847, 0.406607, 0.44319, 0.507851, 0.62703, 0.865026", \
"0.373582, 0.386486, 0.408401, 0.444974, 0.509618, 0.628819, 0.866894", \
"0.377569, 0.390476, 0.41236, 0.448948, 0.513587, 0.632795, 0.87088", \
"0.386537, 0.399162, 0.421133, 0.457844, 0.522433, 0.641556, 0.879631", \
"0.406729, 0.419416, 0.441287, 0.478156, 0.5427, 0.661884, 0.899944", \
"0.451585, 0.464172, 0.486202, 0.522589, 0.587205, 0.706424, 0.944561", \
"0.513864, 0.526205, 0.547987, 0.584129, 0.647908, 0.76671, 1.00412");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.054533, 0.066563, 0.089727, 0.130413, 0.208362, 0.369903, 0.718319", \
"0.0555, 0.066559, 0.089501, 0.130149, 0.207692, 0.37184, 0.717214", \
"0.055523, 0.06655, 0.089688, 0.130551, 0.208216, 0.369806, 0.716951", \
"0.055462, 0.066953, 0.089912, 0.130746, 0.20838, 0.372142, 0.715665", \
"0.055551, 0.067104, 0.090434, 0.130725, 0.208401, 0.372057, 0.717388", \
"0.055343, 0.067228, 0.089307, 0.130272, 0.208753, 0.371229, 0.715675", \
"0.056882, 0.068422, 0.091184, 0.131702, 0.208742, 0.371536, 0.719349");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.355093, 0.366533, 0.385977, 0.418077, 0.474961, 0.576385, 0.773478", \
"0.357473, 0.368799, 0.388635, 0.420878, 0.477331, 0.578585, 0.775193", \
"0.361843, 0.373266, 0.392927, 0.425214, 0.48164, 0.583088, 0.779665", \
"0.36944, 0.380985, 0.40037, 0.432784, 0.489388, 0.590858, 0.787949", \
"0.384056, 0.395464, 0.414971, 0.447306, 0.503991, 0.605365, 0.801986", \
"0.411636, 0.422958, 0.441883, 0.474, 0.530477, 0.631702, 0.828225", \
"0.456093, 0.466697, 0.48545, 0.516509, 0.571744, 0.672977, 0.869496");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.057925, 0.068254, 0.087863, 0.122806, 0.189341, 0.324503, 0.607261", \
"0.057075, 0.067437, 0.087808, 0.12238, 0.188941, 0.323623, 0.612924", \
"0.058163, 0.067876, 0.087594, 0.122606, 0.18915, 0.322788, 0.615176", \
"0.058015, 0.067956, 0.087404, 0.123408, 0.188678, 0.323069, 0.60789", \
"0.058119, 0.068138, 0.087288, 0.122115, 0.188076, 0.324414, 0.607814", \
"0.058641, 0.06795, 0.088162, 0.123733, 0.189556, 0.323293, 0.614747", \
"0.059135, 0.069086, 0.088589, 0.122503, 0.189233, 0.322103, 0.606531");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.391772, 0.404813, 0.427274, 0.463832, 0.528048, 0.647418, 0.886645", \
"0.394738, 0.407818, 0.429926, 0.46669, 0.531209, 0.650097, 0.888654", \
"0.399948, 0.41299, 0.435489, 0.472021, 0.53627, 0.65562, 0.894827", \
"0.408695, 0.421723, 0.444134, 0.480914, 0.544812, 0.664285, 0.903561", \
"0.42511, 0.438177, 0.460428, 0.497073, 0.561374, 0.680383, 0.918743", \
"0.456917, 0.469805, 0.491997, 0.528519, 0.592624, 0.712092, 0.951361", \
"0.533957, 0.545757, 0.566847, 0.602466, 0.665968, 0.784945, 1.02297");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.057343, 0.068958, 0.090732, 0.130561, 0.2084, 0.372243, 0.722285", \
"0.057317, 0.068124, 0.090697, 0.130487, 0.208412, 0.372411, 0.721818", \
"0.057327, 0.068935, 0.09072, 0.130594, 0.208454, 0.372399, 0.722367", \
"0.057283, 0.068928, 0.090714, 0.131209, 0.209537, 0.373441, 0.717617", \
"0.057465, 0.069013, 0.091264, 0.131608, 0.208437, 0.372297, 0.721532", \
"0.057297, 0.069125, 0.091697, 0.130721, 0.209178, 0.37255, 0.721535", \
"0.058242, 0.069545, 0.091969, 0.131873, 0.209995, 0.373124, 0.72103");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.362084, 0.373765, 0.393612, 0.427172, 0.485581, 0.588849, 0.786377", \
"0.363766, 0.375566, 0.39539, 0.428937, 0.487519, 0.590809, 0.788208", \
"0.367671, 0.3793, 0.39895, 0.432531, 0.491024, 0.594173, 0.791246", \
"0.375393, 0.387026, 0.40707, 0.44047, 0.49922, 0.60239, 0.799954", \
"0.39332, 0.405017, 0.424799, 0.458493, 0.517062, 0.620311, 0.817848", \
"0.431708, 0.443191, 0.46296, 0.496314, 0.55475, 0.657922, 0.855272", \
"0.497117, 0.507863, 0.526261, 0.558366, 0.615989, 0.719006, 0.915992");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.059637, 0.070133, 0.091514, 0.126179, 0.192074, 0.328044, 0.614527", \
"0.059331, 0.070133, 0.091385, 0.126226, 0.193032, 0.324737, 0.6151", \
"0.059581, 0.069968, 0.091231, 0.126161, 0.192266, 0.326804, 0.6161", \
"0.059349, 0.07037, 0.090498, 0.126285, 0.193032, 0.325845, 0.609509", \
"0.059073, 0.070421, 0.090505, 0.125962, 0.192981, 0.326936, 0.614256", \
"0.05924, 0.070359, 0.09094, 0.1264, 0.191841, 0.327725, 0.615281", \
"0.060541, 0.070805, 0.091592, 0.12738, 0.193273, 0.328189, 0.611129");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.146565, 0.161313, 0.186823, 0.228063, 0.296222, 0.418495, 0.659009", \
"0.149319, 0.164006, 0.189678, 0.231097, 0.299058, 0.421303, 0.661908", \
"0.154177, 0.168887, 0.194593, 0.235844, 0.303935, 0.42625, 0.66538", \
"0.162399, 0.177159, 0.202701, 0.244049, 0.312072, 0.434397, 0.674951", \
"0.178165, 0.192774, 0.218175, 0.259205, 0.327519, 0.449673, 0.689873", \
"0.200249, 0.215845, 0.242514, 0.284931, 0.353968, 0.476278, 0.716474", \
"0.226662, 0.242504, 0.270462, 0.315693, 0.38825, 0.514036, 0.754495");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.047392, 0.059416, 0.082386, 0.123324, 0.204517, 0.368695, 0.721054", \
"0.047987, 0.060182, 0.082334, 0.123584, 0.204442, 0.369649, 0.719991", \
"0.047638, 0.059806, 0.082304, 0.123663, 0.204414, 0.36884, 0.716788", \
"0.047379, 0.059208, 0.082464, 0.123619, 0.204392, 0.369065, 0.720577", \
"0.047257, 0.059989, 0.082913, 0.123349, 0.203934, 0.366367, 0.720432", \
"0.055014, 0.066712, 0.088645, 0.128471, 0.207234, 0.371921, 0.71219", \
"0.064429, 0.075399, 0.099009, 0.141216, 0.217985, 0.379532, 0.723073");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.170288, 0.183856, 0.207354, 0.245443, 0.307234, 0.412549, 0.611428", \
"0.173445, 0.18696, 0.210483, 0.248609, 0.310401, 0.415754, 0.61468", \
"0.179159, 0.192664, 0.216259, 0.254156, 0.316169, 0.421681, 0.620106", \
"0.188296, 0.201931, 0.225464, 0.263366, 0.325378, 0.430719, 0.629654", \
"0.204604, 0.218241, 0.241721, 0.279667, 0.341455, 0.446666, 0.64547", \
"0.233042, 0.246875, 0.271045, 0.309337, 0.3714, 0.476985, 0.675504", \
"0.271435, 0.285772, 0.31076, 0.351662, 0.417644, 0.527557, 0.727525");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.053148, 0.063842, 0.083748, 0.120452, 0.189074, 0.322136, 0.609644", \
"0.053244, 0.063712, 0.083567, 0.120447, 0.189158, 0.321883, 0.608782", \
"0.052883, 0.063911, 0.084123, 0.120345, 0.187599, 0.325258, 0.614748", \
"0.052569, 0.063055, 0.083329, 0.120159, 0.189145, 0.322922, 0.606009", \
"0.052632, 0.063115, 0.08319, 0.120227, 0.189143, 0.323398, 0.611225", \
"0.05844, 0.069149, 0.088402, 0.124489, 0.189944, 0.326285, 0.615062", \
"0.068638, 0.079458, 0.099866, 0.137857, 0.204542, 0.333982, 0.615143");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.22932, 0.245747, 0.274643, 0.321968, 0.397206, 0.525032, 0.76828", \
"0.230484, 0.246806, 0.276098, 0.322975, 0.398338, 0.526057, 0.769203", \
"0.232989, 0.249435, 0.278632, 0.325443, 0.400569, 0.528451, 0.771821", \
"0.239231, 0.255639, 0.284476, 0.331216, 0.406328, 0.534124, 0.777059", \
"0.254807, 0.27129, 0.300333, 0.346966, 0.42203, 0.549658, 0.792905", \
"0.292388, 0.308679, 0.337334, 0.383434, 0.458042, 0.585407, 0.828192", \
"0.3536, 0.370367, 0.399837, 0.447715, 0.524377, 0.652523, 0.894539");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.068883, 0.079722, 0.10451, 0.146065, 0.226683, 0.384465, 0.733602", \
"0.068992, 0.080036, 0.104419, 0.146199, 0.22622, 0.384698, 0.733587", \
"0.068807, 0.079837, 0.104298, 0.146192, 0.226345, 0.38517, 0.730751", \
"0.067407, 0.080291, 0.103574, 0.146345, 0.225878, 0.387846, 0.72987", \
"0.067618, 0.079748, 0.10318, 0.146161, 0.225236, 0.38583, 0.727409", \
"0.067564, 0.079774, 0.102668, 0.145696, 0.225055, 0.387805, 0.727627", \
"0.083412, 0.094669, 0.117213, 0.158538, 0.232215, 0.391409, 0.73285");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.239817, 0.254684, 0.28097, 0.322916, 0.390319, 0.502083, 0.705159", \
"0.240658, 0.255644, 0.281571, 0.3233, 0.39119, 0.502583, 0.705758", \
"0.242802, 0.257739, 0.283717, 0.325909, 0.393124, 0.504945, 0.707948", \
"0.249245, 0.264192, 0.290056, 0.331821, 0.399844, 0.511093, 0.714272", \
"0.266615, 0.281575, 0.307387, 0.349148, 0.416791, 0.528012, 0.731058", \
"0.308513, 0.323594, 0.349173, 0.390572, 0.457495, 0.568926, 0.771593", \
"0.384295, 0.39942, 0.425703, 0.468345, 0.536688, 0.64934, 0.850955");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.072395, 0.083002, 0.104377, 0.142276, 0.210247, 0.344195, 0.626552", \
"0.071939, 0.083232, 0.103917, 0.14158, 0.211659, 0.344517, 0.628359", \
"0.07311, 0.083296, 0.102682, 0.141652, 0.210125, 0.342757, 0.622979", \
"0.071684, 0.082989, 0.103764, 0.141803, 0.21132, 0.344202, 0.627867", \
"0.071454, 0.082589, 0.103861, 0.141175, 0.211793, 0.344431, 0.62719", \
"0.071675, 0.082833, 0.104361, 0.142361, 0.210293, 0.34228, 0.620415", \
"0.087833, 0.09806, 0.118341, 0.153974, 0.220787, 0.348734, 0.624078");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.364385, 0.377045, 0.398761, 0.434914, 0.498536, 0.617195, 0.855802", \
"0.366577, 0.37916, 0.400885, 0.436928, 0.5007, 0.619159, 0.857329", \
"0.370974, 0.383469, 0.405332, 0.44142, 0.504944, 0.623989, 0.862949", \
"0.380501, 0.393134, 0.414966, 0.450938, 0.514546, 0.63325, 0.871717", \
"0.401409, 0.414041, 0.435784, 0.471741, 0.535613, 0.654142, 0.892322", \
"0.44451, 0.457152, 0.478663, 0.51458, 0.578074, 0.696833, 0.935579", \
"0.521521, 0.53336, 0.553766, 0.588837, 0.651656, 0.769918, 1.00685");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.054897, 0.066189, 0.088108, 0.12798, 0.205896, 0.369981, 0.714292", \
"0.054894, 0.066193, 0.08811, 0.127807, 0.206153, 0.370951, 0.717929", \
"0.054901, 0.06675, 0.088436, 0.128493, 0.207218, 0.369964, 0.714674", \
"0.054779, 0.066179, 0.088029, 0.129156, 0.206747, 0.370719, 0.71509", \
"0.055001, 0.066003, 0.088098, 0.129141, 0.20545, 0.369378, 0.719953", \
"0.055241, 0.066827, 0.088838, 0.12927, 0.206283, 0.368367, 0.716431", \
"0.056108, 0.067119, 0.089527, 0.130106, 0.208361, 0.369147, 0.719483");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.344849, 0.356067, 0.375018, 0.406976, 0.463221, 0.564284, 0.760475", \
"0.347034, 0.358182, 0.377194, 0.409002, 0.465401, 0.566406, 0.762574", \
"0.351321, 0.362474, 0.381409, 0.413337, 0.469689, 0.570506, 0.767145", \
"0.359966, 0.371096, 0.390068, 0.421914, 0.478376, 0.579301, 0.775562", \
"0.378297, 0.389291, 0.408198, 0.440202, 0.496505, 0.597484, 0.793835", \
"0.4145, 0.425349, 0.444029, 0.475662, 0.531972, 0.633256, 0.830032", \
"0.473847, 0.483864, 0.501898, 0.532241, 0.587775, 0.688309, 0.884372");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.055658, 0.065547, 0.085751, 0.119835, 0.186248, 0.321842, 0.61084", \
"0.055622, 0.065597, 0.085269, 0.120361, 0.185903, 0.32243, 0.607595", \
"0.055438, 0.065365, 0.085983, 0.119788, 0.186788, 0.321676, 0.612563", \
"0.055375, 0.065086, 0.085998, 0.121275, 0.18609, 0.322758, 0.607451", \
"0.055581, 0.066305, 0.086257, 0.121367, 0.186138, 0.322047, 0.61303", \
"0.056172, 0.065745, 0.086955, 0.12055, 0.18804, 0.322169, 0.604745", \
"0.056966, 0.066867, 0.086483, 0.121251, 0.18811, 0.322757, 0.608312");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.376448, 0.389392, 0.411781, 0.448249, 0.512571, 0.631876, 0.870898", \
"0.378511, 0.391438, 0.413939, 0.450348, 0.514755, 0.633831, 0.872618", \
"0.382761, 0.39577, 0.418137, 0.4548, 0.518871, 0.638247, 0.877261", \
"0.392393, 0.405454, 0.427528, 0.464275, 0.528639, 0.647707, 0.886731", \
"0.413268, 0.426281, 0.448396, 0.484978, 0.54914, 0.668627, 0.908056", \
"0.456581, 0.469336, 0.491694, 0.528041, 0.592025, 0.711234, 0.950127", \
"0.535215, 0.547485, 0.568542, 0.6033, 0.666652, 0.784681, 1.02431");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.057555, 0.068872, 0.091048, 0.130827, 0.208038, 0.372053, 0.722682", \
"0.05754, 0.06898, 0.090739, 0.131527, 0.207414, 0.371225, 0.722361", \
"0.056985, 0.06894, 0.091036, 0.13073, 0.209643, 0.373982, 0.719523", \
"0.057559, 0.068399, 0.091343, 0.131904, 0.207725, 0.371899, 0.722656", \
"0.057503, 0.068158, 0.091512, 0.130194, 0.209375, 0.372159, 0.720737", \
"0.057944, 0.069484, 0.091019, 0.130868, 0.209805, 0.373813, 0.721235", \
"0.058253, 0.069593, 0.092994, 0.132612, 0.210511, 0.37394, 0.720483");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.346008, 0.357516, 0.376834, 0.409177, 0.465757, 0.567345, 0.764434", \
"0.348118, 0.359578, 0.378934, 0.411267, 0.46792, 0.569461, 0.766576", \
"0.352407, 0.363882, 0.383187, 0.415523, 0.472122, 0.573396, 0.770481", \
"0.36099, 0.372433, 0.391717, 0.424037, 0.480671, 0.582231, 0.779392", \
"0.379182, 0.390393, 0.409735, 0.441989, 0.498717, 0.600153, 0.796935", \
"0.414992, 0.426054, 0.445162, 0.477166, 0.533852, 0.635075, 0.831743", \
"0.474905, 0.48503, 0.502212, 0.532534, 0.588149, 0.689174, 0.885813");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.05797, 0.067139, 0.087767, 0.123368, 0.189234, 0.322617, 0.613833", \
"0.057903, 0.066968, 0.087688, 0.123306, 0.188761, 0.324089, 0.61363", \
"0.057774, 0.067088, 0.087745, 0.123232, 0.18911, 0.322325, 0.612706", \
"0.057599, 0.067757, 0.087796, 0.123273, 0.188946, 0.322979, 0.613679", \
"0.057316, 0.067557, 0.087805, 0.122968, 0.187733, 0.324482, 0.614217", \
"0.058535, 0.068434, 0.088168, 0.122473, 0.188633, 0.323187, 0.613506", \
"0.058646, 0.068602, 0.087948, 0.123721, 0.189603, 0.32394, 0.613851");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.011134, 0.010837, 0.010525, 0.010303, 0.010191, 0.010133, 0.010095", \
"0.011122, 0.010824, 0.010517, 0.010303, 0.010178, 0.010119, 0.010082", \
"0.011109, 0.01081, 0.010501, 0.010285, 0.010165, 0.010106, 0.010068", \
"0.011091, 0.010792, 0.010482, 0.010263, 0.010144, 0.010088, 0.010051", \
"0.011089, 0.010789, 0.010478, 0.010257, 0.010136, 0.010078, 0.01004", \
"0.011288, 0.010973, 0.010638, 0.010381, 0.010231, 0.010154, 0.010105", \
"0.01194, 0.011589, 0.011194, 0.01085, 0.010639, 0.010499, 0.010406");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.00902, 0.008725, 0.008428, 0.008227, 0.008117, 0.008064, 0.008026", \
"0.009006, 0.008714, 0.008417, 0.008214, 0.008103, 0.00805, 0.008012", \
"0.00899, 0.008696, 0.008401, 0.008196, 0.008086, 0.008031, 0.007994", \
"0.008972, 0.008678, 0.008381, 0.008177, 0.008066, 0.008012, 0.007975", \
"0.008987, 0.008693, 0.008395, 0.008182, 0.008064, 0.008007, 0.00797", \
"0.009199, 0.008881, 0.008544, 0.008286, 0.008142, 0.008074, 0.008032", \
"0.009826, 0.009469, 0.009061, 0.008736, 0.008516, 0.0084, 0.00833");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.011568, 0.011262, 0.010944, 0.010719, 0.010586, 0.010524, 0.010483", \
"0.011555, 0.011248, 0.010931, 0.010705, 0.010572, 0.01051, 0.010469", \
"0.011531, 0.011225, 0.010907, 0.010682, 0.01055, 0.010488, 0.010448", \
"0.0115, 0.011193, 0.010875, 0.010649, 0.010524, 0.010462, 0.01042", \
"0.011485, 0.011177, 0.010855, 0.010627, 0.010492, 0.01043, 0.010389", \
"0.011685, 0.011367, 0.011021, 0.010749, 0.010584, 0.010497, 0.010442", \
"0.012393, 0.012032, 0.01161, 0.011266, 0.011005, 0.01085, 0.010752");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.008861, 0.008567, 0.008268, 0.008059, 0.007948, 0.007891, 0.007851", \
"0.008849, 0.008553, 0.008253, 0.008049, 0.007933, 0.007877, 0.007838", \
"0.008822, 0.008529, 0.008231, 0.008019, 0.00791, 0.007856, 0.007816", \
"0.008789, 0.008495, 0.008197, 0.007991, 0.007875, 0.007822, 0.007784", \
"0.008785, 0.00849, 0.008186, 0.007975, 0.007857, 0.0078, 0.007761", \
"0.009027, 0.008707, 0.00836, 0.008092, 0.007939, 0.007865, 0.007818", \
"0.0097, 0.009329, 0.008903, 0.008551, 0.008327, 0.008201, 0.008123");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.011671, 0.011366, 0.011049, 0.010821, 0.010696, 0.010629, 0.010587", \
"0.011664, 0.011359, 0.011042, 0.010819, 0.01069, 0.010622, 0.01058", \
"0.011652, 0.011348, 0.011032, 0.010806, 0.010677, 0.01061, 0.010569", \
"0.01164, 0.011334, 0.011018, 0.01079, 0.010659, 0.010594, 0.010554", \
"0.011672, 0.011365, 0.011043, 0.010805, 0.010667, 0.010598, 0.010554", \
"0.011928, 0.011603, 0.011253, 0.010983, 0.010802, 0.010703, 0.010642", \
"0.012782, 0.01241, 0.011974, 0.011586, 0.011303, 0.011121, 0.011005");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.008486, 0.008196, 0.007906, 0.007711, 0.007603, 0.00755, 0.007513", \
"0.008482, 0.008194, 0.007904, 0.007708, 0.0076, 0.007547, 0.007511", \
"0.008476, 0.008186, 0.007896, 0.0077, 0.007593, 0.00754, 0.007504", \
"0.008466, 0.008177, 0.007886, 0.007686, 0.007579, 0.007527, 0.007492", \
"0.008501, 0.008209, 0.00791, 0.007702, 0.007584, 0.007529, 0.007494", \
"0.008793, 0.008476, 0.008132, 0.007874, 0.007714, 0.007634, 0.007585", \
"0.009613, 0.009231, 0.008799, 0.008433, 0.008184, 0.008037, 0.007946");
}
}
}
}

/* ---------------- *
* Design : ADDFHX4 *
* ---------------- */
cell (ADDFHX4) {
cell_footprint : ADDFH;
area : 27.248400;
cell_leakage_power : 190.178;
pin(A) {
direction : input;
capacitance : 0.0101482;
rise_capacitance : 0.0101482;
fall_capacitance : 0.0101478;
rise_capacitance_range ( 0.0100752, 0.0102212) ;
fall_capacitance_range ( 0.0100735, 0.0102221) ;
}
pin(B) {
direction : input;
capacitance : 0.00959299;
rise_capacitance : 0.00959299;
fall_capacitance : 0.00933824;
rise_capacitance_range ( 0.00947247, 0.0097135) ;
fall_capacitance_range ( 0.00896348, 0.00971301) ;
}
pin(CI) {
direction : input;
capacitance : 0.00682006;
rise_capacitance : 0.00682006;
fall_capacitance : 0.00679344;
rise_capacitance_range ( 0.00606349, 0.00757663) ;
fall_capacitance_range ( 0.00600846, 0.00757842) ;
}
pin(CO) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.562869;
function : "(((A B)+(B CI))+(CI A))";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.210258, 0.226745, 0.25589, 0.303244, 0.379253, 0.509341, 0.7556", \
"0.21266, 0.229115, 0.258283, 0.305467, 0.381829, 0.511602, 0.758173", \
"0.21691, 0.23345, 0.262882, 0.309815, 0.385922, 0.516308, 0.761589", \
"0.225074, 0.241418, 0.270951, 0.317876, 0.393969, 0.524353, 0.76957", \
"0.241559, 0.258127, 0.28711, 0.33454, 0.410489, 0.540723, 0.785918", \
"0.274942, 0.291149, 0.320016, 0.366664, 0.442695, 0.573648, 0.818349", \
"0.324736, 0.341775, 0.371947, 0.421551, 0.499628, 0.630706, 0.876193");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.068894, 0.080341, 0.104146, 0.14779, 0.228445, 0.390057, 0.733057", \
"0.068697, 0.080777, 0.103823, 0.148541, 0.228745, 0.390576, 0.730844", \
"0.067585, 0.080815, 0.105085, 0.147842, 0.229352, 0.391544, 0.729282", \
"0.067774, 0.080562, 0.105083, 0.147928, 0.229687, 0.391574, 0.731476", \
"0.06811, 0.079104, 0.104143, 0.147141, 0.229303, 0.391509, 0.72976", \
"0.070311, 0.082665, 0.105352, 0.14826, 0.228607, 0.391601, 0.732309", \
"0.081813, 0.094153, 0.11863, 0.16037, 0.237705, 0.39587, 0.732212");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.234098, 0.248893, 0.275388, 0.318085, 0.386807, 0.500387, 0.706234", \
"0.237146, 0.252085, 0.278342, 0.320726, 0.38973, 0.503371, 0.709319", \
"0.242864, 0.258076, 0.284138, 0.326454, 0.395449, 0.509498, 0.715046", \
"0.252225, 0.267453, 0.293791, 0.33629, 0.404992, 0.518803, 0.724759", \
"0.269675, 0.284847, 0.311127, 0.353653, 0.422316, 0.536968, 0.742419", \
"0.306434, 0.321425, 0.347632, 0.389782, 0.458367, 0.571801, 0.777684", \
"0.36884, 0.384294, 0.41148, 0.455078, 0.525226, 0.639723, 0.845789");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.075404, 0.08572, 0.107605, 0.145808, 0.214985, 0.349445, 0.631086", \
"0.076095, 0.086854, 0.106942, 0.145653, 0.216428, 0.350349, 0.630991", \
"0.074741, 0.086039, 0.106171, 0.145913, 0.215653, 0.349234, 0.630977", \
"0.074958, 0.085932, 0.107187, 0.146231, 0.214971, 0.349458, 0.628993", \
"0.074734, 0.084698, 0.107154, 0.14608, 0.214536, 0.348505, 0.631759", \
"0.075224, 0.085697, 0.106463, 0.144854, 0.215248, 0.350492, 0.627494", \
"0.088281, 0.09908, 0.120475, 0.155885, 0.22542, 0.35625, 0.634884");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.214066, 0.230608, 0.259821, 0.307716, 0.383945, 0.51471, 0.760538", \
"0.215671, 0.232321, 0.261906, 0.309124, 0.385762, 0.515934, 0.761821", \
"0.219614, 0.236056, 0.265159, 0.312624, 0.389305, 0.519569, 0.765642", \
"0.227156, 0.243752, 0.273055, 0.320639, 0.396714, 0.527143, 0.773273", \
"0.243531, 0.259919, 0.289641, 0.336759, 0.413007, 0.543158, 0.789274", \
"0.27706, 0.293308, 0.322178, 0.369239, 0.445202, 0.57549, 0.822013", \
"0.327398, 0.344763, 0.374825, 0.424433, 0.502372, 0.633514, 0.879145");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.070297, 0.081708, 0.107005, 0.149187, 0.230557, 0.390529, 0.733794", \
"0.06915, 0.082439, 0.10698, 0.149265, 0.230297, 0.392891, 0.731439", \
"0.070118, 0.082218, 0.105558, 0.150462, 0.230273, 0.39174, 0.732564", \
"0.070023, 0.081338, 0.105729, 0.149219, 0.230229, 0.391603, 0.73221", \
"0.068187, 0.08187, 0.106343, 0.148258, 0.229635, 0.392027, 0.730976", \
"0.070529, 0.084006, 0.106897, 0.148791, 0.22865, 0.391963, 0.735192", \
"0.084481, 0.095931, 0.119021, 0.161545, 0.237865, 0.397109, 0.735035");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.23657, 0.251652, 0.277866, 0.320514, 0.389339, 0.503524, 0.70985", \
"0.239096, 0.254161, 0.280478, 0.323024, 0.391722, 0.505911, 0.711806", \
"0.243839, 0.258797, 0.28528, 0.327801, 0.39674, 0.510914, 0.716546", \
"0.252611, 0.267532, 0.294077, 0.336478, 0.405272, 0.518913, 0.724966", \
"0.270642, 0.285692, 0.311937, 0.35455, 0.423126, 0.537721, 0.743427", \
"0.307002, 0.321935, 0.34796, 0.390037, 0.458398, 0.572006, 0.777803", \
"0.369173, 0.384756, 0.411774, 0.455168, 0.525513, 0.640213, 0.845855");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.075963, 0.087316, 0.109005, 0.145979, 0.217018, 0.350011, 0.633475", \
"0.076108, 0.087903, 0.107833, 0.146481, 0.217063, 0.35047, 0.631184", \
"0.076263, 0.087757, 0.106969, 0.146666, 0.216279, 0.350284, 0.631369", \
"0.076742, 0.086871, 0.107385, 0.146867, 0.215014, 0.350132, 0.630003", \
"0.076728, 0.086591, 0.10765, 0.146633, 0.215177, 0.349582, 0.633335", \
"0.076385, 0.08685, 0.108227, 0.146008, 0.215866, 0.350546, 0.629512", \
"0.090758, 0.101628, 0.122243, 0.156649, 0.226166, 0.356408, 0.635252");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.205576, 0.222021, 0.251121, 0.298669, 0.375261, 0.506106, 0.752453", \
"0.207936, 0.224467, 0.253866, 0.30104, 0.377678, 0.508611, 0.754252", \
"0.212272, 0.228766, 0.258094, 0.305144, 0.382364, 0.512752, 0.758489", \
"0.220054, 0.236419, 0.265796, 0.312916, 0.389705, 0.520607, 0.766191", \
"0.236141, 0.25255, 0.281811, 0.329118, 0.405753, 0.536587, 0.782737", \
"0.268672, 0.285013, 0.314037, 0.360961, 0.437504, 0.568336, 0.813776", \
"0.311826, 0.328948, 0.360708, 0.409904, 0.489764, 0.622721, 0.868243");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.067802, 0.080336, 0.104605, 0.146887, 0.228861, 0.391273, 0.733521", \
"0.06786, 0.079872, 0.103547, 0.148625, 0.230603, 0.391481, 0.735299", \
"0.067612, 0.080072, 0.103337, 0.148114, 0.229549, 0.392365, 0.729865", \
"0.067292, 0.079467, 0.103074, 0.148323, 0.230468, 0.391691, 0.733361", \
"0.066712, 0.079437, 0.103797, 0.146855, 0.228509, 0.39087, 0.734125", \
"0.069361, 0.082043, 0.105481, 0.149671, 0.23088, 0.392443, 0.730152", \
"0.082581, 0.095467, 0.120594, 0.162465, 0.240083, 0.397316, 0.729555");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.236685, 0.25211, 0.278715, 0.322003, 0.391965, 0.507284, 0.713308", \
"0.239564, 0.254872, 0.281664, 0.32495, 0.394809, 0.5093, 0.715295", \
"0.244991, 0.260216, 0.287034, 0.330291, 0.399511, 0.513422, 0.720183", \
"0.253945, 0.26935, 0.295926, 0.339191, 0.408485, 0.523111, 0.728598", \
"0.270945, 0.286393, 0.312994, 0.356263, 0.426292, 0.541639, 0.749535", \
"0.306691, 0.321918, 0.348438, 0.391244, 0.460837, 0.575973, 0.784181", \
"0.36239, 0.378831, 0.4067, 0.451436, 0.523689, 0.641482, 0.848571");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.075873, 0.087205, 0.108221, 0.144837, 0.215908, 0.350683, 0.627247", \
"0.075836, 0.087152, 0.108255, 0.146309, 0.216285, 0.35027, 0.631167", \
"0.075877, 0.087283, 0.108284, 0.144949, 0.216045, 0.34997, 0.633391", \
"0.076201, 0.086598, 0.107052, 0.146672, 0.214369, 0.349441, 0.631734", \
"0.075595, 0.086492, 0.108285, 0.145743, 0.216157, 0.349521, 0.633363", \
"0.075061, 0.087107, 0.107568, 0.145341, 0.216354, 0.349964, 0.63315", \
"0.091355, 0.101834, 0.124018, 0.160104, 0.22613, 0.356131, 0.63399");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.223207, 0.239882, 0.269424, 0.316648, 0.393363, 0.523573, 0.770012", \
"0.225213, 0.24161, 0.271094, 0.318779, 0.395106, 0.525506, 0.771916", \
"0.229325, 0.245813, 0.275162, 0.322557, 0.399091, 0.529287, 0.775196", \
"0.237499, 0.254251, 0.283568, 0.330758, 0.4074, 0.537584, 0.783551", \
"0.256364, 0.272954, 0.302304, 0.349405, 0.426149, 0.556283, 0.802157", \
"0.29769, 0.314075, 0.343172, 0.389896, 0.466054, 0.596016, 0.841581", \
"0.36368, 0.380664, 0.410987, 0.459959, 0.537943, 0.66864, 0.913675");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.069401, 0.08272, 0.107233, 0.149468, 0.231432, 0.39241, 0.735532", \
"0.069853, 0.081644, 0.107384, 0.149685, 0.230816, 0.392079, 0.735438", \
"0.069981, 0.082408, 0.105418, 0.150527, 0.230295, 0.392855, 0.732673", \
"0.069466, 0.082375, 0.106278, 0.149473, 0.230716, 0.392639, 0.733599", \
"0.068186, 0.081993, 0.105603, 0.149591, 0.231002, 0.391829, 0.734179", \
"0.072121, 0.082385, 0.105682, 0.149946, 0.231561, 0.392627, 0.731998", \
"0.088692, 0.099722, 0.123044, 0.163333, 0.241799, 0.399567, 0.735683");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.240663, 0.255782, 0.282054, 0.324664, 0.393559, 0.507343, 0.715438", \
"0.242437, 0.257714, 0.284094, 0.326586, 0.395344, 0.510279, 0.718471", \
"0.246821, 0.261849, 0.288139, 0.330733, 0.400158, 0.515659, 0.723593", \
"0.255789, 0.270948, 0.297274, 0.339788, 0.408996, 0.524521, 0.732699", \
"0.276429, 0.291506, 0.317724, 0.360352, 0.428955, 0.54314, 0.749591", \
"0.322368, 0.337305, 0.363556, 0.405563, 0.473869, 0.587699, 0.79343", \
"0.405607, 0.420977, 0.448078, 0.490763, 0.56035, 0.674033, 0.87949");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.076294, 0.087242, 0.109345, 0.146937, 0.217837, 0.352438, 0.635109", \
"0.077355, 0.088079, 0.108544, 0.147401, 0.216943, 0.352426, 0.635227", \
"0.076691, 0.088167, 0.108305, 0.147328, 0.217383, 0.352674, 0.634269", \
"0.076403, 0.087196, 0.10937, 0.146675, 0.218213, 0.352456, 0.635148", \
"0.077086, 0.086737, 0.109562, 0.147118, 0.218111, 0.35238, 0.634458", \
"0.076799, 0.087316, 0.108642, 0.14797, 0.218586, 0.353216, 0.635332", \
"0.095166, 0.104999, 0.12607, 0.16139, 0.231005, 0.361359, 0.635036");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.196808, 0.213302, 0.242338, 0.289751, 0.366298, 0.497194, 0.743297", \
"0.198949, 0.215494, 0.244561, 0.291738, 0.368714, 0.499196, 0.745805", \
"0.203282, 0.21983, 0.249089, 0.296291, 0.372882, 0.503871, 0.74949", \
"0.212302, 0.228816, 0.257754, 0.305242, 0.381811, 0.51293, 0.758222", \
"0.232006, 0.248428, 0.277561, 0.324592, 0.401559, 0.531812, 0.777809", \
"0.27355, 0.28973, 0.318399, 0.365168, 0.441751, 0.571964, 0.818539", \
"0.334237, 0.351278, 0.38159, 0.430357, 0.509184, 0.641382, 0.886579");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.067167, 0.078879, 0.103574, 0.147152, 0.227966, 0.390204, 0.732351", \
"0.066882, 0.078797, 0.103352, 0.147621, 0.229335, 0.390313, 0.731845", \
"0.067307, 0.079763, 0.103315, 0.147571, 0.22878, 0.389506, 0.729475", \
"0.067245, 0.07959, 0.103717, 0.147439, 0.230131, 0.390851, 0.727694", \
"0.066314, 0.079385, 0.102914, 0.147847, 0.230003, 0.39033, 0.731597", \
"0.069309, 0.081463, 0.104955, 0.148113, 0.229179, 0.391642, 0.727229", \
"0.088426, 0.099758, 0.122715, 0.163583, 0.241398, 0.397343, 0.730397");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.222239, 0.237511, 0.264477, 0.307595, 0.377409, 0.491169, 0.697229", \
"0.224392, 0.239785, 0.266693, 0.309717, 0.37971, 0.493473, 0.699408", \
"0.229006, 0.244353, 0.271298, 0.314327, 0.384309, 0.498089, 0.703994", \
"0.238587, 0.253975, 0.280827, 0.323996, 0.393802, 0.507543, 0.714318", \
"0.259973, 0.275469, 0.30209, 0.345287, 0.415065, 0.52923, 0.734733", \
"0.306625, 0.321982, 0.348256, 0.390936, 0.460645, 0.574049, 0.779793", \
"0.389262, 0.404722, 0.432021, 0.475731, 0.545922, 0.659859, 0.865079");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.074677, 0.085964, 0.10718, 0.145328, 0.216486, 0.349625, 0.632808", \
"0.075807, 0.086517, 0.105699, 0.145853, 0.216563, 0.350391, 0.63109", \
"0.074893, 0.086579, 0.106283, 0.145743, 0.216615, 0.350359, 0.630631", \
"0.07452, 0.085802, 0.107178, 0.14607, 0.214535, 0.348373, 0.633013", \
"0.074291, 0.085512, 0.10686, 0.144464, 0.216764, 0.35023, 0.628055", \
"0.074713, 0.085341, 0.107194, 0.146145, 0.21706, 0.349815, 0.629909", \
"0.095472, 0.105159, 0.123935, 0.158842, 0.225978, 0.356326, 0.635367");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.20512, 0.221745, 0.250702, 0.297725, 0.374013, 0.504087, 0.750458", \
"0.20721, 0.223877, 0.253042, 0.299984, 0.376106, 0.506342, 0.752703", \
"0.211787, 0.228421, 0.257357, 0.304412, 0.38097, 0.510796, 0.756769", \
"0.220684, 0.23727, 0.26628, 0.313576, 0.389483, 0.519869, 0.76618", \
"0.240434, 0.256851, 0.285831, 0.333144, 0.408969, 0.539228, 0.785504", \
"0.282434, 0.298614, 0.327405, 0.373827, 0.449867, 0.580171, 0.825182", \
"0.345281, 0.362507, 0.392497, 0.44143, 0.519121, 0.649961, 0.894699");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.067964, 0.080542, 0.104212, 0.147887, 0.229438, 0.390871, 0.733782", \
"0.067827, 0.080529, 0.104139, 0.147695, 0.229871, 0.390962, 0.733647", \
"0.067827, 0.080345, 0.104325, 0.147716, 0.230288, 0.391689, 0.733716", \
"0.068225, 0.079946, 0.103808, 0.148408, 0.230156, 0.392092, 0.730588", \
"0.067092, 0.080085, 0.104254, 0.14825, 0.230219, 0.39124, 0.732217", \
"0.070087, 0.081672, 0.105666, 0.149418, 0.230806, 0.392143, 0.733002", \
"0.088851, 0.100098, 0.123306, 0.164413, 0.24247, 0.397455, 0.73612");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.225039, 0.240018, 0.266167, 0.308552, 0.377606, 0.493035, 0.701409", \
"0.227177, 0.242102, 0.268421, 0.31078, 0.379835, 0.495185, 0.703307", \
"0.231834, 0.246827, 0.273045, 0.315412, 0.384419, 0.499811, 0.707909", \
"0.241512, 0.256441, 0.282937, 0.325252, 0.393904, 0.509909, 0.716902", \
"0.262977, 0.277885, 0.304013, 0.346506, 0.415211, 0.53089, 0.738063", \
"0.309781, 0.32466, 0.350379, 0.392338, 0.460832, 0.575838, 0.783895", \
"0.391023, 0.406358, 0.433581, 0.47628, 0.546336, 0.661853, 0.868763");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.078094, 0.088872, 0.109403, 0.147006, 0.217824, 0.353618, 0.633589", \
"0.077615, 0.088532, 0.108701, 0.147842, 0.218664, 0.352649, 0.635225", \
"0.078346, 0.089034, 0.108956, 0.147756, 0.218406, 0.352492, 0.635051", \
"0.077583, 0.088426, 0.10882, 0.147311, 0.217529, 0.353253, 0.633141", \
"0.078136, 0.087867, 0.109601, 0.14749, 0.218907, 0.353561, 0.632163", \
"0.078416, 0.088517, 0.11056, 0.147798, 0.218749, 0.353196, 0.635723", \
"0.096212, 0.107851, 0.125922, 0.162024, 0.230181, 0.359959, 0.635648");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.018508, 0.017696, 0.016765, 0.016013, 0.015529, 0.015277, 0.015128", \
"0.018495, 0.017683, 0.016751, 0.016, 0.015514, 0.015262, 0.015112", \
"0.018477, 0.017665, 0.016731, 0.01598, 0.01549, 0.015239, 0.015091", \
"0.018458, 0.017645, 0.01671, 0.015958, 0.015465, 0.015215, 0.015068", \
"0.01847, 0.017655, 0.016717, 0.015957, 0.015464, 0.015205, 0.015057", \
"0.018779, 0.017942, 0.016961, 0.016125, 0.01557, 0.015287, 0.015127", \
"0.019819, 0.018903, 0.017792, 0.016822, 0.016127, 0.015728, 0.015497");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.021274, 0.020443, 0.01947, 0.018669, 0.018177, 0.017918, 0.017766", \
"0.021259, 0.020431, 0.019455, 0.018651, 0.018166, 0.017903, 0.017752", \
"0.021238, 0.020406, 0.019432, 0.018632, 0.018145, 0.017881, 0.017732", \
"0.02122, 0.020387, 0.019414, 0.018621, 0.018121, 0.01786, 0.017712", \
"0.021214, 0.020377, 0.019403, 0.018606, 0.018099, 0.01784, 0.017693", \
"0.021479, 0.020647, 0.019653, 0.018804, 0.018253, 0.017953, 0.017779", \
"0.022536, 0.021636, 0.020531, 0.019557, 0.01883, 0.018405, 0.018148");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.018387, 0.017577, 0.016643, 0.015884, 0.015389, 0.015131, 0.014974", \
"0.018372, 0.017558, 0.01663, 0.015867, 0.015376, 0.015118, 0.014962", \
"0.018346, 0.017532, 0.016597, 0.015838, 0.015338, 0.015086, 0.014934", \
"0.018306, 0.017493, 0.016557, 0.015793, 0.015305, 0.015055, 0.014902", \
"0.018294, 0.017483, 0.016544, 0.015772, 0.01527, 0.015017, 0.014866", \
"0.018622, 0.017792, 0.016805, 0.015954, 0.015388, 0.015096, 0.014928", \
"0.019813, 0.018885, 0.017765, 0.016727, 0.015984, 0.015561, 0.015311");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.021801, 0.020961, 0.019968, 0.019145, 0.018631, 0.018358, 0.018201", \
"0.021787, 0.020947, 0.019955, 0.019138, 0.018607, 0.018337, 0.018188", \
"0.021763, 0.020919, 0.019928, 0.019103, 0.018587, 0.018319, 0.018162", \
"0.021725, 0.02088, 0.019888, 0.019072, 0.018547, 0.018279, 0.018125", \
"0.021697, 0.020854, 0.019863, 0.019037, 0.01852, 0.01825, 0.018093", \
"0.021978, 0.02113, 0.020114, 0.019238, 0.018655, 0.018335, 0.018153", \
"0.023171, 0.022305, 0.021145, 0.020091, 0.019328, 0.018849, 0.018561");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.017908, 0.017099, 0.01618, 0.015434, 0.014955, 0.014707, 0.01456", \
"0.017906, 0.017097, 0.016179, 0.015433, 0.014953, 0.014705, 0.014558", \
"0.017902, 0.017093, 0.016171, 0.015426, 0.014947, 0.014699, 0.014552", \
"0.017894, 0.017083, 0.016157, 0.015412, 0.014931, 0.014686, 0.01454", \
"0.017942, 0.01713, 0.016192, 0.015433, 0.014939, 0.014686, 0.01454", \
"0.018367, 0.017529, 0.016539, 0.015691, 0.015118, 0.014813, 0.014642", \
"0.019812, 0.018855, 0.017666, 0.016614, 0.015837, 0.015375, 0.015106");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.021916, 0.021073, 0.020093, 0.019281, 0.018753, 0.018474, 0.018316", \
"0.021911, 0.021069, 0.020081, 0.019263, 0.018752, 0.018469, 0.01831", \
"0.021896, 0.021052, 0.020066, 0.019247, 0.018735, 0.018455, 0.018297", \
"0.021884, 0.021039, 0.020054, 0.019239, 0.018717, 0.018439, 0.018281", \
"0.021908, 0.021064, 0.020069, 0.019241, 0.018715, 0.018435, 0.018275", \
"0.022284, 0.021428, 0.020402, 0.019515, 0.01891, 0.018576, 0.018383", \
"0.02374, 0.022814, 0.021711, 0.020602, 0.01976, 0.019229, 0.018899");
}
}
}
pin(S) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.553883;
function : "((A^B)^CI)";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.1935, 0.209081, 0.236598, 0.281077, 0.353814, 0.479362, 0.721543", \
"0.195969, 0.211681, 0.239404, 0.284039, 0.356642, 0.482016, 0.723958", \
"0.200919, 0.216632, 0.244138, 0.288695, 0.361366, 0.486905, 0.729158", \
"0.209561, 0.225136, 0.25266, 0.297494, 0.369924, 0.495539, 0.737575", \
"0.226361, 0.24192, 0.269442, 0.314232, 0.386845, 0.511987, 0.754088", \
"0.258908, 0.274349, 0.301587, 0.34587, 0.418338, 0.543968, 0.786228", \
"0.305047, 0.321249, 0.349878, 0.39672, 0.470987, 0.596904, 0.838901");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.064553, 0.076227, 0.098961, 0.140515, 0.220117, 0.383852, 0.723658", \
"0.064499, 0.076372, 0.09913, 0.141089, 0.22019, 0.381172, 0.731304", \
"0.064411, 0.076116, 0.098711, 0.140474, 0.219816, 0.382706, 0.726655", \
"0.064888, 0.075639, 0.098228, 0.141138, 0.220425, 0.380659, 0.730811", \
"0.064525, 0.075067, 0.098579, 0.141075, 0.219128, 0.38413, 0.72587", \
"0.067788, 0.077977, 0.100688, 0.141783, 0.221482, 0.38363, 0.726203", \
"0.080384, 0.091306, 0.114354, 0.154087, 0.22892, 0.389253, 0.731059");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.217047, 0.230978, 0.255376, 0.295116, 0.360127, 0.46823, 0.669669", \
"0.220268, 0.234189, 0.258578, 0.298402, 0.363312, 0.471508, 0.672394", \
"0.226361, 0.240465, 0.264881, 0.304575, 0.369505, 0.477865, 0.678916", \
"0.23652, 0.250513, 0.274978, 0.314606, 0.379737, 0.488041, 0.689176", \
"0.254559, 0.268439, 0.29299, 0.332574, 0.39757, 0.50581, 0.70672", \
"0.289574, 0.303376, 0.327464, 0.367051, 0.431401, 0.539786, 0.74077", \
"0.346298, 0.360775, 0.386113, 0.427625, 0.493882, 0.603373, 0.803948");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.069667, 0.07963, 0.100105, 0.135427, 0.204916, 0.338503, 0.623046", \
"0.069939, 0.079799, 0.100041, 0.136071, 0.204224, 0.338055, 0.626175", \
"0.06945, 0.079709, 0.099603, 0.136352, 0.204685, 0.339903, 0.625901", \
"0.069713, 0.078612, 0.099324, 0.136556, 0.203262, 0.339699, 0.624701", \
"0.069913, 0.080064, 0.098322, 0.136561, 0.203555, 0.339291, 0.62655", \
"0.071494, 0.080607, 0.099818, 0.136337, 0.204329, 0.336871, 0.618634", \
"0.085856, 0.095445, 0.114252, 0.150058, 0.213775, 0.343811, 0.624955");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.275843, 0.292771, 0.323512, 0.374424, 0.454788, 0.588879, 0.83486", \
"0.277617, 0.294692, 0.325305, 0.375289, 0.456481, 0.590261, 0.835465", \
"0.280317, 0.297617, 0.328546, 0.378611, 0.459406, 0.593091, 0.839373", \
"0.285518, 0.302612, 0.33321, 0.383303, 0.464574, 0.597882, 0.844282", \
"0.295543, 0.312712, 0.34351, 0.393996, 0.474367, 0.608465, 0.854531", \
"0.31861, 0.335695, 0.366393, 0.416102, 0.496551, 0.630143, 0.876459", \
"0.359605, 0.377141, 0.407949, 0.459789, 0.540946, 0.675356, 0.920965");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.089954, 0.099048, 0.125515, 0.17002, 0.247292, 0.404977, 0.744488", \
"0.089864, 0.101612, 0.124024, 0.16974, 0.250548, 0.407996, 0.748128", \
"0.088944, 0.101278, 0.125905, 0.167934, 0.248485, 0.406553, 0.739591", \
"0.089164, 0.100085, 0.124904, 0.167734, 0.250483, 0.407831, 0.741365", \
"0.088312, 0.099385, 0.123815, 0.169113, 0.246511, 0.406009, 0.73997", \
"0.087537, 0.09932, 0.123683, 0.168861, 0.2482, 0.407715, 0.741147", \
"0.100051, 0.112534, 0.133795, 0.177225, 0.255696, 0.411338, 0.748956");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.304387, 0.319958, 0.34751, 0.392265, 0.465375, 0.584065, 0.793169", \
"0.306874, 0.322416, 0.349944, 0.394846, 0.467852, 0.586609, 0.795271", \
"0.311258, 0.326671, 0.354478, 0.399753, 0.472359, 0.590711, 0.799939", \
"0.318618, 0.334096, 0.36155, 0.406548, 0.479329, 0.598173, 0.80725", \
"0.330858, 0.346266, 0.373956, 0.419233, 0.49185, 0.610366, 0.819322", \
"0.355279, 0.370924, 0.398464, 0.442893, 0.515848, 0.633986, 0.842851", \
"0.403071, 0.419467, 0.447542, 0.492449, 0.565637, 0.684387, 0.893586");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.09707, 0.108206, 0.129348, 0.168201, 0.238516, 0.373164, 0.650158", \
"0.097694, 0.109169, 0.130109, 0.165614, 0.239329, 0.371181, 0.649446", \
"0.097867, 0.107693, 0.129434, 0.167365, 0.238019, 0.372353, 0.645681", \
"0.097951, 0.109023, 0.129708, 0.166225, 0.237888, 0.37316, 0.649918", \
"0.096236, 0.107638, 0.12934, 0.167107, 0.236452, 0.372333, 0.649924", \
"0.0973, 0.107691, 0.127572, 0.168317, 0.239314, 0.370372, 0.642875", \
"0.109625, 0.117951, 0.138815, 0.175732, 0.244929, 0.375586, 0.651186");
}
}
timing() { /* MIN */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.464704, 0.477583, 0.49951, 0.536079, 0.600674, 0.720715, 0.957762", \
"0.467452, 0.480278, 0.502389, 0.538922, 0.603499, 0.723081, 0.959968", \
"0.472298, 0.485276, 0.50763, 0.543953, 0.608787, 0.728245, 0.964907", \
"0.481168, 0.493856, 0.516321, 0.552687, 0.617033, 0.736717, 0.974405", \
"0.498631, 0.511292, 0.533687, 0.570012, 0.634449, 0.754245, 0.991962", \
"0.534488, 0.547259, 0.569219, 0.605661, 0.670592, 0.789756, 1.02721", \
"0.603157, 0.61571, 0.637139, 0.672986, 0.736953, 0.855607, 1.09262");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.071541, 0.082662, 0.105621, 0.147636, 0.225141, 0.382819, 0.725461", \
"0.071065, 0.081615, 0.105951, 0.146794, 0.223702, 0.384541, 0.730206", \
"0.071071, 0.082741, 0.105804, 0.14655, 0.223418, 0.384658, 0.730229", \
"0.07145, 0.08201, 0.106005, 0.146969, 0.224839, 0.382457, 0.726704", \
"0.071292, 0.082724, 0.105634, 0.146941, 0.223859, 0.384286, 0.724897", \
"0.07116, 0.082563, 0.10608, 0.147857, 0.223272, 0.38317, 0.729899", \
"0.070811, 0.083465, 0.106652, 0.14815, 0.225143, 0.385165, 0.726976");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.444203, 0.45562, 0.475444, 0.507212, 0.564294, 0.666841, 0.864265", \
"0.446824, 0.458229, 0.478029, 0.509999, 0.566776, 0.669541, 0.867111", \
"0.451344, 0.462904, 0.48273, 0.514531, 0.571369, 0.674544, 0.872126", \
"0.459704, 0.471139, 0.490896, 0.522714, 0.579536, 0.682698, 0.880319", \
"0.475865, 0.487486, 0.506795, 0.538914, 0.595903, 0.698959, 0.896572", \
"0.507928, 0.51928, 0.538917, 0.570557, 0.627391, 0.731, 0.927906", \
"0.564781, 0.575777, 0.594586, 0.625783, 0.681487, 0.783878, 0.980769");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.07533, 0.08511, 0.105655, 0.14262, 0.208914, 0.341129, 0.626644", \
"0.075448, 0.08502, 0.10557, 0.142599, 0.208139, 0.341148, 0.625082", \
"0.075388, 0.085344, 0.105472, 0.141542, 0.208108, 0.338902, 0.618453", \
"0.074289, 0.085058, 0.105344, 0.141756, 0.207896, 0.339575, 0.619716", \
"0.074127, 0.084883, 0.105184, 0.140914, 0.208632, 0.339616, 0.620818", \
"0.075083, 0.085437, 0.105326, 0.14266, 0.208917, 0.338131, 0.617823", \
"0.075409, 0.084773, 0.105951, 0.141904, 0.208937, 0.340944, 0.625692");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.471907, 0.484799, 0.506774, 0.543298, 0.607181, 0.725843, 0.96335", \
"0.474973, 0.488086, 0.51054, 0.546527, 0.610298, 0.728668, 0.965377", \
"0.4809, 0.494001, 0.516429, 0.552416, 0.616218, 0.734635, 0.971364", \
"0.490937, 0.503852, 0.52618, 0.562461, 0.626447, 0.744836, 0.982414", \
"0.508961, 0.521789, 0.544082, 0.58046, 0.644198, 0.762735, 1.00001", \
"0.544055, 0.557046, 0.579269, 0.615413, 0.67918, 0.797465, 1.03478", \
"0.61252, 0.625251, 0.646598, 0.682799, 0.745479, 0.863256, 1.09993");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.072213, 0.082767, 0.106903, 0.147811, 0.225801, 0.386798, 0.726947", \
"0.071882, 0.082196, 0.106977, 0.148875, 0.225616, 0.386543, 0.730596", \
"0.071898, 0.083091, 0.106899, 0.149189, 0.226399, 0.385546, 0.730547", \
"0.07185, 0.082517, 0.106827, 0.149671, 0.226614, 0.382812, 0.728309", \
"0.071563, 0.082905, 0.106592, 0.150042, 0.226871, 0.385544, 0.726584", \
"0.071538, 0.083015, 0.106889, 0.149276, 0.226514, 0.383606, 0.730639", \
"0.072381, 0.083646, 0.108113, 0.150197, 0.227082, 0.386438, 0.728893");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.44558, 0.457313, 0.477372, 0.510133, 0.568821, 0.67436, 0.872767", \
"0.447594, 0.459526, 0.479552, 0.512711, 0.571512, 0.676466, 0.875518", \
"0.451837, 0.4637, 0.483717, 0.516741, 0.575432, 0.680428, 0.879606", \
"0.460034, 0.471703, 0.49191, 0.52503, 0.583434, 0.6885, 0.887417", \
"0.476332, 0.488308, 0.508312, 0.541431, 0.599846, 0.704923, 0.904023", \
"0.508746, 0.520381, 0.540752, 0.573371, 0.631623, 0.737081, 0.935215", \
"0.566274, 0.578128, 0.597253, 0.629394, 0.687283, 0.791502, 0.990187");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.078619, 0.088509, 0.108812, 0.147133, 0.212918, 0.345924, 0.629924", \
"0.078772, 0.08848, 0.108569, 0.145068, 0.213077, 0.345527, 0.626896", \
"0.078941, 0.088356, 0.10921, 0.146817, 0.21346, 0.346446, 0.62924", \
"0.077784, 0.087937, 0.109963, 0.146924, 0.213238, 0.346228, 0.629466", \
"0.07869, 0.088799, 0.110094, 0.146433, 0.213768, 0.346219, 0.629158", \
"0.079482, 0.089446, 0.108787, 0.146635, 0.212636, 0.34406, 0.62629", \
"0.080269, 0.090551, 0.111125, 0.147469, 0.214319, 0.346688, 0.625973");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.181588, 0.197008, 0.224179, 0.268538, 0.340405, 0.464909, 0.706528", \
"0.184471, 0.1999, 0.22705, 0.271418, 0.343162, 0.467656, 0.708865", \
"0.189656, 0.205111, 0.232328, 0.276614, 0.348543, 0.47305, 0.714573", \
"0.198688, 0.214308, 0.241493, 0.285474, 0.357182, 0.482339, 0.723403", \
"0.215938, 0.231284, 0.258587, 0.302684, 0.374179, 0.499213, 0.740714", \
"0.248141, 0.263578, 0.290628, 0.334124, 0.40582, 0.53094, 0.772556", \
"0.291095, 0.307167, 0.335745, 0.381689, 0.456393, 0.581788, 0.82286");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.061576, 0.072372, 0.096429, 0.13881, 0.217194, 0.382606, 0.721305", \
"0.061142, 0.072558, 0.096636, 0.138774, 0.216861, 0.382125, 0.727832", \
"0.062274, 0.073128, 0.095931, 0.138797, 0.217268, 0.3825, 0.723185", \
"0.061892, 0.073485, 0.096463, 0.137694, 0.219226, 0.380562, 0.726221", \
"0.061454, 0.073457, 0.096034, 0.138767, 0.217236, 0.37892, 0.729767", \
"0.066011, 0.076089, 0.099122, 0.139762, 0.219253, 0.378872, 0.729573", \
"0.078239, 0.089581, 0.11244, 0.152331, 0.228218, 0.386772, 0.731265");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.207791, 0.221593, 0.245774, 0.285208, 0.349788, 0.457626, 0.658188", \
"0.211246, 0.225035, 0.249467, 0.288931, 0.353176, 0.461091, 0.661705", \
"0.217772, 0.231571, 0.255752, 0.295196, 0.359788, 0.467647, 0.668272", \
"0.228207, 0.242044, 0.266209, 0.305604, 0.370277, 0.478197, 0.678769", \
"0.246412, 0.260139, 0.284457, 0.323761, 0.388367, 0.496246, 0.696886", \
"0.281407, 0.295095, 0.318956, 0.357978, 0.422166, 0.530103, 0.730807", \
"0.335814, 0.349684, 0.375582, 0.416371, 0.482943, 0.591828, 0.792584");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.067219, 0.077447, 0.098179, 0.133393, 0.203535, 0.335821, 0.621402", \
"0.06683, 0.077868, 0.097499, 0.134871, 0.202514, 0.335414, 0.620834", \
"0.067321, 0.077538, 0.098251, 0.133535, 0.203583, 0.335725, 0.620765", \
"0.068485, 0.07736, 0.097638, 0.134586, 0.20215, 0.338479, 0.625496", \
"0.068176, 0.078237, 0.096716, 0.134632, 0.20265, 0.335692, 0.62083", \
"0.070427, 0.078696, 0.098529, 0.134559, 0.202958, 0.336942, 0.61883", \
"0.084389, 0.093379, 0.113892, 0.147815, 0.213417, 0.344687, 0.627601");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.278209, 0.295364, 0.326478, 0.376969, 0.457985, 0.592521, 0.838814", \
"0.279903, 0.297247, 0.327828, 0.378086, 0.459819, 0.593445, 0.840446", \
"0.283228, 0.300402, 0.331623, 0.381883, 0.463114, 0.597298, 0.84411", \
"0.290327, 0.307321, 0.337969, 0.389272, 0.469942, 0.604417, 0.851056", \
"0.305827, 0.323052, 0.354286, 0.404622, 0.485685, 0.61959, 0.866755", \
"0.339056, 0.356317, 0.387229, 0.437671, 0.518442, 0.652365, 0.89875", \
"0.397645, 0.415106, 0.446569, 0.498099, 0.580158, 0.715668, 0.962219");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.088623, 0.101493, 0.1274, 0.169628, 0.250103, 0.405439, 0.749105", \
"0.090365, 0.101498, 0.126083, 0.170056, 0.252458, 0.410543, 0.742976", \
"0.089116, 0.102039, 0.12739, 0.168335, 0.249539, 0.406904, 0.74529", \
"0.090757, 0.101199, 0.125788, 0.171239, 0.249047, 0.405652, 0.746148", \
"0.088905, 0.101937, 0.127049, 0.168554, 0.251346, 0.410327, 0.741614", \
"0.089986, 0.100289, 0.125038, 0.170855, 0.252315, 0.410703, 0.749066", \
"0.101736, 0.113183, 0.135261, 0.178019, 0.259642, 0.414537, 0.752186");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.303197, 0.31868, 0.346349, 0.39137, 0.464467, 0.583129, 0.792268", \
"0.305292, 0.320868, 0.348577, 0.393476, 0.466621, 0.585214, 0.794738", \
"0.309662, 0.325242, 0.353185, 0.398072, 0.471024, 0.589813, 0.799299", \
"0.31741, 0.333085, 0.360574, 0.406217, 0.478877, 0.597449, 0.807113", \
"0.332999, 0.348666, 0.376457, 0.421612, 0.494618, 0.613518, 0.822586", \
"0.367557, 0.383106, 0.410678, 0.455594, 0.528516, 0.647242, 0.856575", \
"0.43441, 0.450182, 0.478373, 0.524366, 0.597139, 0.716478, 0.925701");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.098231, 0.109672, 0.129307, 0.167201, 0.240077, 0.370594, 0.644107", \
"0.099208, 0.108713, 0.128204, 0.168474, 0.239521, 0.372948, 0.646357", \
"0.098298, 0.108421, 0.129947, 0.168675, 0.237365, 0.373742, 0.65046", \
"0.09832, 0.108112, 0.130039, 0.168646, 0.237819, 0.373679, 0.650013", \
"0.098007, 0.108459, 0.130143, 0.16864, 0.23778, 0.372475, 0.650416", \
"0.098651, 0.10806, 0.130291, 0.167863, 0.239821, 0.373445, 0.65104", \
"0.109987, 0.118225, 0.141232, 0.178853, 0.245109, 0.375877, 0.653246");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.469549, 0.482297, 0.504575, 0.540685, 0.60545, 0.724887, 0.962605", \
"0.47147, 0.484284, 0.506509, 0.542838, 0.607365, 0.726906, 0.963875", \
"0.475657, 0.488233, 0.510493, 0.546856, 0.611457, 0.731247, 0.968899", \
"0.484672, 0.497188, 0.519615, 0.555924, 0.6204, 0.7402, 0.977959", \
"0.504885, 0.517444, 0.539858, 0.576168, 0.640622, 0.760901, 0.998092", \
"0.549348, 0.56216, 0.584508, 0.620594, 0.685261, 0.805151, 1.04234", \
"0.617903, 0.630973, 0.653399, 0.68921, 0.752189, 0.870674, 1.10798");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.071345, 0.082555, 0.106687, 0.14902, 0.226372, 0.384259, 0.729748", \
"0.071048, 0.082867, 0.10679, 0.148944, 0.225521, 0.386537, 0.728344", \
"0.070761, 0.082919, 0.107163, 0.148346, 0.226083, 0.382445, 0.726403", \
"0.070977, 0.082859, 0.106682, 0.149174, 0.226369, 0.384615, 0.725284", \
"0.071519, 0.082872, 0.106587, 0.147985, 0.226316, 0.386785, 0.7262", \
"0.071901, 0.082355, 0.106998, 0.148578, 0.226005, 0.386897, 0.726656", \
"0.072775, 0.084635, 0.108234, 0.148531, 0.227889, 0.387045, 0.726176");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.447035, 0.458612, 0.478641, 0.510777, 0.568361, 0.671536, 0.868763", \
"0.449791, 0.461322, 0.481265, 0.513754, 0.570924, 0.674512, 0.872223", \
"0.454335, 0.465953, 0.486161, 0.51835, 0.575558, 0.67896, 0.876832", \
"0.462303, 0.473868, 0.493601, 0.526204, 0.583364, 0.686903, 0.884757", \
"0.477919, 0.489333, 0.509373, 0.541548, 0.598978, 0.702401, 0.899925", \
"0.507945, 0.519365, 0.538925, 0.571249, 0.628292, 0.731783, 0.929633", \
"0.559682, 0.570693, 0.590156, 0.6211, 0.676818, 0.780065, 0.977289");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.077793, 0.087421, 0.107911, 0.143478, 0.21174, 0.343122, 0.627692", \
"0.077743, 0.087329, 0.107523, 0.143442, 0.209865, 0.339242, 0.623325", \
"0.07764, 0.086986, 0.10771, 0.144603, 0.208965, 0.342387, 0.622157", \
"0.076412, 0.086787, 0.10645, 0.144143, 0.208469, 0.340593, 0.619091", \
"0.076489, 0.086831, 0.107187, 0.143757, 0.210897, 0.340653, 0.62186", \
"0.077557, 0.087238, 0.107172, 0.144202, 0.209097, 0.341538, 0.621355", \
"0.077763, 0.08776, 0.108417, 0.143233, 0.209879, 0.34035, 0.620921");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.487333, 0.500371, 0.523295, 0.559821, 0.624098, 0.743301, 0.980505", \
"0.490341, 0.503374, 0.526338, 0.562889, 0.627338, 0.746187, 0.983965", \
"0.495896, 0.508895, 0.531942, 0.568372, 0.632842, 0.751632, 0.988768", \
"0.50488, 0.517956, 0.540931, 0.577474, 0.641804, 0.760938, 0.998173", \
"0.521451, 0.534618, 0.557381, 0.594292, 0.658573, 0.777399, 1.01451", \
"0.554735, 0.567779, 0.590245, 0.627255, 0.691489, 0.810714, 1.04806", \
"0.638418, 0.650625, 0.671776, 0.70756, 0.771182, 0.889975, 1.12692");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.07404, 0.085067, 0.108189, 0.149179, 0.226995, 0.387395, 0.731238", \
"0.0739, 0.085078, 0.108146, 0.150076, 0.22617, 0.38692, 0.730348", \
"0.074118, 0.085075, 0.108383, 0.148779, 0.226977, 0.386652, 0.731992", \
"0.07419, 0.085124, 0.108251, 0.150135, 0.226961, 0.387428, 0.731052", \
"0.07342, 0.085223, 0.10743, 0.149279, 0.22694, 0.386977, 0.731909", \
"0.073503, 0.085543, 0.108708, 0.149749, 0.226181, 0.387509, 0.726958", \
"0.075001, 0.086536, 0.11013, 0.151827, 0.228618, 0.387545, 0.728915");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.453711, 0.465541, 0.485791, 0.518716, 0.577252, 0.68294, 0.881226", \
"0.455658, 0.467396, 0.487513, 0.520617, 0.579065, 0.684767, 0.883066", \
"0.459739, 0.471268, 0.491428, 0.524217, 0.582931, 0.688609, 0.887582", \
"0.467784, 0.479362, 0.499525, 0.532324, 0.591126, 0.696905, 0.895566", \
"0.485747, 0.49747, 0.517696, 0.550353, 0.609196, 0.71487, 0.913628", \
"0.524992, 0.536866, 0.556845, 0.589642, 0.648155, 0.753824, 0.952656", \
"0.598764, 0.609635, 0.628441, 0.660118, 0.71766, 0.82227, 1.02068");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.077817, 0.088541, 0.108821, 0.145644, 0.21276, 0.344006, 0.62792", \
"0.078296, 0.088801, 0.11018, 0.146344, 0.213026, 0.344341, 0.627503", \
"0.078534, 0.088612, 0.108423, 0.147041, 0.212322, 0.344443, 0.625655", \
"0.078358, 0.088418, 0.1083, 0.146502, 0.212789, 0.343393, 0.620891", \
"0.078802, 0.088817, 0.108181, 0.146662, 0.212, 0.34272, 0.62414", \
"0.078948, 0.088869, 0.110082, 0.146475, 0.213092, 0.344586, 0.626406", \
"0.079023, 0.089037, 0.110876, 0.146877, 0.213845, 0.346219, 0.628792");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.181509, 0.197028, 0.224407, 0.268865, 0.341721, 0.467331, 0.709024", \
"0.184369, 0.199859, 0.227347, 0.271874, 0.344766, 0.470089, 0.711856", \
"0.189288, 0.204878, 0.232359, 0.276862, 0.349857, 0.475188, 0.716965", \
"0.197731, 0.213259, 0.240866, 0.285371, 0.357924, 0.483473, 0.724256", \
"0.214037, 0.229527, 0.256968, 0.301331, 0.373911, 0.499267, 0.740564", \
"0.24294, 0.25904, 0.28667, 0.331085, 0.403691, 0.529354, 0.770988", \
"0.278959, 0.295212, 0.324194, 0.371583, 0.447544, 0.576373, 0.81708");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.061199, 0.073267, 0.096743, 0.138903, 0.218896, 0.381276, 0.728706", \
"0.062112, 0.07429, 0.096832, 0.138622, 0.218825, 0.38366, 0.721266", \
"0.062426, 0.072995, 0.097024, 0.138238, 0.218932, 0.383478, 0.721238", \
"0.062377, 0.073703, 0.095977, 0.139086, 0.219325, 0.381272, 0.729416", \
"0.061505, 0.073215, 0.096669, 0.138822, 0.217915, 0.382574, 0.728722", \
"0.067639, 0.078123, 0.100659, 0.141307, 0.220127, 0.38419, 0.724926", \
"0.07876, 0.090431, 0.113982, 0.155678, 0.232394, 0.390942, 0.732676");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.211993, 0.226385, 0.251247, 0.291804, 0.357991, 0.468141, 0.669644", \
"0.215409, 0.229722, 0.254474, 0.295268, 0.361414, 0.471574, 0.673583", \
"0.221184, 0.235573, 0.26053, 0.301125, 0.367243, 0.477486, 0.679186", \
"0.230635, 0.244936, 0.269932, 0.310345, 0.376624, 0.486987, 0.688778", \
"0.247381, 0.261721, 0.286617, 0.327189, 0.393231, 0.503601, 0.705532", \
"0.280043, 0.294121, 0.318942, 0.359327, 0.42512, 0.53528, 0.736902", \
"0.327341, 0.341941, 0.368239, 0.410835, 0.479725, 0.592261, 0.794692");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.071143, 0.079986, 0.101555, 0.137064, 0.207306, 0.340508, 0.623274", \
"0.069744, 0.080445, 0.101237, 0.137907, 0.207084, 0.341182, 0.623613", \
"0.071119, 0.080033, 0.101602, 0.137622, 0.207233, 0.339138, 0.620679", \
"0.070926, 0.08089, 0.101162, 0.137463, 0.207381, 0.339604, 0.619696", \
"0.070822, 0.079911, 0.101027, 0.137989, 0.206265, 0.340752, 0.621954", \
"0.074382, 0.083057, 0.102124, 0.139483, 0.20762, 0.340307, 0.621295", \
"0.086368, 0.096604, 0.118147, 0.15295, 0.220637, 0.352133, 0.628176");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.281909, 0.299036, 0.329702, 0.379908, 0.461331, 0.595391, 0.841163", \
"0.283053, 0.300204, 0.33138, 0.381645, 0.462579, 0.596735, 0.843296", \
"0.285938, 0.303022, 0.333737, 0.38399, 0.465128, 0.599357, 0.845371", \
"0.29197, 0.309321, 0.340279, 0.390427, 0.47165, 0.605179, 0.851904", \
"0.307364, 0.324506, 0.355303, 0.405607, 0.486417, 0.620501, 0.866766", \
"0.344361, 0.361463, 0.391996, 0.441987, 0.522864, 0.655743, 0.902002", \
"0.415864, 0.432893, 0.463905, 0.514539, 0.595067, 0.729605, 0.975008");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.090263, 0.102064, 0.124672, 0.170508, 0.251493, 0.409437, 0.74923", \
"0.088629, 0.101445, 0.126535, 0.168434, 0.248557, 0.406477, 0.743228", \
"0.09024, 0.101855, 0.12427, 0.170203, 0.250187, 0.407328, 0.747693", \
"0.089018, 0.101357, 0.125941, 0.168377, 0.249499, 0.408209, 0.741114", \
"0.089312, 0.100632, 0.123686, 0.169477, 0.24942, 0.405546, 0.744245", \
"0.087956, 0.099275, 0.124318, 0.169336, 0.248013, 0.408156, 0.746751", \
"0.102786, 0.113522, 0.135635, 0.177132, 0.256894, 0.408997, 0.741308");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.300825, 0.31641, 0.343921, 0.388903, 0.462064, 0.580905, 0.789652", \
"0.301718, 0.3173, 0.345008, 0.38986, 0.462975, 0.581471, 0.790847", \
"0.304291, 0.319817, 0.347507, 0.392467, 0.465524, 0.584184, 0.793014", \
"0.310844, 0.326458, 0.354008, 0.398842, 0.471907, 0.590371, 0.79995", \
"0.327419, 0.342872, 0.370547, 0.415529, 0.488578, 0.607477, 0.815615", \
"0.367606, 0.383023, 0.41066, 0.455944, 0.528274, 0.646238, 0.855397", \
"0.452055, 0.467899, 0.495357, 0.540203, 0.612172, 0.730895, 0.939111");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.097446, 0.108642, 0.130382, 0.16607, 0.239473, 0.372026, 0.649594", \
"0.098935, 0.10839, 0.128081, 0.168247, 0.239295, 0.372442, 0.645738", \
"0.099165, 0.109375, 0.12877, 0.16714, 0.23966, 0.369415, 0.644628", \
"0.09709, 0.10818, 0.129384, 0.168496, 0.23762, 0.373507, 0.649218", \
"0.098421, 0.108968, 0.128804, 0.166208, 0.239743, 0.369046, 0.646714", \
"0.09805, 0.108449, 0.127518, 0.167259, 0.239272, 0.371998, 0.64443", \
"0.110884, 0.119534, 0.139233, 0.176283, 0.24499, 0.374494, 0.651212");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.46106, 0.473868, 0.495801, 0.532124, 0.595924, 0.714787, 0.951875", \
"0.46331, 0.476153, 0.498431, 0.534431, 0.598161, 0.716618, 0.95358", \
"0.467933, 0.480766, 0.50307, 0.539076, 0.602784, 0.721232, 0.958139", \
"0.477558, 0.490393, 0.512491, 0.548786, 0.61243, 0.731189, 0.968712", \
"0.498578, 0.511468, 0.533209, 0.56942, 0.633313, 0.75187, 0.989403", \
"0.542857, 0.555523, 0.577234, 0.613519, 0.67695, 0.795804, 1.03345", \
"0.627941, 0.640032, 0.661055, 0.696105, 0.758839, 0.876445, 1.11328");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.071725, 0.083076, 0.106016, 0.146301, 0.224097, 0.3856, 0.724009", \
"0.071354, 0.082222, 0.106113, 0.147293, 0.224616, 0.384488, 0.730832", \
"0.071745, 0.08237, 0.106184, 0.14739, 0.224617, 0.384425, 0.730946", \
"0.071812, 0.082471, 0.106225, 0.147287, 0.223759, 0.385523, 0.72352", \
"0.071728, 0.083015, 0.106075, 0.148314, 0.224581, 0.382987, 0.730605", \
"0.071592, 0.083296, 0.106222, 0.146567, 0.225857, 0.382716, 0.727761", \
"0.073194, 0.084525, 0.107396, 0.14772, 0.225978, 0.385169, 0.727869");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.437448, 0.448833, 0.468111, 0.500229, 0.557075, 0.660039, 0.857576", \
"0.439567, 0.451057, 0.470281, 0.502421, 0.559249, 0.662298, 0.859855", \
"0.444252, 0.455574, 0.474931, 0.506892, 0.564047, 0.666706, 0.864063", \
"0.453026, 0.464345, 0.4837, 0.515668, 0.572436, 0.675656, 0.873183", \
"0.471708, 0.483114, 0.502268, 0.53436, 0.591144, 0.694217, 0.891706", \
"0.509972, 0.521336, 0.540464, 0.572334, 0.629166, 0.73217, 0.929818", \
"0.57844, 0.588947, 0.607027, 0.637303, 0.692984, 0.795481, 0.992579");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.074329, 0.084285, 0.105101, 0.142888, 0.208107, 0.340205, 0.62197", \
"0.074711, 0.084247, 0.10544, 0.142751, 0.20836, 0.340874, 0.625323", \
"0.074258, 0.083849, 0.105154, 0.142956, 0.208286, 0.339859, 0.623026", \
"0.074325, 0.08482, 0.105127, 0.141578, 0.20838, 0.341343, 0.627096", \
"0.07514, 0.083908, 0.105782, 0.141735, 0.208541, 0.341035, 0.621784", \
"0.075167, 0.084708, 0.106087, 0.143014, 0.20867, 0.340832, 0.624995", \
"0.075987, 0.086046, 0.107468, 0.142561, 0.209561, 0.341507, 0.622937");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.473209, 0.48597, 0.508733, 0.545541, 0.609951, 0.72902, 0.96695", \
"0.475393, 0.48839, 0.511083, 0.54778, 0.612, 0.731147, 0.968399", \
"0.480024, 0.493008, 0.515873, 0.552343, 0.616547, 0.735693, 0.972964", \
"0.489573, 0.502533, 0.525478, 0.561869, 0.626273, 0.74497, 0.982648", \
"0.510517, 0.523446, 0.546183, 0.582712, 0.647034, 0.765968, 1.00382", \
"0.554532, 0.567708, 0.590082, 0.626688, 0.691062, 0.810115, 1.04753", \
"0.640963, 0.653189, 0.674663, 0.710533, 0.773608, 0.891641, 1.12835");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.07407, 0.084861, 0.10786, 0.150033, 0.22644, 0.386175, 0.729147", \
"0.074219, 0.085314, 0.107797, 0.150645, 0.226493, 0.387531, 0.731344", \
"0.074207, 0.085262, 0.108296, 0.150518, 0.226488, 0.387386, 0.731596", \
"0.074197, 0.085564, 0.108474, 0.150377, 0.226338, 0.386297, 0.732117", \
"0.074139, 0.085578, 0.107681, 0.14882, 0.227498, 0.384896, 0.732005", \
"0.073828, 0.085721, 0.108464, 0.150375, 0.226541, 0.387673, 0.729543", \
"0.074944, 0.0863, 0.109665, 0.152038, 0.228726, 0.385501, 0.732269");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.43843, 0.450041, 0.470222, 0.502139, 0.559531, 0.662935, 0.860679", \
"0.440733, 0.452232, 0.471966, 0.504365, 0.561527, 0.664858, 0.862289", \
"0.445149, 0.456605, 0.476589, 0.508783, 0.566023, 0.669355, 0.867237", \
"0.453939, 0.465374, 0.485247, 0.517577, 0.574709, 0.678353, 0.876182", \
"0.472522, 0.484169, 0.504116, 0.536056, 0.593437, 0.69641, 0.89477", \
"0.510632, 0.521891, 0.541746, 0.573652, 0.630824, 0.733856, 0.931607", \
"0.57899, 0.589408, 0.607302, 0.637991, 0.693832, 0.796373, 0.993064");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.075942, 0.086607, 0.107284, 0.142921, 0.210602, 0.340834, 0.62723", \
"0.076005, 0.08665, 0.106979, 0.144444, 0.210508, 0.341688, 0.627225", \
"0.077039, 0.086411, 0.107028, 0.144495, 0.209478, 0.342071, 0.627491", \
"0.077004, 0.086394, 0.106994, 0.144031, 0.209944, 0.342468, 0.627164", \
"0.075926, 0.086595, 0.107009, 0.144595, 0.210047, 0.341849, 0.626881", \
"0.077485, 0.0875, 0.107324, 0.144908, 0.210289, 0.341919, 0.626383", \
"0.078276, 0.087772, 0.108917, 0.143951, 0.211362, 0.34175, 0.624976");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.021274, 0.020443, 0.01947, 0.018669, 0.018177, 0.017918, 0.017766", \
"0.021259, 0.020431, 0.019455, 0.018651, 0.018166, 0.017903, 0.017752", \
"0.021238, 0.020406, 0.019432, 0.018632, 0.018145, 0.017881, 0.017732", \
"0.02122, 0.020387, 0.019414, 0.018621, 0.018121, 0.01786, 0.017712", \
"0.021214, 0.020377, 0.019403, 0.018606, 0.018099, 0.01784, 0.017693", \
"0.021479, 0.020647, 0.019653, 0.018804, 0.018253, 0.017953, 0.017779", \
"0.022536, 0.021636, 0.020531, 0.019557, 0.01883, 0.018405, 0.018148");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.018508, 0.017696, 0.016765, 0.016013, 0.015529, 0.015277, 0.015128", \
"0.018495, 0.017683, 0.016751, 0.016, 0.015514, 0.015262, 0.015112", \
"0.018477, 0.017665, 0.016731, 0.01598, 0.01549, 0.015239, 0.015091", \
"0.018458, 0.017645, 0.01671, 0.015958, 0.015465, 0.015215, 0.015068", \
"0.01847, 0.017655, 0.016717, 0.015957, 0.015464, 0.015205, 0.015057", \
"0.018779, 0.017942, 0.016961, 0.016125, 0.01557, 0.015287, 0.015127", \
"0.019819, 0.018903, 0.017792, 0.016822, 0.016127, 0.015728, 0.015497");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.021801, 0.020961, 0.019968, 0.019145, 0.018631, 0.018358, 0.018201", \
"0.021787, 0.020947, 0.019955, 0.019138, 0.018607, 0.018337, 0.018188", \
"0.021763, 0.020919, 0.019928, 0.019103, 0.018587, 0.018319, 0.018162", \
"0.021725, 0.02088, 0.019888, 0.019072, 0.018547, 0.018279, 0.018125", \
"0.021697, 0.020854, 0.019863, 0.019037, 0.01852, 0.01825, 0.018093", \
"0.021978, 0.02113, 0.020114, 0.019238, 0.018655, 0.018335, 0.018153", \
"0.023171, 0.022305, 0.021145, 0.020091, 0.019328, 0.018849, 0.018561");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.018387, 0.017577, 0.016643, 0.015884, 0.015389, 0.015131, 0.014974", \
"0.018372, 0.017558, 0.01663, 0.015867, 0.015376, 0.015118, 0.014962", \
"0.018346, 0.017532, 0.016597, 0.015838, 0.015338, 0.015086, 0.014934", \
"0.018306, 0.017493, 0.016557, 0.015793, 0.015305, 0.015055, 0.014902", \
"0.018294, 0.017483, 0.016544, 0.015772, 0.01527, 0.015017, 0.014866", \
"0.018622, 0.017792, 0.016805, 0.015954, 0.015388, 0.015096, 0.014928", \
"0.019813, 0.018885, 0.017765, 0.016727, 0.015984, 0.015561, 0.015311");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.021916, 0.021073, 0.020093, 0.019281, 0.018753, 0.018474, 0.018316", \
"0.021911, 0.021069, 0.020081, 0.019263, 0.018752, 0.018469, 0.01831", \
"0.021896, 0.021052, 0.020066, 0.019247, 0.018735, 0.018455, 0.018297", \
"0.021884, 0.021039, 0.020054, 0.019239, 0.018717, 0.018439, 0.018281", \
"0.021908, 0.021064, 0.020069, 0.019241, 0.018715, 0.018435, 0.018275", \
"0.022284, 0.021428, 0.020402, 0.019515, 0.01891, 0.018576, 0.018383", \
"0.02374, 0.022814, 0.021711, 0.020602, 0.01976, 0.019229, 0.018899");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.017908, 0.017099, 0.01618, 0.015434, 0.014955, 0.014707, 0.01456", \
"0.017906, 0.017097, 0.016179, 0.015433, 0.014953, 0.014705, 0.014558", \
"0.017902, 0.017093, 0.016171, 0.015426, 0.014947, 0.014699, 0.014552", \
"0.017894, 0.017083, 0.016157, 0.015412, 0.014931, 0.014686, 0.01454", \
"0.017942, 0.01713, 0.016192, 0.015433, 0.014939, 0.014686, 0.01454", \
"0.018367, 0.017529, 0.016539, 0.015691, 0.015118, 0.014813, 0.014642", \
"0.019812, 0.018855, 0.017666, 0.016614, 0.015837, 0.015375, 0.015106");
}
}
}
}

/* ---------------- *
* Design : ADDFHXL *
* ---------------- */
cell (ADDFHXL) {
cell_footprint : ADDFH;
area : 21.193200;
cell_leakage_power : 85.8324;
pin(A) {
direction : input;
capacitance : 0.00850483;
rise_capacitance : 0.00850317;
fall_capacitance : 0.00850483;
rise_capacitance_range ( 0.0084342, 0.00857214) ;
fall_capacitance_range ( 0.00843602, 0.00857364) ;
}
pin(B) {
direction : input;
capacitance : 0.00809837;
rise_capacitance : 0.00809837;
fall_capacitance : 0.00790105;
rise_capacitance_range ( 0.00797333, 0.0082234) ;
fall_capacitance_range ( 0.00757746, 0.00822464) ;
}
pin(CI) {
direction : input;
capacitance : 0.00575443;
rise_capacitance : 0.00575443;
fall_capacitance : 0.00573249;
rise_capacitance_range ( 0.00518273, 0.00632613) ;
fall_capacitance_range ( 0.00513704, 0.00632794) ;
}
pin(CO) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.113714;
function : "(((A B)+(B CI))+(CI A))";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.151088, 0.160616, 0.178018, 0.207092, 0.25478, 0.337991, 0.492399", \
"0.153397, 0.162846, 0.180171, 0.209161, 0.257142, 0.340201, 0.494596", \
"0.157733, 0.167219, 0.184507, 0.213446, 0.261424, 0.344506, 0.498842", \
"0.1655, 0.175008, 0.192356, 0.221347, 0.269336, 0.352425, 0.506455", \
"0.181611, 0.19124, 0.208489, 0.237096, 0.285036, 0.367984, 0.522272", \
"0.208382, 0.218222, 0.236066, 0.265648, 0.314115, 0.397727, 0.551803", \
"0.243567, 0.254149, 0.273454, 0.305094, 0.356643, 0.442608, 0.598288");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.04555, 0.052728, 0.067573, 0.095588, 0.146382, 0.248251, 0.462887", \
"0.045215, 0.053249, 0.067488, 0.095238, 0.146596, 0.249021, 0.462915", \
"0.045527, 0.053158, 0.067909, 0.095169, 0.147278, 0.249227, 0.462809", \
"0.0448, 0.052541, 0.067702, 0.095433, 0.147465, 0.249574, 0.460208", \
"0.045131, 0.052234, 0.068026, 0.094999, 0.146663, 0.249762, 0.458422", \
"0.05117, 0.058695, 0.07307, 0.099192, 0.150266, 0.250888, 0.458623", \
"0.060084, 0.068072, 0.083259, 0.1101, 0.159087, 0.258014, 0.466938");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.164111, 0.172787, 0.188684, 0.215203, 0.258474, 0.331522, 0.462298", \
"0.167005, 0.175655, 0.191477, 0.217901, 0.261607, 0.334638, 0.465077", \
"0.17259, 0.181266, 0.197244, 0.223662, 0.26697, 0.340683, 0.470819", \
"0.181235, 0.190169, 0.206083, 0.232742, 0.276299, 0.349327, 0.479914", \
"0.198699, 0.207377, 0.223377, 0.249855, 0.293308, 0.366708, 0.497035", \
"0.231953, 0.241044, 0.257205, 0.283865, 0.327586, 0.400772, 0.531255", \
"0.280023, 0.289714, 0.307093, 0.335956, 0.381826, 0.458639, 0.590961");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.046374, 0.05366, 0.06764, 0.092381, 0.137121, 0.222253, 0.398279", \
"0.046767, 0.053742, 0.067155, 0.092285, 0.137622, 0.223447, 0.399558", \
"0.046547, 0.053788, 0.06748, 0.092613, 0.137128, 0.2222, 0.400774", \
"0.045858, 0.053942, 0.066649, 0.092274, 0.136518, 0.223286, 0.396877", \
"0.046149, 0.053734, 0.06753, 0.092419, 0.137379, 0.222554, 0.399931", \
"0.0513, 0.058485, 0.07174, 0.096379, 0.139829, 0.223831, 0.399166", \
"0.063087, 0.070249, 0.083967, 0.109199, 0.152412, 0.234988, 0.405768");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.155347, 0.164883, 0.182681, 0.211856, 0.260116, 0.343467, 0.4983", \
"0.156912, 0.166495, 0.184241, 0.213458, 0.261757, 0.345016, 0.500039", \
"0.160509, 0.169909, 0.187812, 0.217005, 0.265148, 0.348387, 0.503208", \
"0.167772, 0.177417, 0.195041, 0.224362, 0.27238, 0.35562, 0.510625", \
"0.183945, 0.193447, 0.211014, 0.240053, 0.288032, 0.371017, 0.526079", \
"0.211637, 0.221507, 0.239466, 0.26928, 0.317747, 0.400985, 0.555906", \
"0.248403, 0.258823, 0.277998, 0.309728, 0.360953, 0.446719, 0.602621");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.047227, 0.054452, 0.069769, 0.096988, 0.148027, 0.250722, 0.463647", \
"0.047196, 0.054615, 0.069489, 0.096633, 0.14759, 0.249787, 0.463672", \
"0.04681, 0.054739, 0.070096, 0.095883, 0.148335, 0.250595, 0.464034", \
"0.046877, 0.054117, 0.069132, 0.095982, 0.147628, 0.250261, 0.460953", \
"0.045889, 0.054322, 0.070006, 0.095871, 0.14748, 0.249854, 0.462858", \
"0.052217, 0.059494, 0.074359, 0.100621, 0.15057, 0.251504, 0.4635", \
"0.060704, 0.06917, 0.084477, 0.11188, 0.161472, 0.258718, 0.467203");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.166104, 0.174844, 0.190771, 0.217399, 0.261122, 0.334298, 0.464786", \
"0.168385, 0.17732, 0.193258, 0.21976, 0.263535, 0.336534, 0.467087", \
"0.172981, 0.181793, 0.197876, 0.22438, 0.267974, 0.341026, 0.471713", \
"0.182159, 0.190749, 0.206671, 0.233036, 0.276498, 0.349505, 0.480302", \
"0.200246, 0.209076, 0.224675, 0.251113, 0.294459, 0.367431, 0.497916", \
"0.233108, 0.242004, 0.258101, 0.284441, 0.328122, 0.401327, 0.532102", \
"0.280207, 0.289785, 0.307157, 0.336084, 0.382744, 0.459035, 0.591413");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.047963, 0.055078, 0.069182, 0.092768, 0.138559, 0.223869, 0.400743", \
"0.048054, 0.055104, 0.068996, 0.092444, 0.138713, 0.223565, 0.401441", \
"0.047933, 0.055095, 0.068546, 0.092775, 0.137943, 0.223127, 0.401385", \
"0.04799, 0.054972, 0.069045, 0.092613, 0.138262, 0.223372, 0.401435", \
"0.047778, 0.054932, 0.067838, 0.092995, 0.138065, 0.223285, 0.400742", \
"0.052398, 0.059802, 0.072553, 0.096902, 0.140991, 0.225483, 0.401589", \
"0.06363, 0.070346, 0.084165, 0.109207, 0.152982, 0.235285, 0.406792");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.1469, 0.156549, 0.174101, 0.203416, 0.25175, 0.335232, 0.490061", \
"0.149113, 0.158671, 0.176366, 0.205636, 0.253897, 0.337508, 0.492346", \
"0.153031, 0.162659, 0.180274, 0.20961, 0.257846, 0.341432, 0.496282", \
"0.160434, 0.170131, 0.187634, 0.21685, 0.265157, 0.348708, 0.503584", \
"0.176409, 0.185962, 0.203322, 0.2323, 0.280495, 0.364029, 0.518875", \
"0.198035, 0.208388, 0.227146, 0.257715, 0.307647, 0.392094, 0.546649", \
"0.226852, 0.237731, 0.257293, 0.289735, 0.343058, 0.43173, 0.58958");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.044776, 0.052863, 0.068331, 0.094861, 0.147442, 0.249902, 0.459808", \
"0.044738, 0.053094, 0.067765, 0.094938, 0.147253, 0.248947, 0.462613", \
"0.044896, 0.052584, 0.067978, 0.094665, 0.147124, 0.248914, 0.462169", \
"0.04479, 0.052455, 0.067744, 0.095245, 0.147481, 0.249852, 0.462526", \
"0.044809, 0.052586, 0.068103, 0.095264, 0.146986, 0.249107, 0.461852", \
"0.051278, 0.05944, 0.074057, 0.100454, 0.150877, 0.251686, 0.459173", \
"0.058864, 0.067208, 0.083479, 0.11312, 0.161809, 0.260705, 0.464045");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.167446, 0.176365, 0.192748, 0.220006, 0.264819, 0.338212, 0.468763", \
"0.16999, 0.178903, 0.195302, 0.222541, 0.266862, 0.340139, 0.470591", \
"0.174676, 0.183696, 0.200108, 0.22685, 0.270579, 0.343685, 0.474351", \
"0.183353, 0.192417, 0.208741, 0.235859, 0.279475, 0.35253, 0.483061", \
"0.200743, 0.209717, 0.226014, 0.253073, 0.297841, 0.372446, 0.503854", \
"0.229924, 0.239189, 0.256514, 0.284333, 0.329787, 0.404936, 0.537205", \
"0.27048, 0.280203, 0.298161, 0.327892, 0.376867, 0.457065, 0.593966");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.047603, 0.054486, 0.069096, 0.093005, 0.137838, 0.223082, 0.401459", \
"0.047503, 0.054374, 0.069044, 0.092661, 0.138154, 0.223088, 0.399074", \
"0.04781, 0.054489, 0.069049, 0.092847, 0.137774, 0.222957, 0.401444", \
"0.047341, 0.054695, 0.068576, 0.092476, 0.138239, 0.223401, 0.401334", \
"0.047256, 0.055016, 0.068526, 0.093009, 0.137543, 0.222493, 0.400808", \
"0.053479, 0.05976, 0.073116, 0.096926, 0.140127, 0.22503, 0.400571", \
"0.062683, 0.070335, 0.085413, 0.11169, 0.154238, 0.235795, 0.40267");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.164867, 0.174632, 0.192251, 0.221554, 0.26984, 0.353213, 0.508059", \
"0.166631, 0.175995, 0.19377, 0.223082, 0.271397, 0.354754, 0.509583", \
"0.170317, 0.180003, 0.197674, 0.226945, 0.275264, 0.358585, 0.513258", \
"0.178384, 0.188067, 0.205691, 0.234851, 0.283093, 0.36632, 0.521228", \
"0.19766, 0.20711, 0.224569, 0.253725, 0.301743, 0.384888, 0.539737", \
"0.2339, 0.243819, 0.261783, 0.291348, 0.339506, 0.422721, 0.577181", \
"0.280325, 0.290755, 0.310044, 0.342134, 0.393467, 0.479844, 0.63557");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.047102, 0.055606, 0.069951, 0.096232, 0.148664, 0.250767, 0.463532", \
"0.047081, 0.054079, 0.07062, 0.096771, 0.148288, 0.250803, 0.462968", \
"0.04669, 0.055283, 0.07021, 0.097191, 0.148748, 0.250503, 0.462989", \
"0.046736, 0.054069, 0.069268, 0.096429, 0.147856, 0.250363, 0.463444", \
"0.046352, 0.053927, 0.069777, 0.096688, 0.147278, 0.249572, 0.462854", \
"0.051859, 0.059616, 0.074721, 0.102348, 0.153161, 0.253148, 0.462902", \
"0.06526, 0.071458, 0.087511, 0.113438, 0.165263, 0.263747, 0.471082");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.170202, 0.178901, 0.194907, 0.221519, 0.265117, 0.339506, 0.471724", \
"0.171757, 0.180486, 0.19654, 0.2231, 0.267361, 0.342053, 0.474247", \
"0.175575, 0.1844, 0.200405, 0.227338, 0.272212, 0.34675, 0.479151", \
"0.184502, 0.193212, 0.20939, 0.235965, 0.280888, 0.35542, 0.487683", \
"0.205698, 0.214361, 0.230251, 0.256757, 0.300139, 0.373625, 0.504833", \
"0.24954, 0.258634, 0.274611, 0.301044, 0.344513, 0.417317, 0.547611", \
"0.312295, 0.322093, 0.339628, 0.367984, 0.414262, 0.490269, 0.622358");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.047663, 0.054912, 0.069641, 0.094668, 0.140596, 0.226847, 0.404079", \
"0.047616, 0.054894, 0.06967, 0.094679, 0.140604, 0.226887, 0.404209", \
"0.047943, 0.055157, 0.069797, 0.094714, 0.140567, 0.226368, 0.40241", \
"0.047667, 0.055042, 0.069753, 0.094684, 0.140211, 0.226791, 0.404011", \
"0.047708, 0.055277, 0.068802, 0.094652, 0.140423, 0.226928, 0.403648", \
"0.054037, 0.061032, 0.075956, 0.100339, 0.144976, 0.229375, 0.405165", \
"0.067585, 0.07408, 0.089705, 0.111936, 0.158897, 0.243715, 0.412232");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.137763, 0.147315, 0.16488, 0.194165, 0.242419, 0.326022, 0.480755", \
"0.13977, 0.149334, 0.166882, 0.196221, 0.244422, 0.328017, 0.482757", \
"0.144012, 0.153644, 0.171173, 0.200301, 0.248621, 0.332786, 0.487055", \
"0.153133, 0.162652, 0.180194, 0.209363, 0.257775, 0.341299, 0.495746", \

"0.173366, 0.182768, 0.20012, 0.22896, 0.277109, 0.361327, 0.515468", \


"0.206116, 0.21575, 0.234227, 0.264112, 0.313042, 0.396782, 0.551375", \
"0.246556, 0.257325, 0.277345, 0.310146, 0.362368, 0.449699, 0.605599");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.044848, 0.052096, 0.067293, 0.095007, 0.146716, 0.249064, 0.458544", \
"0.044904, 0.052584, 0.067041, 0.094787, 0.146502, 0.249395, 0.45873", \
"0.044561, 0.05267, 0.067534, 0.094713, 0.147048, 0.248581, 0.459316", \
"0.044599, 0.052927, 0.067572, 0.094795, 0.14722, 0.248258, 0.462087", \
"0.044868, 0.052887, 0.068147, 0.095361, 0.146796, 0.249201, 0.459575", \
"0.052464, 0.059909, 0.074412, 0.10013, 0.150844, 0.251218, 0.461005", \
"0.06508, 0.072419, 0.087915, 0.113578, 0.163881, 0.260273, 0.465989");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.151503, 0.160622, 0.177285, 0.204725, 0.248873, 0.321909, 0.452391", \
"0.153436, 0.162541, 0.179211, 0.206605, 0.251107, 0.323799, 0.454489", \
"0.157794, 0.166924, 0.183576, 0.210878, 0.255321, 0.328399, 0.458798", \
"0.167393, 0.176497, 0.193135, 0.22043, 0.264917, 0.337874, 0.468301", \
"0.189929, 0.198996, 0.21539, 0.242471, 0.286575, 0.359457, 0.490049", \
"0.232619, 0.241912, 0.258792, 0.285954, 0.330526, 0.403694, 0.533913", \
"0.292877, 0.302808, 0.320994, 0.35086, 0.397222, 0.473569, 0.605498");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.04626, 0.053621, 0.067511, 0.092588, 0.136876, 0.222616, 0.400584", \
"0.046584, 0.053619, 0.067092, 0.092601, 0.136837, 0.222107, 0.401312", \
"0.046693, 0.053388, 0.067634, 0.091686, 0.137671, 0.223313, 0.397845", \
"0.045987, 0.053065, 0.067585, 0.091757, 0.137716, 0.223032, 0.400479", \
"0.04599, 0.053648, 0.067053, 0.092492, 0.137063, 0.223409, 0.396178", \
"0.053069, 0.060042, 0.073072, 0.096616, 0.140155, 0.224894, 0.401168", \
"0.068396, 0.075744, 0.089094, 0.112002, 0.156219, 0.236727, 0.403315");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.145902, 0.155433, 0.172801, 0.201865, 0.249961, 0.333266, 0.487156", \
"0.147937, 0.157471, 0.174871, 0.203939, 0.251635, 0.335222, 0.489164", \
"0.152268, 0.161709, 0.179212, 0.208039, 0.256045, 0.339057, 0.493456", \
"0.161279, 0.170647, 0.188241, 0.217014, 0.264909, 0.347929, 0.502401", \
"0.181605, 0.191018, 0.208243, 0.236825, 0.284402, 0.367915, 0.521822", \
"0.216361, 0.22615, 0.243882, 0.273557, 0.321762, 0.404915, 0.558666", \
"0.258859, 0.269078, 0.288423, 0.320719, 0.372063, 0.45829, 0.613711");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.045092, 0.053022, 0.068029, 0.095605, 0.14672, 0.250148, 0.460609", \
"0.045309, 0.053067, 0.067975, 0.09539, 0.146663, 0.250131, 0.459189", \
"0.044966, 0.053115, 0.067744, 0.095136, 0.147269, 0.249719, 0.462586", \
"0.044624, 0.05309, 0.067828, 0.095476, 0.147573, 0.250141, 0.462782", \
"0.045101, 0.05329, 0.068306, 0.095783, 0.147641, 0.249389, 0.460748", \
"0.053422, 0.06028, 0.075438, 0.101382, 0.151871, 0.251745, 0.463538", \
"0.066542, 0.074655, 0.08953, 0.116946, 0.16639, 0.2626, 0.467434");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.154437, 0.162993, 0.178992, 0.205541, 0.249683, 0.324332, 0.456534", \
"0.156357, 0.165081, 0.181023, 0.207454, 0.251545, 0.326234, 0.458673", \
"0.160695, 0.169469, 0.185229, 0.211727, 0.255733, 0.330516, 0.462707", \
"0.170466, 0.179125, 0.194892, 0.22135, 0.265275, 0.340051, 0.472244", \
"0.192897, 0.201408, 0.217038, 0.243515, 0.28715, 0.361796, 0.493978", \
"0.235867, 0.244588, 0.260778, 0.287098, 0.330995, 0.405674, 0.537789", \
"0.294757, 0.304609, 0.322199, 0.351171, 0.398779, 0.47687, 0.610934");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.04923, 0.056947, 0.070607, 0.095767, 0.140409, 0.227276, 0.404328", \
"0.049675, 0.056763, 0.070039, 0.095559, 0.140602, 0.227239, 0.403617", \
"0.049552, 0.056792, 0.069953, 0.095828, 0.141344, 0.227384, 0.404608", \
"0.049137, 0.056922, 0.070068, 0.095879, 0.141348, 0.227398, 0.404589", \
"0.049398, 0.056796, 0.070471, 0.096387, 0.141399, 0.227557, 0.403824", \
"0.056649, 0.063305, 0.076385, 0.100733, 0.144212, 0.22915, 0.402112", \
"0.073552, 0.080061, 0.094289, 0.116953, 0.160578, 0.242117, 0.407301");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.004235, 0.004194, 0.004148, 0.004119, 0.00411, 0.004112, 0.004114", \
"0.004221, 0.004179, 0.004134, 0.004106, 0.004096, 0.004098, 0.0041", \
"0.004204, 0.004162, 0.004117, 0.004088, 0.004078, 0.00408, 0.004081", \
"0.004183, 0.004141, 0.004095, 0.004067, 0.004056, 0.004058, 0.00406", \
"0.0042, 0.004157, 0.00411, 0.004074, 0.004058, 0.004059, 0.004061", \
"0.004346, 0.004294, 0.00423, 0.00418, 0.004152, 0.004143, 0.004141", \
"0.004773, 0.00471, 0.004635, 0.004556, 0.004502, 0.004474, 0.004457");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.006352, 0.00631, 0.00626, 0.006225, 0.006211, 0.006208, 0.006208", \
"0.00634, 0.006297, 0.006247, 0.006212, 0.006199, 0.006196, 0.006196", \
"0.006326, 0.006281, 0.006232, 0.006198, 0.006183, 0.00618, 0.00618", \
"0.006306, 0.006262, 0.006212, 0.006176, 0.006162, 0.006161, 0.006162", \
"0.00631, 0.006266, 0.006215, 0.006176, 0.006158, 0.006156, 0.006157", \
"0.006455, 0.006405, 0.006337, 0.006282, 0.00625, 0.006237, 0.006231", \
"0.006892, 0.006827, 0.00675, 0.006668, 0.006601, 0.006564, 0.006544");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.004078, 0.004036, 0.003991, 0.003962, 0.003953, 0.003954, 0.003954", \
"0.004061, 0.004019, 0.003975, 0.003943, 0.003934, 0.003936, 0.003936", \
"0.004036, 0.003994, 0.003949, 0.00392, 0.003911, 0.003913, 0.003913", \
"0.004, 0.003957, 0.003912, 0.00388, 0.00387, 0.003873, 0.003875", \
"0.003996, 0.003953, 0.003904, 0.003866, 0.003851, 0.003852, 0.003854", \
"0.004134, 0.00408, 0.004021, 0.003972, 0.003941, 0.003929, 0.003926", \
"0.004605, 0.004539, 0.004452, 0.004367, 0.004309, 0.004275, 0.004257");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.006745, 0.006698, 0.006646, 0.006606, 0.006591, 0.006589, 0.006588", \
"0.006728, 0.006681, 0.006629, 0.006589, 0.006574, 0.006572, 0.00657", \
"0.0067, 0.006654, 0.006602, 0.006563, 0.006547, 0.006545, 0.006543", \
"0.006665, 0.00662, 0.006566, 0.006528, 0.006512, 0.00651, 0.006509", \
"0.006649, 0.006603, 0.006549, 0.006506, 0.006486, 0.006482, 0.006481", \
"0.006789, 0.006733, 0.006664, 0.006612, 0.006573, 0.006556, 0.006548", \
"0.007253, 0.007187, 0.007104, 0.007011, 0.006945, 0.006898, 0.00687");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003738, 0.003698, 0.003656, 0.003631, 0.003623, 0.003624, 0.003625", \
"0.003732, 0.003693, 0.003651, 0.003625, 0.003617, 0.003619, 0.00362", \
"0.003723, 0.003683, 0.003641, 0.003615, 0.003607, 0.003608, 0.00361", \
"0.003711, 0.003671, 0.003629, 0.003601, 0.003592, 0.003593, 0.003596", \
"0.00374, 0.003698, 0.00365, 0.003613, 0.003597, 0.003596, 0.003598", \
"0.003929, 0.003877, 0.003812, 0.003753, 0.003718, 0.003703, 0.003698", \
"0.004444, 0.004384, 0.004298, 0.004206, 0.00414, 0.004099, 0.004076");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.006861, 0.006816, 0.006766, 0.006729, 0.006712, 0.006706, 0.006704", \
"0.006851, 0.006806, 0.006756, 0.006719, 0.006702, 0.006696, 0.006694", \
"0.006836, 0.006791, 0.00674, 0.006703, 0.006685, 0.006681, 0.006679", \
"0.00682, 0.006775, 0.006723, 0.006684, 0.006666, 0.006662, 0.006661", \
"0.006851, 0.006805, 0.006749, 0.006705, 0.006679, 0.00667, 0.006667", \
"0.007033, 0.006978, 0.006906, 0.00684, 0.006794, 0.006771, 0.00676", \
"0.007557, 0.007492, 0.007404, 0.007306, 0.007232, 0.007176, 0.007137");
}
}
}
pin(S) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.113086;
function : "((A^B)^CI)";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.12402, 0.132641, 0.148272, 0.174165, 0.217591, 0.295271, 0.446359", \
"0.126543, 0.135192, 0.150805, 0.176812, 0.220387, 0.297525, 0.449294", \
"0.131301, 0.139893, 0.155509, 0.18144, 0.225023, 0.302367, 0.454204", \
"0.139632, 0.148269, 0.163891, 0.18982, 0.233134, 0.310855, 0.462754", \
"0.155677, 0.164215, 0.179665, 0.205318, 0.248815, 0.326161, 0.478015", \
"0.178175, 0.187342, 0.203718, 0.230449, 0.275045, 0.352669, 0.504078", \
"0.20531, 0.215, 0.232551, 0.261745, 0.308509, 0.388758, 0.540908");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.037188, 0.044135, 0.058421, 0.083151, 0.134763, 0.236933, 0.456868", \
"0.036833, 0.043699, 0.058323, 0.084142, 0.133152, 0.238758, 0.451956", \
"0.037046, 0.044449, 0.058026, 0.084144, 0.133743, 0.238921, 0.453278", \
"0.037151, 0.044316, 0.058291, 0.08359, 0.134619, 0.237848, 0.457036", \
"0.037455, 0.044689, 0.058357, 0.083931, 0.133699, 0.238853, 0.451931", \
"0.043023, 0.050948, 0.064829, 0.088758, 0.137138, 0.239982, 0.456507", \
"0.051501, 0.058877, 0.073751, 0.099571, 0.147406, 0.244863, 0.459071");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.136347, 0.144082, 0.157991, 0.181247, 0.219709, 0.285939, 0.411547", \
"0.139482, 0.147186, 0.161098, 0.184275, 0.22299, 0.289321, 0.415307", \
"0.145448, 0.153095, 0.167022, 0.190344, 0.228749, 0.295135, 0.420925", \
"0.155201, 0.162877, 0.176867, 0.200037, 0.238475, 0.30489, 0.4307", \
"0.172907, 0.18057, 0.194278, 0.217411, 0.255779, 0.32205, 0.448005", \
"0.200162, 0.208191, 0.222611, 0.246711, 0.285922, 0.352643, 0.478818", \
"0.237028, 0.245838, 0.261623, 0.287407, 0.329586, 0.399616, 0.526727");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.036859, 0.04317, 0.055742, 0.078313, 0.121914, 0.207187, 0.390748", \
"0.036484, 0.042592, 0.055609, 0.078074, 0.121357, 0.207397, 0.386138", \
"0.036428, 0.04338, 0.055469, 0.078541, 0.121924, 0.206417, 0.389818", \
"0.036651, 0.043032, 0.055844, 0.078031, 0.12194, 0.206301, 0.389782", \
"0.036291, 0.042881, 0.055446, 0.078893, 0.120922, 0.208369, 0.390351", \
"0.042567, 0.049696, 0.061649, 0.083318, 0.125389, 0.209365, 0.38857", \
"0.05382, 0.060212, 0.072356, 0.095843, 0.136101, 0.218055, 0.394024");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.173358, 0.183037, 0.200877, 0.230287, 0.277814, 0.358809, 0.511903", \
"0.174878, 0.184261, 0.202023, 0.231684, 0.279274, 0.360055, 0.513028", \
"0.17713, 0.187045, 0.204762, 0.233832, 0.281804, 0.362816, 0.515447", \
"0.181586, 0.191347, 0.20898, 0.238538, 0.286344, 0.367137, 0.519617", \
"0.191654, 0.201308, 0.219081, 0.248227, 0.295728, 0.376692, 0.529754", \
"0.209922, 0.219931, 0.238036, 0.267641, 0.315977, 0.397391, 0.550105", \
"0.23779, 0.248273, 0.267265, 0.298549, 0.348907, 0.43275, 0.58654");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.048504, 0.05576, 0.071222, 0.097678, 0.146613, 0.248327, 0.459183", \
"0.048579, 0.05535, 0.07156, 0.097306, 0.147139, 0.248196, 0.462123", \
"0.048692, 0.056788, 0.071254, 0.097388, 0.147962, 0.246599, 0.46331", \
"0.048447, 0.055749, 0.071366, 0.097159, 0.147244, 0.247421, 0.463612", \
"0.047645, 0.05555, 0.070451, 0.097389, 0.146926, 0.248047, 0.461192", \
"0.053268, 0.06072, 0.074461, 0.100352, 0.150126, 0.24788, 0.463227", \
"0.059899, 0.067637, 0.082777, 0.110745, 0.158026, 0.254959, 0.464915");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.186187, 0.194982, 0.210957, 0.237369, 0.280693, 0.352462, 0.481305", \
"0.188342, 0.197219, 0.21317, 0.239601, 0.282908, 0.354661, 0.483533", \
"0.192486, 0.20128, 0.217236, 0.243743, 0.286992, 0.358479, 0.487871", \
"0.199181, 0.208154, 0.224023, 0.250555, 0.293826, 0.365142, 0.494621", \
"0.211608, 0.220518, 0.236324, 0.262745, 0.305912, 0.377598, 0.506428", \
"0.233628, 0.24284, 0.258996, 0.285525, 0.328785, 0.400755, 0.52981", \
"0.267471, 0.277236, 0.294469, 0.322794, 0.368818, 0.443637, 0.574428");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.048038, 0.055515, 0.068637, 0.093859, 0.136913, 0.221611, 0.39882", \
"0.049299, 0.055644, 0.068673, 0.093818, 0.136871, 0.221782, 0.399086", \
"0.048891, 0.055862, 0.069201, 0.09321, 0.137327, 0.222494, 0.400329", \
"0.048954, 0.055715, 0.06945, 0.093109, 0.137849, 0.222427, 0.40027", \
"0.047871, 0.055465, 0.069327, 0.092944, 0.136822, 0.220929, 0.397575", \
"0.053151, 0.059801, 0.07327, 0.096307, 0.138825, 0.223145, 0.39994", \
"0.06256, 0.068891, 0.08249, 0.106309, 0.150648, 0.232193, 0.401825");
}
}
timing() { /* MIN */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.274591, 0.282454, 0.296578, 0.320791, 0.362836, 0.438984, 0.588503", \
"0.277324, 0.285037, 0.299235, 0.323535, 0.365509, 0.441828, 0.59192", \
"0.282121, 0.289855, 0.304087, 0.328298, 0.370229, 0.446617, 0.596748", \
"0.290509, 0.298437, 0.312475, 0.33675, 0.378705, 0.455096, 0.605184", \
"0.307738, 0.315465, 0.329761, 0.353754, 0.395831, 0.472102, 0.622095", \
"0.341722, 0.349401, 0.363394, 0.387454, 0.42951, 0.505499, 0.654975", \
"0.397027, 0.404497, 0.418583, 0.442122, 0.483243, 0.55929, 0.708631");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.039569, 0.0465, 0.060277, 0.085121, 0.133875, 0.237926, 0.450294", \
"0.039681, 0.04615, 0.060436, 0.084943, 0.135038, 0.235956, 0.45389", \
"0.039724, 0.046402, 0.060456, 0.084941, 0.133775, 0.238041, 0.450283", \
"0.039564, 0.046923, 0.060614, 0.084942, 0.13388, 0.236739, 0.45328", \
"0.039737, 0.046301, 0.060039, 0.085448, 0.134981, 0.236885, 0.451782", \
"0.039719, 0.046989, 0.060499, 0.085678, 0.134973, 0.237872, 0.450385", \
"0.041658, 0.048863, 0.062431, 0.087214, 0.13503, 0.238655, 0.450413");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.261466, 0.268131, 0.280385, 0.301518, 0.338194, 0.403226, 0.527823", \
"0.263849, 0.270614, 0.282832, 0.303967, 0.340506, 0.405475, 0.530424", \
"0.268242, 0.274935, 0.287161, 0.308247, 0.345004, 0.40996, 0.534878", \
"0.275792, 0.282662, 0.294896, 0.31603, 0.352663, 0.417892, 0.542778", \
"0.291216, 0.297954, 0.310121, 0.331309, 0.368003, 0.43308, 0.558131", \
"0.318783, 0.325481, 0.337504, 0.358513, 0.395135, 0.460254, 0.58525", \
"0.360045, 0.366417, 0.378353, 0.399088, 0.435355, 0.500154, 0.624395");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.038038, 0.04515, 0.057778, 0.0806, 0.122544, 0.207158, 0.390936", \
"0.038704, 0.044599, 0.057631, 0.080041, 0.122706, 0.208035, 0.390709", \
"0.038123, 0.045187, 0.057413, 0.079814, 0.122293, 0.208239, 0.390597", \
"0.038341, 0.045124, 0.057516, 0.079825, 0.121652, 0.208568, 0.388554", \
"0.038242, 0.044486, 0.057845, 0.080097, 0.121929, 0.207985, 0.386055", \
"0.038778, 0.045701, 0.058375, 0.080741, 0.122103, 0.208329, 0.385399", \
"0.040343, 0.047226, 0.059809, 0.081895, 0.122961, 0.208212, 0.389491");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.283675, 0.291245, 0.305214, 0.328543, 0.36982, 0.445135, 0.595398", \
"0.286783, 0.294416, 0.308185, 0.331488, 0.372558, 0.448368, 0.598568", \
"0.292427, 0.30006, 0.313956, 0.337307, 0.378591, 0.453903, 0.6042", \
"0.302081, 0.309838, 0.323493, 0.346865, 0.388086, 0.463565, 0.613197", \
"0.319773, 0.327411, 0.341214, 0.364578, 0.405573, 0.481342, 0.631701", \
"0.352983, 0.360476, 0.374391, 0.397567, 0.438675, 0.5142, 0.664518", \
"0.407578, 0.415171, 0.428451, 0.451576, 0.492188, 0.567214, 0.717286");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.041033, 0.048349, 0.062355, 0.087144, 0.136209, 0.238254, 0.455817", \
"0.040755, 0.048278, 0.062333, 0.086953, 0.135924, 0.237113, 0.455869", \
"0.040751, 0.048277, 0.06218, 0.087797, 0.136975, 0.238299, 0.452209", \
"0.040982, 0.048476, 0.062436, 0.087709, 0.136902, 0.237492, 0.455745", \
"0.040587, 0.048247, 0.061717, 0.087322, 0.136463, 0.238816, 0.45502", \
"0.041339, 0.048511, 0.062922, 0.087382, 0.135709, 0.238355, 0.455888", \
"0.043115, 0.050227, 0.064182, 0.088849, 0.137602, 0.239539, 0.455789");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.262452, 0.269597, 0.282927, 0.30555, 0.344325, 0.411552, 0.537649", \
"0.264445, 0.271774, 0.284953, 0.307676, 0.346633, 0.413878, 0.53932", \
"0.268291, 0.27557, 0.288895, 0.311707, 0.350439, 0.417538, 0.543554", \
"0.276048, 0.283147, 0.296457, 0.319241, 0.358092, 0.425387, 0.551403", \
"0.291277, 0.298714, 0.31214, 0.334813, 0.373552, 0.440921, 0.566492", \
"0.319579, 0.326899, 0.340282, 0.362948, 0.401923, 0.468965, 0.594476", \
"0.36251, 0.369674, 0.3826, 0.404855, 0.443288, 0.51027, 0.636053");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.042662, 0.049899, 0.061878, 0.085532, 0.128112, 0.213211, 0.393136", \
"0.042824, 0.049742, 0.06224, 0.085075, 0.128494, 0.212264, 0.392216", \
"0.043518, 0.050538, 0.062745, 0.085517, 0.128838, 0.213269, 0.393466", \
"0.043856, 0.049918, 0.063441, 0.086223, 0.128833, 0.212849, 0.389606", \
"0.043315, 0.050989, 0.063348, 0.086033, 0.128621, 0.212205, 0.391357", \
"0.044853, 0.051851, 0.063969, 0.086548, 0.129317, 0.212408, 0.391908", \
"0.047061, 0.053266, 0.065864, 0.08766, 0.128907, 0.213927, 0.391087");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.113052, 0.121334, 0.136465, 0.161649, 0.204124, 0.28098, 0.432142", \
"0.115777, 0.124126, 0.139391, 0.164502, 0.206969, 0.28382, 0.434818", \
"0.120837, 0.129281, 0.144445, 0.169555, 0.212105, 0.288789, 0.439341", \
"0.129655, 0.138021, 0.153054, 0.178316, 0.220692, 0.29758, 0.448638", \
"0.14547, 0.153793, 0.168801, 0.193806, 0.236299, 0.31322, 0.46437", \
"0.165941, 0.17479, 0.190823, 0.217268, 0.261024, 0.338332, 0.489183", \
"0.188528, 0.198129, 0.215353, 0.244065, 0.290559, 0.369768, 0.521675");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.034519, 0.04157, 0.055696, 0.08053, 0.131875, 0.234908, 0.456348", \
"0.034834, 0.041772, 0.055429, 0.081108, 0.131665, 0.23404, 0.456054", \
"0.034787, 0.041831, 0.055632, 0.080862, 0.131118, 0.235953, 0.452374", \
"0.034277, 0.041652, 0.055032, 0.081336, 0.131663, 0.234458, 0.455975", \
"0.036075, 0.042673, 0.056342, 0.081729, 0.132185, 0.235173, 0.455991", \
"0.04155, 0.048751, 0.063008, 0.087386, 0.13569, 0.236735, 0.453161", \
"0.051606, 0.05797, 0.072979, 0.097506, 0.146288, 0.243313, 0.455363");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.127519, 0.135031, 0.148809, 0.171699, 0.209728, 0.275494, 0.401047", \
"0.130807, 0.138425, 0.152108, 0.175091, 0.213003, 0.278942, 0.404508", \
"0.136993, 0.144501, 0.158278, 0.181161, 0.219207, 0.284977, 0.410519", \
"0.147002, 0.154596, 0.168305, 0.191064, 0.229173, 0.294846, 0.42023", \
"0.164859, 0.172355, 0.185936, 0.208524, 0.246522, 0.312393, 0.438011", \
"0.190951, 0.199008, 0.213251, 0.237014, 0.276073, 0.342526, 0.468011", \
"0.224199, 0.233047, 0.248779, 0.274272, 0.316334, 0.385684, 0.513277");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.034945, 0.041542, 0.053286, 0.076834, 0.119302, 0.20692, 0.387906", \
"0.034906, 0.041221, 0.053938, 0.076491, 0.120327, 0.205578, 0.38621", \
"0.034899, 0.041606, 0.053337, 0.076877, 0.119133, 0.206952, 0.388457", \
"0.034814, 0.04149, 0.053447, 0.076321, 0.11977, 0.206154, 0.390005", \
"0.034984, 0.041663, 0.053864, 0.076599, 0.119966, 0.206754, 0.385005", \
"0.040644, 0.048296, 0.060582, 0.081872, 0.124262, 0.206851, 0.388043", \
"0.052732, 0.058662, 0.072059, 0.094052, 0.135974, 0.2176, 0.390953");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.17444, 0.1842, 0.201986, 0.231491, 0.279678, 0.361436, 0.515079", \
"0.175874, 0.185403, 0.203378, 0.233247, 0.281149, 0.362594, 0.516441", \
"0.178891, 0.188644, 0.206421, 0.235914, 0.284163, 0.365954, 0.519445", \
"0.185385, 0.195423, 0.213406, 0.242715, 0.291118, 0.372668, 0.525746", \
"0.200714, 0.210702, 0.228566, 0.258016, 0.306085, 0.38783, 0.541568", \
"0.228531, 0.238379, 0.257011, 0.287223, 0.335838, 0.418325, 0.572459", \
"0.270057, 0.280596, 0.299266, 0.331345, 0.38292, 0.467338, 0.623227");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.049356, 0.057261, 0.0715, 0.099211, 0.147868, 0.247142, 0.464948", \
"0.049437, 0.056478, 0.072458, 0.098679, 0.148109, 0.249863, 0.459382", \
"0.049198, 0.057228, 0.071695, 0.099148, 0.148474, 0.247588, 0.465317", \
"0.049046, 0.057684, 0.07264, 0.098418, 0.149669, 0.249087, 0.46465", \
"0.048546, 0.056785, 0.071864, 0.098604, 0.149162, 0.247521, 0.464912", \
"0.054261, 0.061225, 0.075968, 0.104179, 0.150991, 0.252201, 0.460191", \
"0.062404, 0.069985, 0.084293, 0.111541, 0.163087, 0.260516, 0.466245");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.183205, 0.191899, 0.208144, 0.234884, 0.278186, 0.350188, 0.479438", \
"0.185018, 0.194031, 0.210084, 0.236625, 0.280197, 0.352217, 0.481618", \
"0.189164, 0.198008, 0.214, 0.240684, 0.284185, 0.355936, 0.485857", \
"0.196666, 0.205581, 0.22165, 0.248163, 0.291753, 0.363705, 0.493377", \
"0.212575, 0.221394, 0.237556, 0.263997, 0.307501, 0.379568, 0.508866", \
"0.24394, 0.253031, 0.269463, 0.296032, 0.339699, 0.412307, 0.541722", \
"0.294102, 0.303732, 0.321035, 0.349071, 0.395459, 0.470644, 0.602614");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.048837, 0.056257, 0.07011, 0.094323, 0.137277, 0.221579, 0.398524", \
"0.049497, 0.056409, 0.069668, 0.094521, 0.138422, 0.221883, 0.397081", \
"0.049443, 0.056472, 0.070136, 0.093549, 0.138463, 0.223339, 0.401052", \
"0.049533, 0.055789, 0.069296, 0.094592, 0.137844, 0.222223, 0.396785", \
"0.048682, 0.055953, 0.069942, 0.093861, 0.138365, 0.222783, 0.400062", \
"0.054392, 0.060852, 0.075168, 0.097587, 0.140656, 0.223632, 0.399292", \
"0.064321, 0.07163, 0.085378, 0.109599, 0.151867, 0.235187, 0.408162");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.279222, 0.287028, 0.301099, 0.325301, 0.367258, 0.443757, 0.593805", \
"0.280802, 0.288634, 0.302711, 0.326797, 0.368935, 0.445204, 0.595151", \
"0.284451, 0.292394, 0.306467, 0.330685, 0.372635, 0.449095, 0.59924", \
"0.293188, 0.301016, 0.315257, 0.339432, 0.381409, 0.457817, 0.607925", \
"0.313899, 0.321669, 0.335677, 0.359915, 0.401883, 0.478334, 0.628458", \
"0.357703, 0.365462, 0.37947, 0.403674, 0.44562, 0.521913, 0.67171", \
"0.408995, 0.41673, 0.43058, 0.454065, 0.495088, 0.570278, 0.720637");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.041001, 0.048519, 0.062137, 0.087887, 0.137036, 0.237749, 0.453686", \
"0.041081, 0.048492, 0.061963, 0.086911, 0.135781, 0.239081, 0.45307", \
"0.041103, 0.048519, 0.062117, 0.087851, 0.136348, 0.237955, 0.452715", \
"0.041098, 0.048309, 0.061857, 0.087875, 0.136944, 0.23723, 0.453558", \
"0.041035, 0.048157, 0.062362, 0.087839, 0.137111, 0.238634, 0.450469", \
"0.041281, 0.048666, 0.06213, 0.08744, 0.137363, 0.236806, 0.454673", \
"0.043749, 0.050754, 0.064241, 0.08915, 0.137379, 0.239457, 0.455566");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.265565, 0.272559, 0.285172, 0.306495, 0.343596, 0.409058, 0.533911", \
"0.26796, 0.274906, 0.28742, 0.308767, 0.345944, 0.411481, 0.536754", \
"0.272067, 0.279088, 0.291569, 0.312927, 0.35008, 0.415608, 0.540912", \
"0.279296, 0.286224, 0.298698, 0.32016, 0.357216, 0.422688, 0.547657", \
"0.292943, 0.299861, 0.312301, 0.333743, 0.370932, 0.436397, 0.56167", \
"0.316073, 0.32298, 0.33541, 0.356609, 0.393461, 0.459294, 0.584448", \
"0.351954, 0.358578, 0.370361, 0.391092, 0.42755, 0.492366, 0.61694");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.040445, 0.046449, 0.059295, 0.082266, 0.124228, 0.208874, 0.388584", \
"0.040451, 0.046914, 0.059332, 0.082117, 0.124266, 0.207744, 0.390273", \
"0.040275, 0.046221, 0.059351, 0.082189, 0.124092, 0.208055, 0.389688", \
"0.04029, 0.046125, 0.059361, 0.082148, 0.124038, 0.208563, 0.391867", \
"0.040357, 0.046459, 0.05954, 0.082329, 0.123957, 0.207547, 0.390079", \
"0.040895, 0.04728, 0.060323, 0.081621, 0.124515, 0.210146, 0.386791", \
"0.042144, 0.048553, 0.060554, 0.083222, 0.124286, 0.208832, 0.389902");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.298937, 0.30698, 0.321276, 0.345283, 0.38711, 0.463281, 0.614064", \
"0.301603, 0.309646, 0.323949, 0.347954, 0.389774, 0.465965, 0.616776", \
"0.306403, 0.314507, 0.328879, 0.352839, 0.394739, 0.47071, 0.621218", \
"0.314905, 0.322959, 0.337345, 0.361341, 0.402984, 0.479293, 0.630079", \
"0.330994, 0.339013, 0.353129, 0.377255, 0.418867, 0.495317, 0.646247", \
"0.360355, 0.368328, 0.38255, 0.406126, 0.447906, 0.524225, 0.675075", \
"0.429204, 0.436397, 0.450019, 0.473396, 0.514761, 0.590464, 0.740047");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.0421, 0.048753, 0.063043, 0.088503, 0.137303, 0.240326, 0.454679", \
"0.042092, 0.048757, 0.063028, 0.088497, 0.137344, 0.240314, 0.453303", \
"0.041832, 0.048905, 0.062952, 0.08844, 0.137032, 0.239777, 0.456757", \
"0.041939, 0.049373, 0.062944, 0.088505, 0.137705, 0.240321, 0.454093", \
"0.041999, 0.049218, 0.063028, 0.088223, 0.137724, 0.239124, 0.455765", \
"0.042813, 0.04937, 0.06326, 0.088028, 0.137944, 0.239842, 0.455767", \
"0.043918, 0.05088, 0.064369, 0.089469, 0.137486, 0.240033, 0.455824");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.270527, 0.277704, 0.290933, 0.313617, 0.352454, 0.419805, 0.545969", \
"0.272062, 0.279409, 0.292529, 0.315175, 0.354, 0.421353, 0.547541", \
"0.275705, 0.282849, 0.296087, 0.318782, 0.357595, 0.424957, 0.551074", \
"0.283561, 0.290694, 0.303898, 0.326463, 0.365327, 0.43255, 0.558918", \
"0.301564, 0.308828, 0.321923, 0.344501, 0.383497, 0.450779, 0.57648", \
"0.337825, 0.34493, 0.358068, 0.380656, 0.419426, 0.486821, 0.612823", \
"0.392327, 0.398978, 0.411494, 0.433337, 0.471404, 0.537811, 0.663729");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.042676, 0.049244, 0.062123, 0.085381, 0.128121, 0.212976, 0.392654", \
"0.042459, 0.049234, 0.062694, 0.085417, 0.1277, 0.213343, 0.392325", \
"0.042392, 0.049551, 0.062269, 0.085461, 0.128197, 0.212904, 0.392356", \
"0.042385, 0.049105, 0.061837, 0.085639, 0.127719, 0.213031, 0.391995", \
"0.042055, 0.048985, 0.062695, 0.08561, 0.128373, 0.212438, 0.392612", \
"0.042845, 0.049936, 0.062322, 0.085516, 0.127758, 0.213406, 0.391745", \
"0.044943, 0.051967, 0.064258, 0.087213, 0.128572, 0.213165, 0.392294");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.111478, 0.120104, 0.135809, 0.161634, 0.205036, 0.28193, 0.432374", \
"0.114096, 0.122805, 0.138479, 0.164287, 0.20767, 0.2848, 0.435654", \
"0.11877, 0.127402, 0.143019, 0.168871, 0.212214, 0.289414, 0.439841", \
"0.12682, 0.135461, 0.150999, 0.176783, 0.220036, 0.297342, 0.447919", \
"0.140429, 0.149179, 0.164973, 0.190765, 0.234241, 0.311434, 0.4624", \
"0.154017, 0.163343, 0.180267, 0.208174, 0.254357, 0.333274, 0.484237", \
"0.16916, 0.179025, 0.196955, 0.226854, 0.275648, 0.358024, 0.511885");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.035313, 0.042857, 0.056119, 0.081925, 0.132132, 0.236916, 0.451563", \
"0.035451, 0.042029, 0.056055, 0.082043, 0.132476, 0.236318, 0.45518", \
"0.034908, 0.042525, 0.056582, 0.082246, 0.132838, 0.235188, 0.455515", \
"0.034998, 0.042058, 0.056232, 0.08253, 0.133139, 0.23456, 0.455579", \
"0.038098, 0.04535, 0.058517, 0.083334, 0.13365, 0.237286, 0.452318", \
"0.042265, 0.049619, 0.064533, 0.090636, 0.140248, 0.240317, 0.452677", \
"0.05124, 0.059528, 0.074191, 0.10199, 0.150405, 0.248907, 0.460946");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.129418, 0.137572, 0.152227, 0.176683, 0.216777, 0.284781, 0.41123", \
"0.132346, 0.140438, 0.155084, 0.179521, 0.219633, 0.287638, 0.414111", \
"0.137599, 0.145695, 0.160413, 0.184812, 0.22492, 0.292925, 0.419363", \
"0.146459, 0.15451, 0.16922, 0.193481, 0.233711, 0.30167, 0.427906", \
"0.162569, 0.17055, 0.184959, 0.209199, 0.249162, 0.317143, 0.443649", \
"0.182099, 0.19049, 0.206011, 0.231907, 0.274237, 0.343799, 0.470752", \
"0.209461, 0.21836, 0.234582, 0.261666, 0.306733, 0.381047, 0.512388");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.037262, 0.044001, 0.057037, 0.081116, 0.124382, 0.211254, 0.391665", \
"0.03744, 0.044056, 0.057015, 0.081138, 0.124323, 0.211274, 0.391726", \
"0.037016, 0.044486, 0.056969, 0.081257, 0.124209, 0.211382, 0.391934", \
"0.0369, 0.044255, 0.057288, 0.080934, 0.125197, 0.209532, 0.389408", \
"0.037606, 0.043954, 0.057393, 0.081566, 0.125245, 0.211421, 0.38856", \
"0.04306, 0.050156, 0.064519, 0.088511, 0.13188, 0.213773, 0.389128", \
"0.052836, 0.0599, 0.073974, 0.099483, 0.14409, 0.227411, 0.401502");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.176385, 0.186355, 0.204271, 0.233498, 0.281654, 0.363074, 0.516035", \
"0.177469, 0.187173, 0.204896, 0.234276, 0.282418, 0.364019, 0.517157", \
"0.179637, 0.189535, 0.207431, 0.236643, 0.284802, 0.366156, 0.519106", \
"0.185897, 0.195736, 0.213569, 0.242999, 0.290767, 0.371863, 0.525143", \
"0.20269, 0.212493, 0.230082, 0.259228, 0.306902, 0.387967, 0.540732", \
"0.237177, 0.246893, 0.265062, 0.294268, 0.342147, 0.423421, 0.576461", \
"0.285605, 0.295926, 0.314342, 0.345413, 0.395414, 0.479137, 0.633003");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.048993, 0.056877, 0.072209, 0.09786, 0.149013, 0.248619, 0.463685", \
"0.048886, 0.056714, 0.071379, 0.098366, 0.14795, 0.24722, 0.464524", \
"0.048631, 0.057044, 0.071774, 0.097742, 0.148562, 0.247604, 0.464325", \
"0.048825, 0.055962, 0.070958, 0.097297, 0.147352, 0.248509, 0.463354", \
"0.047715, 0.056317, 0.070221, 0.097692, 0.146969, 0.24755, 0.463914", \
"0.052447, 0.060231, 0.074608, 0.100853, 0.148976, 0.247998, 0.460436", \
"0.062838, 0.069947, 0.086214, 0.112257, 0.159154, 0.256696, 0.466119");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.178677, 0.187591, 0.203732, 0.230287, 0.273713, 0.345574, 0.474751", \
"0.179183, 0.188014, 0.203993, 0.230539, 0.274075, 0.34588, 0.475514", \
"0.181021, 0.189902, 0.205925, 0.232406, 0.275866, 0.347799, 0.476949", \
"0.187567, 0.196345, 0.21243, 0.238913, 0.282294, 0.353934, 0.483661", \
"0.206198, 0.215092, 0.230955, 0.257481, 0.300556, 0.372183, 0.501607", \
"0.246369, 0.255317, 0.27144, 0.297596, 0.340942, 0.412398, 0.541991", \
"0.308289, 0.317662, 0.334306, 0.361733, 0.406848, 0.481124, 0.612281");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.049199, 0.056194, 0.069563, 0.0943, 0.137483, 0.221036, 0.397997", \
"0.049139, 0.056177, 0.069876, 0.093618, 0.13823, 0.222301, 0.399597", \
"0.048922, 0.055677, 0.068881, 0.094154, 0.137133, 0.222393, 0.399844", \
"0.048988, 0.056343, 0.06844, 0.093829, 0.137278, 0.222833, 0.400598", \
"0.048901, 0.055679, 0.069361, 0.093562, 0.137373, 0.221851, 0.396496", \
"0.05357, 0.060652, 0.073764, 0.096583, 0.140132, 0.224224, 0.401115", \
"0.065453, 0.072167, 0.085048, 0.108153, 0.151967, 0.234825, 0.406872");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.272412, 0.280125, 0.29397, 0.317312, 0.358489, 0.434111, 0.584504", \
"0.274406, 0.282046, 0.295849, 0.319236, 0.360579, 0.435934, 0.586531", \
"0.278668, 0.286294, 0.300039, 0.323533, 0.36459, 0.440372, 0.590408", \
"0.287989, 0.295576, 0.309386, 0.332882, 0.373909, 0.449715, 0.600033", \
"0.308696, 0.316191, 0.330057, 0.353542, 0.394703, 0.470171, 0.619858", \
"0.349247, 0.356978, 0.3703, 0.393674, 0.434847, 0.510246, 0.660658", \
"0.415838, 0.422846, 0.435593, 0.457987, 0.498109, 0.573019, 0.722893");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.039182, 0.046349, 0.060095, 0.085561, 0.134727, 0.237596, 0.454968", \
"0.03979, 0.04644, 0.060643, 0.085186, 0.133949, 0.238397, 0.453688", \
"0.03992, 0.046538, 0.060788, 0.085568, 0.135106, 0.23599, 0.452793", \
"0.039265, 0.046613, 0.060848, 0.085531, 0.135152, 0.236769, 0.452936", \
"0.039578, 0.046903, 0.06025, 0.08592, 0.133971, 0.236952, 0.456089", \
"0.040756, 0.047899, 0.061382, 0.085905, 0.134915, 0.238463, 0.450229", \
"0.041057, 0.048197, 0.061802, 0.086407, 0.136062, 0.238504, 0.455899");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.255394, 0.261984, 0.274216, 0.295348, 0.332227, 0.397269, 0.522203", \
"0.257398, 0.264074, 0.276254, 0.297399, 0.334281, 0.399319, 0.524322", \
"0.26153, 0.268276, 0.28044, 0.301579, 0.338246, 0.403198, 0.528042", \
"0.270002, 0.276847, 0.288882, 0.310095, 0.346662, 0.411683, 0.536369", \
"0.287707, 0.294364, 0.306612, 0.327706, 0.364385, 0.42957, 0.554547", \
"0.319908, 0.326653, 0.338558, 0.359597, 0.396326, 0.461479, 0.586469", \
"0.367028, 0.373329, 0.385245, 0.405583, 0.441976, 0.506614, 0.631964");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.03842, 0.045213, 0.057622, 0.080313, 0.122094, 0.206379, 0.38845", \
"0.038009, 0.045237, 0.057619, 0.080423, 0.122107, 0.207387, 0.387194", \
"0.038707, 0.045228, 0.057619, 0.079711, 0.122525, 0.20792, 0.385994", \
"0.038474, 0.045035, 0.057795, 0.079388, 0.12273, 0.207444, 0.390262", \
"0.03878, 0.045233, 0.057245, 0.079545, 0.122583, 0.206682, 0.387949", \
"0.040044, 0.046393, 0.05814, 0.080427, 0.122187, 0.208849, 0.387819", \
"0.040179, 0.046629, 0.05859, 0.080732, 0.122199, 0.208456, 0.387857");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.283532, 0.291419, 0.305848, 0.329682, 0.371414, 0.447858, 0.598819", \
"0.285487, 0.293321, 0.307585, 0.331548, 0.373272, 0.449726, 0.600708", \
"0.28967, 0.297645, 0.311832, 0.335907, 0.377618, 0.453942, 0.604868", \
"0.298963, 0.306895, 0.32112, 0.345189, 0.386863, 0.463206, 0.614121", \
"0.319685, 0.327707, 0.341849, 0.365773, 0.407498, 0.48396, 0.634909", \
"0.360652, 0.368557, 0.382624, 0.406399, 0.448114, 0.52426, 0.674515", \
"0.427513, 0.434828, 0.448334, 0.471096, 0.511953, 0.588212, 0.738493");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.042225, 0.049303, 0.062776, 0.087305, 0.137734, 0.239244, 0.455358", \
"0.04169, 0.049305, 0.063219, 0.087298, 0.137774, 0.239022, 0.4562", \
"0.042089, 0.049092, 0.063187, 0.088617, 0.137702, 0.240233, 0.456439", \
"0.042239, 0.048991, 0.063261, 0.088549, 0.137748, 0.240212, 0.455485", \
"0.04225, 0.048848, 0.063387, 0.088145, 0.137883, 0.239185, 0.456137", \
"0.042608, 0.05025, 0.063511, 0.088401, 0.137189, 0.239359, 0.457603", \
"0.042659, 0.050011, 0.063693, 0.089583, 0.138299, 0.240321, 0.458717");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.256518, 0.263497, 0.275976, 0.297263, 0.334432, 0.400182, 0.525446", \
"0.258474, 0.26538, 0.277969, 0.299242, 0.336312, 0.401942, 0.527407", \
"0.262654, 0.269578, 0.282092, 0.303376, 0.340501, 0.406073, 0.531519", \
"0.270986, 0.277867, 0.290268, 0.311748, 0.348709, 0.414202, 0.539518", \
"0.288245, 0.295196, 0.30772, 0.329001, 0.366263, 0.431717, 0.557093", \
"0.319933, 0.326816, 0.338918, 0.360161, 0.397119, 0.462498, 0.587709", \
"0.369927, 0.375998, 0.387171, 0.407055, 0.442953, 0.507193, 0.632219");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.040512, 0.04648, 0.059452, 0.081642, 0.123435, 0.209961, 0.38874", \
"0.040077, 0.046776, 0.059428, 0.08178, 0.123409, 0.209928, 0.388628", \
"0.039996, 0.04609, 0.05928, 0.082088, 0.123554, 0.209403, 0.390674", \
"0.040172, 0.046053, 0.058961, 0.08084, 0.124216, 0.209457, 0.391795", \
"0.040395, 0.046817, 0.059086, 0.08221, 0.124032, 0.208079, 0.388714", \
"0.041384, 0.047522, 0.06048, 0.082549, 0.124922, 0.209431, 0.391931", \
"0.041363, 0.047855, 0.060084, 0.082959, 0.124246, 0.211022, 0.389902");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.006352, 0.00631, 0.00626, 0.006225, 0.006211, 0.006208, 0.006208", \
"0.00634, 0.006297, 0.006247, 0.006212, 0.006199, 0.006196, 0.006196", \
"0.006326, 0.006281, 0.006232, 0.006198, 0.006183, 0.00618, 0.00618", \
"0.006306, 0.006262, 0.006212, 0.006176, 0.006162, 0.006161, 0.006162", \
"0.00631, 0.006266, 0.006215, 0.006176, 0.006158, 0.006156, 0.006157", \
"0.006455, 0.006405, 0.006337, 0.006282, 0.00625, 0.006237, 0.006231", \
"0.006892, 0.006827, 0.00675, 0.006668, 0.006601, 0.006564, 0.006544");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.004235, 0.004194, 0.004148, 0.004119, 0.00411, 0.004112, 0.004114", \
"0.004221, 0.004179, 0.004134, 0.004106, 0.004096, 0.004098, 0.0041", \
"0.004204, 0.004162, 0.004117, 0.004088, 0.004078, 0.00408, 0.004081", \
"0.004183, 0.004141, 0.004095, 0.004067, 0.004056, 0.004058, 0.00406", \
"0.0042, 0.004157, 0.00411, 0.004074, 0.004058, 0.004059, 0.004061", \
"0.004346, 0.004294, 0.00423, 0.00418, 0.004152, 0.004143, 0.004141", \
"0.004773, 0.00471, 0.004635, 0.004556, 0.004502, 0.004474, 0.004457");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.006745, 0.006698, 0.006646, 0.006606, 0.006591, 0.006589, 0.006588", \
"0.006728, 0.006681, 0.006629, 0.006589, 0.006574, 0.006572, 0.00657", \
"0.0067, 0.006654, 0.006602, 0.006563, 0.006547, 0.006545, 0.006543", \
"0.006665, 0.00662, 0.006566, 0.006528, 0.006512, 0.00651, 0.006509", \
"0.006649, 0.006603, 0.006549, 0.006506, 0.006486, 0.006482, 0.006481", \
"0.006789, 0.006733, 0.006664, 0.006612, 0.006573, 0.006556, 0.006548", \
"0.007253, 0.007187, 0.007104, 0.007011, 0.006945, 0.006898, 0.00687");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.004078, 0.004036, 0.003991, 0.003962, 0.003953, 0.003954, 0.003954", \
"0.004061, 0.004019, 0.003975, 0.003943, 0.003934, 0.003936, 0.003936", \
"0.004036, 0.003994, 0.003949, 0.00392, 0.003911, 0.003913, 0.003913", \
"0.004, 0.003957, 0.003912, 0.00388, 0.00387, 0.003873, 0.003875", \
"0.003996, 0.003953, 0.003904, 0.003866, 0.003851, 0.003852, 0.003854", \
"0.004134, 0.00408, 0.004021, 0.003972, 0.003941, 0.003929, 0.003926", \
"0.004605, 0.004539, 0.004452, 0.004367, 0.004309, 0.004275, 0.004257");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.006861, 0.006816, 0.006766, 0.006729, 0.006712, 0.006706, 0.006704", \
"0.006851, 0.006806, 0.006756, 0.006719, 0.006702, 0.006696, 0.006694", \
"0.006836, 0.006791, 0.00674, 0.006703, 0.006685, 0.006681, 0.006679", \
"0.00682, 0.006775, 0.006723, 0.006684, 0.006666, 0.006662, 0.006661", \
"0.006851, 0.006805, 0.006749, 0.006705, 0.006679, 0.00667, 0.006667", \
"0.007033, 0.006978, 0.006906, 0.00684, 0.006794, 0.006771, 0.00676", \
"0.007557, 0.007492, 0.007404, 0.007306, 0.007232, 0.007176, 0.007137");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003738, 0.003698, 0.003656, 0.003631, 0.003623, 0.003624, 0.003625", \
"0.003732, 0.003693, 0.003651, 0.003625, 0.003617, 0.003619, 0.00362", \
"0.003723, 0.003683, 0.003641, 0.003615, 0.003607, 0.003608, 0.00361", \
"0.003711, 0.003671, 0.003629, 0.003601, 0.003592, 0.003593, 0.003596", \
"0.00374, 0.003698, 0.00365, 0.003613, 0.003597, 0.003596, 0.003598", \
"0.003929, 0.003877, 0.003812, 0.003753, 0.003718, 0.003703, 0.003698", \
"0.004444, 0.004384, 0.004298, 0.004206, 0.00414, 0.004099, 0.004076");
}
}
}
}

/* --------------- *
* Design : ADDFX1 *
* --------------- */
cell (ADDFX1) {
cell_footprint : ADDF;
area : 19.679400;
cell_leakage_power : 84.4762;
pin(A) {
direction : input;
capacitance : 0.00655255;
rise_capacitance : 0.00655249;
fall_capacitance : 0.00655255;
rise_capacitance_range ( 0.00644827, 0.0066567) ;
fall_capacitance_range ( 0.0064478, 0.00665731) ;
}
pin(B) {
direction : input;
capacitance : 0.00615699;
rise_capacitance : 0.00615699;
fall_capacitance : 0.00601882;
rise_capacitance_range ( 0.00606815, 0.00624582) ;
fall_capacitance_range ( 0.00579079, 0.00624685) ;
}
pin(CI) {
direction : input;
capacitance : 0.00446548;
rise_capacitance : 0.00446548;
fall_capacitance : 0.00445501;
rise_capacitance_range ( 0.00406688, 0.00486409) ;
fall_capacitance_range ( 0.00404411, 0.00486591) ;
}
pin(CO) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.143084;
function : "(((A B)+(B CI))+(CI A))";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.17845, 0.193424, 0.220161, 0.263731, 0.335637, 0.462488, 0.704627", \
"0.180616, 0.195889, 0.222437, 0.265972, 0.337527, 0.464813, 0.70672", \
"0.184905, 0.199985, 0.226626, 0.269995, 0.341895, 0.469087, 0.710921", \
"0.192305, 0.2076, 0.234262, 0.277557, 0.349295, 0.476602, 0.718594", \
"0.208067, 0.222985, 0.249618, 0.293016, 0.364626, 0.491857, 0.733351", \
"0.23667, 0.251868, 0.278715, 0.322083, 0.394475, 0.521447, 0.763436", \
"0.274262, 0.290309, 0.318719, 0.36544, 0.440339, 0.569343, 0.811554");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.056162, 0.068641, 0.091102, 0.134304, 0.215919, 0.378228, 0.719066", \
"0.056396, 0.068889, 0.091589, 0.133666, 0.215299, 0.378806, 0.719613", \
"0.056034, 0.067754, 0.091426, 0.133332, 0.214669, 0.378529, 0.722966", \
"0.055971, 0.068775, 0.091492, 0.133496, 0.215506, 0.379649, 0.721564", \
"0.055126, 0.068223, 0.091679, 0.133396, 0.214806, 0.380243, 0.717431", \
"0.060186, 0.072543, 0.094754, 0.135396, 0.217345, 0.379672, 0.721435", \
"0.0718, 0.083688, 0.106832, 0.148256, 0.225418, 0.384049, 0.724097");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.199392, 0.21305, 0.237375, 0.27705, 0.341868, 0.45167, 0.652939", \
"0.202224, 0.216094, 0.240335, 0.280009, 0.344831, 0.454575, 0.655961", \
"0.207857, 0.221568, 0.245874, 0.285575, 0.350369, 0.460284, 0.661332", \
"0.217511, 0.231189, 0.255512, 0.295169, 0.360367, 0.469902, 0.670937", \
"0.235337, 0.249183, 0.273437, 0.312953, 0.377959, 0.487647, 0.688639", \
"0.271047, 0.284801, 0.308729, 0.347989, 0.412863, 0.522602, 0.723976", \
"0.325951, 0.34062, 0.366584, 0.408864, 0.476152, 0.587728, 0.789022");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.060253, 0.071313, 0.092438, 0.12929, 0.199071, 0.334758, 0.613117", \
"0.060238, 0.071364, 0.092568, 0.129748, 0.199105, 0.334588, 0.615661", \
"0.060488, 0.071894, 0.09163, 0.130417, 0.199494, 0.334607, 0.614806", \
"0.060272, 0.071178, 0.091183, 0.130578, 0.199394, 0.333702, 0.613581", \
"0.060347, 0.070236, 0.092482, 0.130191, 0.199181, 0.333535, 0.613501", \
"0.063971, 0.074045, 0.094002, 0.131306, 0.199689, 0.335051, 0.619086", \
"0.0753, 0.085758, 0.107563, 0.144166, 0.211412, 0.341783, 0.620508");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.181763, 0.197129, 0.224233, 0.267978, 0.340364, 0.467413, 0.709623", \
"0.183477, 0.198811, 0.225605, 0.269264, 0.341466, 0.468815, 0.711511", \
"0.186873, 0.201957, 0.228755, 0.272622, 0.344646, 0.472072, 0.714741", \
"0.19395, 0.209177, 0.23605, 0.279713, 0.351872, 0.4791, 0.721566", \
"0.209458, 0.224445, 0.251362, 0.294768, 0.366711, 0.494057, 0.736545", \
"0.238282, 0.253738, 0.28055, 0.324183, 0.396721, 0.523643, 0.765777", \
"0.277648, 0.293836, 0.32226, 0.368394, 0.443319, 0.572172, 0.814779");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.057228, 0.070699, 0.093301, 0.134808, 0.216841, 0.381234, 0.723151", \
"0.058078, 0.070643, 0.092662, 0.134963, 0.215752, 0.379958, 0.726627", \
"0.057158, 0.070084, 0.092665, 0.13524, 0.216085, 0.379892, 0.725607", \
"0.057495, 0.069176, 0.092549, 0.13406, 0.216014, 0.380625, 0.723597", \
"0.056706, 0.06913, 0.09363, 0.134998, 0.214932, 0.380594, 0.71898", \
"0.061455, 0.073482, 0.096159, 0.135756, 0.217663, 0.381109, 0.723119", \
"0.071839, 0.083979, 0.107091, 0.149305, 0.226937, 0.384308, 0.727794");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.203064, 0.216886, 0.241423, 0.281143, 0.346454, 0.456374, 0.657295", \
"0.205355, 0.219152, 0.243972, 0.283621, 0.348539, 0.458609, 0.659928", \
"0.209754, 0.223699, 0.24848, 0.288068, 0.352988, 0.462997, 0.664476", \
"0.218044, 0.23202, 0.256382, 0.296342, 0.361202, 0.471051, 0.672614", \
"0.235417, 0.249278, 0.273945, 0.313319, 0.378424, 0.488379, 0.689321", \
"0.271221, 0.285021, 0.309216, 0.348687, 0.413475, 0.523367, 0.724921", \
"0.326984, 0.341799, 0.36781, 0.409756, 0.477042, 0.588573, 0.790194");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.061904, 0.073296, 0.092716, 0.131236, 0.200086, 0.335049, 0.61767", \
"0.061673, 0.072809, 0.093982, 0.13049, 0.199897, 0.33473, 0.618736", \
"0.061507, 0.072843, 0.093734, 0.130569, 0.199825, 0.335551, 0.618972", \
"0.061584, 0.072759, 0.093964, 0.130602, 0.200388, 0.334447, 0.617908", \
"0.060882, 0.071651, 0.093619, 0.130232, 0.200075, 0.335011, 0.61478", \
"0.065427, 0.075066, 0.094691, 0.13204, 0.200506, 0.335428, 0.620888", \
"0.076026, 0.087461, 0.108278, 0.145337, 0.211625, 0.341814, 0.622746");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.174058, 0.189217, 0.21613, 0.259822, 0.3322, 0.459985, 0.7021", \
"0.176366, 0.191445, 0.218439, 0.262201, 0.334635, 0.462099, 0.704485", \
"0.180289, 0.195423, 0.222341, 0.26607, 0.339012, 0.466596, 0.707903", \
"0.187583, 0.202705, 0.22948, 0.273407, 0.345907, 0.473216, 0.715676", \
"0.20297, 0.217923, 0.244817, 0.288245, 0.360458, 0.488371, 0.730435", \
"0.228512, 0.244178, 0.271737, 0.315845, 0.388759, 0.516503, 0.758608", \
"0.259563, 0.275705, 0.305287, 0.352545, 0.42997, 0.561347, 0.803232");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.056019, 0.068058, 0.091435, 0.134372, 0.214765, 0.38033, 0.71822", \
"0.055311, 0.068244, 0.091644, 0.133382, 0.216405, 0.378356, 0.718138", \
"0.05591, 0.068324, 0.091817, 0.133774, 0.215917, 0.379817, 0.718333", \
"0.055491, 0.067853, 0.091266, 0.134198, 0.216446, 0.378352, 0.724573", \
"0.055281, 0.067833, 0.09162, 0.134468, 0.215563, 0.378357, 0.723079", \
"0.061257, 0.073045, 0.095533, 0.136273, 0.217847, 0.380833, 0.723123", \
"0.07079, 0.083165, 0.107686, 0.150803, 0.227554, 0.386318, 0.722775");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.202479, 0.216709, 0.241672, 0.282255, 0.348407, 0.459929, 0.661433", \
"0.205187, 0.21943, 0.244348, 0.284947, 0.35121, 0.461523, 0.663219", \
"0.210231, 0.224428, 0.249311, 0.289946, 0.355451, 0.465475, 0.667078", \
"0.218935, 0.233112, 0.257981, 0.298651, 0.364244, 0.474068, 0.675779", \
"0.236173, 0.250171, 0.275052, 0.315533, 0.381696, 0.493327, 0.69604", \
"0.270224, 0.284368, 0.309018, 0.349488, 0.415593, 0.527063, 0.729894", \
"0.318102, 0.333031, 0.359634, 0.402809, 0.473417, 0.588986, 0.792198");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.062082, 0.072369, 0.093172, 0.130487, 0.20035, 0.334169, 0.614745", \
"0.061691, 0.072635, 0.093483, 0.130916, 0.199864, 0.334299, 0.620666", \
"0.061404, 0.072575, 0.093532, 0.130323, 0.199389, 0.334906, 0.620133", \
"0.06151, 0.072604, 0.093831, 0.130023, 0.200234, 0.332994, 0.620097", \
"0.061118, 0.072434, 0.093264, 0.130862, 0.199861, 0.333566, 0.619118", \
"0.063778, 0.073636, 0.094281, 0.131614, 0.201113, 0.333997, 0.619168", \
"0.075696, 0.087876, 0.110469, 0.146815, 0.211993, 0.34197, 0.620973");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.191422, 0.20658, 0.233512, 0.277364, 0.349523, 0.476989, 0.718925", \
"0.193021, 0.208421, 0.235277, 0.278971, 0.351314, 0.478551, 0.720662", \
"0.196613, 0.21174, 0.238973, 0.282655, 0.354718, 0.482001, 0.724729", \
"0.204753, 0.219837, 0.246676, 0.290388, 0.362616, 0.489987, 0.732527", \
"0.223071, 0.238444, 0.265065, 0.308693, 0.380507, 0.507806, 0.750365", \
"0.2615, 0.276629, 0.30344, 0.3466, 0.418626, 0.545746, 0.787642", \
"0.312362, 0.328239, 0.357358, 0.40346, 0.478613, 0.607106, 0.849119");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.057945, 0.069763, 0.092388, 0.134774, 0.216712, 0.381235, 0.725256", \
"0.058058, 0.070822, 0.092757, 0.134437, 0.216516, 0.381247, 0.72537", \
"0.057249, 0.069888, 0.094275, 0.134126, 0.216202, 0.380706, 0.726088", \
"0.057075, 0.069952, 0.092672, 0.135075, 0.216452, 0.379516, 0.724939", \
"0.057439, 0.069308, 0.093198, 0.134972, 0.215982, 0.379835, 0.724881", \
"0.062233, 0.073511, 0.096466, 0.138667, 0.218159, 0.380924, 0.724375", \
"0.076437, 0.086481, 0.112331, 0.152068, 0.230733, 0.390408, 0.726308");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.206658, 0.220608, 0.245074, 0.284809, 0.350004, 0.460051, 0.662552", \
"0.208456, 0.2223, 0.246672, 0.286744, 0.351568, 0.463523, 0.665537", \
"0.212113, 0.226196, 0.250896, 0.290519, 0.356362, 0.467806, 0.67064", \
"0.221045, 0.235089, 0.259484, 0.299448, 0.365002, 0.476422, 0.67932", \
"0.241764, 0.255653, 0.28008, 0.31983, 0.384681, 0.495398, 0.696216", \
"0.288026, 0.301846, 0.325992, 0.36523, 0.429867, 0.539461, 0.740892", \
"0.361959, 0.376656, 0.402827, 0.444167, 0.511075, 0.622104, 0.823173");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.062086, 0.073381, 0.09373, 0.132559, 0.202268, 0.338401, 0.619268", \
"0.062018, 0.072742, 0.093934, 0.132695, 0.203026, 0.337912, 0.621503", \
"0.061866, 0.072854, 0.094175, 0.132722, 0.203021, 0.336429, 0.622773", \
"0.061977, 0.072657, 0.094735, 0.132471, 0.203028, 0.336158, 0.622901", \
"0.061488, 0.073035, 0.093533, 0.132507, 0.202068, 0.338423, 0.620554", \
"0.066351, 0.076765, 0.097105, 0.134988, 0.203878, 0.338746, 0.622315", \
"0.081611, 0.091852, 0.111686, 0.148925, 0.217948, 0.347451, 0.626661");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.16581, 0.180885, 0.207786, 0.25154, 0.323925, 0.451461, 0.693691", \
"0.167846, 0.182965, 0.209688, 0.253532, 0.325885, 0.453502, 0.695612", \
"0.171966, 0.187067, 0.213968, 0.257654, 0.329948, 0.457692, 0.699476", \
"0.180711, 0.195749, 0.22261, 0.266173, 0.338464, 0.466251, 0.708233", \
"0.200184, 0.21513, 0.241694, 0.285262, 0.357523, 0.485138, 0.727093", \
"0.236266, 0.251618, 0.27865, 0.322365, 0.395028, 0.522282, 0.764145", \
"0.281834, 0.298178, 0.326878, 0.374058, 0.449899, 0.579634, 0.821447");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.055512, 0.068152, 0.09109, 0.134165, 0.214983, 0.379315, 0.719314", \
"0.055498, 0.068389, 0.091175, 0.133503, 0.215273, 0.377763, 0.718292", \
"0.055597, 0.067824, 0.090931, 0.134217, 0.214332, 0.379993, 0.718329", \
"0.055138, 0.067897, 0.090704, 0.13411, 0.214482, 0.379955, 0.716834", \
"0.055357, 0.068031, 0.091691, 0.133322, 0.214636, 0.379905, 0.716719", \
"0.061442, 0.072888, 0.095595, 0.136299, 0.217374, 0.379358, 0.722059", \
"0.076809, 0.086779, 0.111021, 0.151933, 0.229474, 0.386393, 0.721341");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.188337, 0.202649, 0.227687, 0.268359, 0.333885, 0.443496, 0.644612", \
"0.19025, 0.204714, 0.229626, 0.270222, 0.335566, 0.445491, 0.646775", \
"0.194596, 0.20891, 0.233868, 0.274608, 0.340123, 0.44989, 0.650915", \
"0.204051, 0.218326, 0.243246, 0.283955, 0.349377, 0.459284, 0.660426", \
"0.225686, 0.239965, 0.264898, 0.305432, 0.371172, 0.480638, 0.681671", \
"0.272382, 0.286271, 0.310932, 0.35119, 0.416193, 0.525855, 0.726791", \
"0.344334, 0.358697, 0.384997, 0.427745, 0.495292, 0.60643, 0.807309");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.06083, 0.071268, 0.091375, 0.130392, 0.199215, 0.334755, 0.615897", \
"0.060685, 0.071736, 0.091429, 0.13007, 0.199499, 0.334722, 0.616442", \
"0.060008, 0.070519, 0.092218, 0.130655, 0.199736, 0.334169, 0.613872", \
"0.060028, 0.071148, 0.092522, 0.129271, 0.1995, 0.334717, 0.616162", \
"0.059094, 0.071331, 0.092296, 0.129065, 0.199772, 0.334287, 0.613647", \
"0.063455, 0.073418, 0.093349, 0.130774, 0.201143, 0.33455, 0.612421", \
"0.079873, 0.090483, 0.110607, 0.147332, 0.214008, 0.343003, 0.621382");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.173643, 0.188687, 0.215208, 0.258553, 0.330637, 0.457562, 0.699622", \
"0.175438, 0.190639, 0.217469, 0.26085, 0.332868, 0.459565, 0.701735", \
"0.179872, 0.194822, 0.221379, 0.264966, 0.336748, 0.463893, 0.70554", \
"0.18845, 0.203541, 0.230044, 0.273556, 0.34531, 0.472486, 0.713989", \
"0.207845, 0.222797, 0.249378, 0.292589, 0.364408, 0.491367, 0.733295", \
"0.245518, 0.260831, 0.287283, 0.330611, 0.402612, 0.529393, 0.771167", \
"0.292744, 0.308799, 0.337522, 0.3842, 0.458895, 0.587762, 0.828828");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.056216, 0.068315, 0.091563, 0.134223, 0.215869, 0.38052, 0.724242", \
"0.055979, 0.068625, 0.091192, 0.134179, 0.215616, 0.38073, 0.724747", \
"0.056087, 0.068103, 0.091375, 0.134482, 0.215177, 0.380881, 0.719362", \
"0.056042, 0.068079, 0.091435, 0.134448, 0.21583, 0.380636, 0.721322", \
"0.055655, 0.068194, 0.09176, 0.134513, 0.215597, 0.38075, 0.722802", \
"0.06195, 0.07357, 0.096858, 0.137993, 0.218409, 0.381367, 0.722717", \
"0.077202, 0.089192, 0.112908, 0.152914, 0.230083, 0.386819, 0.726303");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.190991, 0.20477, 0.229079, 0.268724, 0.334635, 0.446973, 0.649075", \
"0.193029, 0.206765, 0.231084, 0.270965, 0.336726, 0.448186, 0.651104", \
"0.197403, 0.211207, 0.235448, 0.275344, 0.340935, 0.452383, 0.655373", \
"0.206889, 0.220781, 0.244943, 0.284663, 0.350244, 0.462634, 0.664683", \
"0.228599, 0.242337, 0.266679, 0.30634, 0.371783, 0.483199, 0.686045", \
"0.275168, 0.288653, 0.312577, 0.351642, 0.417093, 0.528395, 0.731209", \
"0.34666, 0.361356, 0.386963, 0.428166, 0.496251, 0.609426, 0.811762");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.062834, 0.073844, 0.094825, 0.133386, 0.202918, 0.338259, 0.621645", \
"0.062987, 0.0739, 0.094965, 0.132862, 0.203177, 0.336593, 0.622979", \
"0.062954, 0.07382, 0.094943, 0.133306, 0.203153, 0.337213, 0.622922", \
"0.062733, 0.073404, 0.095139, 0.133271, 0.203085, 0.337926, 0.622172", \
"0.063354, 0.074323, 0.094719, 0.132577, 0.203376, 0.336675, 0.622922", \
"0.066777, 0.077345, 0.097468, 0.135426, 0.204729, 0.338091, 0.623241", \
"0.085392, 0.095693, 0.114624, 0.150707, 0.217332, 0.347406, 0.621863");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.005006, 0.004901, 0.004797, 0.00473, 0.004698, 0.004685, 0.004674", \
"0.004997, 0.004892, 0.004789, 0.004719, 0.004688, 0.004675, 0.004665", \
"0.004985, 0.004878, 0.004774, 0.004707, 0.004675, 0.004662, 0.004651", \
"0.004971, 0.004865, 0.00476, 0.004693, 0.004659, 0.004646, 0.004636", \
"0.00498, 0.004874, 0.004766, 0.004691, 0.004656, 0.004642, 0.004632", \
"0.005101, 0.004979, 0.004851, 0.004756, 0.004708, 0.004689, 0.004677", \
"0.005478, 0.005338, 0.005178, 0.005044, 0.00496, 0.004916, 0.004891");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.006474, 0.006362, 0.006245, 0.006165, 0.006126, 0.006108, 0.006095", \
"0.006464, 0.006353, 0.006238, 0.006156, 0.006116, 0.006097, 0.006085", \
"0.006453, 0.006342, 0.006226, 0.006142, 0.006104, 0.006086, 0.006074", \
"0.006439, 0.006328, 0.006211, 0.006129, 0.006089, 0.006073, 0.006061", \
"0.006437, 0.006325, 0.006207, 0.006119, 0.006078, 0.006061, 0.006049", \
"0.006551, 0.006431, 0.006298, 0.006196, 0.006141, 0.006114, 0.006096", \
"0.006932, 0.006798, 0.006633, 0.006501, 0.006403, 0.00634, 0.006306");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.004849, 0.004743, 0.004639, 0.004569, 0.004537, 0.004522, 0.00451", \
"0.00484, 0.004735, 0.004629, 0.00456, 0.004527, 0.004513, 0.0045", \
"0.004821, 0.004716, 0.004611, 0.004541, 0.004508, 0.004495, 0.004483", \
"0.004798, 0.004692, 0.004586, 0.004514, 0.004485, 0.004472, 0.00446", \
"0.004798, 0.004691, 0.004583, 0.004507, 0.004469, 0.004456, 0.004445", \
"0.004924, 0.004804, 0.004679, 0.004576, 0.004524, 0.004502, 0.004487", \
"0.005332, 0.005186, 0.005022, 0.004878, 0.004784, 0.004736, 0.004708");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.006791, 0.006675, 0.006554, 0.00647, 0.006427, 0.006408, 0.006393", \
"0.00678, 0.006665, 0.006543, 0.006459, 0.006416, 0.006397, 0.006382", \
"0.006763, 0.006647, 0.006526, 0.00644, 0.006397, 0.006378, 0.006364", \
"0.006738, 0.006623, 0.006503, 0.006418, 0.006374, 0.006356, 0.006342", \
"0.006724, 0.006609, 0.006485, 0.006396, 0.006352, 0.006334, 0.006321", \
"0.00684, 0.006719, 0.006583, 0.006474, 0.006412, 0.006381, 0.006361", \
"0.007254, 0.007114, 0.006939, 0.006782, 0.00668, 0.006616, 0.006578");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.004552, 0.004449, 0.004349, 0.004283, 0.004253, 0.00424, 0.004229", \
"0.004549, 0.004446, 0.004346, 0.00428, 0.00425, 0.004237, 0.004226", \
"0.004543, 0.00444, 0.004339, 0.004273, 0.004243, 0.004231, 0.00422", \
"0.004536, 0.004432, 0.00433, 0.004263, 0.004233, 0.004221, 0.004211", \
"0.004558, 0.004452, 0.004344, 0.00427, 0.004234, 0.004221, 0.004211", \
"0.004726, 0.00461, 0.004476, 0.004372, 0.00431, 0.004285, 0.004271", \
"0.005192, 0.005038, 0.004867, 0.00472, 0.004617, 0.004559, 0.004525");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.006932, 0.006819, 0.0067, 0.006615, 0.006573, 0.006552, 0.006538", \
"0.006926, 0.006813, 0.006694, 0.006611, 0.006567, 0.006546, 0.006532", \
"0.006915, 0.006802, 0.006683, 0.006601, 0.006557, 0.006535, 0.006521", \
"0.006904, 0.006791, 0.006672, 0.006586, 0.006543, 0.006522, 0.006509", \
"0.006919, 0.006805, 0.006682, 0.006592, 0.006544, 0.006522, 0.006508", \
"0.007063, 0.006939, 0.006801, 0.006696, 0.006627, 0.00659, 0.006568", \
"0.007573, 0.007422, 0.00724, 0.007073, 0.006952, 0.006874, 0.006824");
}
}
}
pin(S) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.142818;
function : "((A^B)^CI)";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.149092, 0.163019, 0.187268, 0.226718, 0.29306, 0.414601, 0.656049", \
"0.151657, 0.165499, 0.189761, 0.229327, 0.29565, 0.417185, 0.6585", \
"0.156421, 0.170257, 0.194497, 0.233959, 0.300447, 0.421971, 0.662598", \
"0.164494, 0.178377, 0.202599, 0.241998, 0.308598, 0.430051, 0.669548", \
"0.18048, 0.194174, 0.218261, 0.257508, 0.323901, 0.445394, 0.684861", \
"0.205352, 0.219634, 0.244415, 0.284487, 0.351351, 0.472908, 0.714151", \
"0.235015, 0.250152, 0.276748, 0.319611, 0.389476, 0.512486, 0.752972");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.046934, 0.057339, 0.079978, 0.120122, 0.201376, 0.368526, 0.721709", \
"0.046629, 0.05808, 0.079992, 0.120721, 0.201178, 0.36806, 0.721424", \
"0.04674, 0.057793, 0.079999, 0.120543, 0.201006, 0.365589, 0.720097", \
"0.045989, 0.057419, 0.080071, 0.119494, 0.200165, 0.367426, 0.71713", \
"0.046244, 0.057963, 0.080299, 0.120409, 0.200672, 0.366846, 0.717847", \
"0.052947, 0.064569, 0.084524, 0.124134, 0.203258, 0.368958, 0.721046", \
"0.062121, 0.073765, 0.096279, 0.134856, 0.212059, 0.37161, 0.716714");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.16892, 0.181335, 0.203077, 0.238532, 0.297523, 0.399315, 0.596943", \
"0.172037, 0.184519, 0.206271, 0.241859, 0.300374, 0.402865, 0.600746", \
"0.178013, 0.190352, 0.212224, 0.247644, 0.306533, 0.408658, 0.606136", \
"0.187811, 0.200305, 0.222041, 0.257708, 0.31635, 0.418509, 0.615997", \
"0.205578, 0.217954, 0.239631, 0.275247, 0.333947, 0.435798, 0.633604", \
"0.237361, 0.249847, 0.271976, 0.307464, 0.366037, 0.468564, 0.666499", \
"0.282353, 0.296163, 0.31992, 0.358178, 0.41986, 0.524284, 0.722185");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.048427, 0.057791, 0.078107, 0.112821, 0.179494, 0.317463, 0.610704", \
"0.04869, 0.058468, 0.078215, 0.113214, 0.181465, 0.318316, 0.601837", \
"0.048418, 0.058663, 0.077675, 0.112904, 0.180053, 0.318699, 0.609029", \
"0.048622, 0.058583, 0.077618, 0.11359, 0.180623, 0.31877, 0.608936", \
"0.047587, 0.058043, 0.07782, 0.113564, 0.179043, 0.31684, 0.610116", \
"0.052674, 0.062233, 0.08143, 0.115745, 0.182191, 0.317138, 0.60603", \
"0.065161, 0.074445, 0.0946, 0.130205, 0.193332, 0.326958, 0.613564");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.209807, 0.225232, 0.253048, 0.297543, 0.369863, 0.495094, 0.737386", \
"0.210924, 0.226621, 0.254457, 0.298779, 0.370985, 0.496159, 0.737835", \
"0.213277, 0.229061, 0.256595, 0.300815, 0.373121, 0.498633, 0.739696", \
"0.217406, 0.232948, 0.260471, 0.30505, 0.377374, 0.502887, 0.745023", \
"0.226458, 0.242188, 0.269647, 0.313918, 0.38608, 0.511696, 0.753572", \
"0.245601, 0.26164, 0.289017, 0.333239, 0.406003, 0.531409, 0.773586", \
"0.274359, 0.290771, 0.319507, 0.366157, 0.441545, 0.568254, 0.810715");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.062175, 0.073566, 0.097487, 0.139058, 0.217776, 0.381857, 0.722832", \
"0.061026, 0.074366, 0.09806, 0.138429, 0.216952, 0.38117, 0.724856", \
"0.061788, 0.074651, 0.097269, 0.138609, 0.21822, 0.379778, 0.727276", \
"0.061739, 0.074079, 0.096636, 0.138551, 0.218302, 0.377302, 0.728337", \
"0.061348, 0.072749, 0.096526, 0.138712, 0.217876, 0.377689, 0.728708", \
"0.065309, 0.077061, 0.099288, 0.139902, 0.218832, 0.38202, 0.722179", \
"0.073379, 0.085412, 0.109863, 0.15072, 0.226309, 0.384036, 0.729506");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.234739, 0.248946, 0.273877, 0.3143, 0.379858, 0.489223, 0.690395", \
"0.236854, 0.250984, 0.276212, 0.316842, 0.382037, 0.491315, 0.692717", \
"0.240712, 0.254975, 0.280206, 0.320606, 0.385965, 0.495034, 0.696425", \
"0.247146, 0.26156, 0.286482, 0.326824, 0.392495, 0.501322, 0.702238", \
"0.258777, 0.273102, 0.298044, 0.338701, 0.404182, 0.512939, 0.713933", \
"0.283322, 0.297432, 0.322093, 0.362257, 0.42768, 0.536305, 0.737413", \
"0.323713, 0.338726, 0.365033, 0.40737, 0.474786, 0.585371, 0.78737");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.066388, 0.077536, 0.097919, 0.134422, 0.202648, 0.335894, 0.61808", \
"0.066283, 0.076684, 0.098007, 0.135241, 0.202712, 0.337753, 0.623333", \
"0.065879, 0.076865, 0.097766, 0.135356, 0.202904, 0.337427, 0.623423", \
"0.065591, 0.076887, 0.097318, 0.135048, 0.203503, 0.336454, 0.620253", \
"0.066145, 0.076589, 0.096386, 0.135043, 0.20265, 0.336162, 0.619135", \
"0.068168, 0.077451, 0.098217, 0.134954, 0.203583, 0.337109, 0.62096", \
"0.079294, 0.090115, 0.110339, 0.147733, 0.211419, 0.34085, 0.617662");
}
}
timing() { /* MIN */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.346926, 0.358811, 0.379458, 0.415239, 0.479041, 0.597829, 0.836042", \
"0.349392, 0.361511, 0.38208, 0.417862, 0.481847, 0.60033, 0.838016", \
"0.354172, 0.366149, 0.386811, 0.422527, 0.486472, 0.605363, 0.844246", \
"0.362506, 0.374173, 0.395259, 0.430873, 0.494724, 0.613668, 0.851799", \
"0.3797, 0.39161, 0.412372, 0.448142, 0.511661, 0.630454, 0.86877", \
"0.414479, 0.426336, 0.447007, 0.482638, 0.546347, 0.665423, 0.904277", \
"0.477375, 0.488516, 0.508824, 0.543776, 0.606884, 0.725466, 0.96398");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.051236, 0.062172, 0.083558, 0.123226, 0.202102, 0.369239, 0.710049", \
"0.051286, 0.0626, 0.083395, 0.123381, 0.202225, 0.368775, 0.710592", \
"0.051227, 0.062264, 0.083565, 0.123531, 0.201048, 0.368441, 0.71167", \
"0.051222, 0.06213, 0.083327, 0.123558, 0.201023, 0.367225, 0.71618", \
"0.051251, 0.062068, 0.084139, 0.123279, 0.202409, 0.368151, 0.715064", \
"0.051436, 0.062699, 0.08427, 0.123416, 0.202431, 0.368253, 0.712295", \
"0.052243, 0.06327, 0.084868, 0.125276, 0.2034, 0.369037, 0.710624");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.329015, 0.339401, 0.357731, 0.389257, 0.444906, 0.544811, 0.741055", \
"0.331147, 0.341748, 0.360113, 0.391633, 0.447146, 0.547434, 0.743819", \
"0.334984, 0.346066, 0.364463, 0.395947, 0.451312, 0.551617, 0.747899", \
"0.342435, 0.353533, 0.37206, 0.40353, 0.459074, 0.559352, 0.75568", \
"0.357431, 0.368415, 0.386865, 0.418363, 0.473667, 0.57417, 0.77066", \
"0.385839, 0.396559, 0.414627, 0.445937, 0.501457, 0.601638, 0.797841", \
"0.431069, 0.441067, 0.458579, 0.489103, 0.543832, 0.643563, 0.839699");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.051639, 0.061682, 0.081049, 0.116473, 0.181572, 0.319514, 0.60466", \
"0.051574, 0.062019, 0.0817, 0.115434, 0.182543, 0.319073, 0.602525", \
"0.05181, 0.061408, 0.081692, 0.115346, 0.182432, 0.316647, 0.61039", \
"0.051429, 0.061826, 0.081651, 0.115651, 0.182496, 0.319204, 0.602189", \
"0.051518, 0.061338, 0.080754, 0.116389, 0.182648, 0.317086, 0.606069", \
"0.052325, 0.062444, 0.082059, 0.114898, 0.182315, 0.31942, 0.602961", \
"0.053469, 0.063077, 0.082715, 0.116331, 0.18154, 0.31986, 0.601513");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.352366, 0.364194, 0.384854, 0.419752, 0.482278, 0.600689, 0.839693", \
"0.355417, 0.367391, 0.387925, 0.422851, 0.485296, 0.603837, 0.84295", \
"0.361275, 0.373051, 0.393445, 0.42865, 0.491321, 0.609644, 0.848037", \
"0.370961, 0.382744, 0.403301, 0.438332, 0.501003, 0.619411, 0.857531", \
"0.388776, 0.400458, 0.42108, 0.455889, 0.518699, 0.637258, 0.875302", \
"0.423082, 0.434948, 0.455322, 0.490103, 0.552556, 0.671114, 0.910268", \
"0.485008, 0.496421, 0.516308, 0.55094, 0.61299, 0.730968, 0.969229");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.052485, 0.063859, 0.085858, 0.125235, 0.203964, 0.369433, 0.715375", \
"0.052198, 0.064068, 0.086119, 0.125393, 0.203262, 0.369346, 0.718394", \
"0.052429, 0.063878, 0.085828, 0.125072, 0.203491, 0.369613, 0.714977", \
"0.05245, 0.063714, 0.085239, 0.124944, 0.202628, 0.368078, 0.719186", \
"0.052085, 0.064281, 0.08522, 0.125273, 0.204044, 0.369329, 0.715076", \
"0.05289, 0.064553, 0.086777, 0.125313, 0.203989, 0.369368, 0.716784", \
"0.054396, 0.065308, 0.08704, 0.126083, 0.204995, 0.369743, 0.71844");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.329105, 0.340187, 0.359359, 0.392177, 0.449816, 0.551952, 0.748853", \
"0.331474, 0.342205, 0.361334, 0.394423, 0.45189, 0.553773, 0.750254", \
"0.335713, 0.34626, 0.365241, 0.398308, 0.455706, 0.557583, 0.753963", \
"0.343256, 0.353789, 0.372841, 0.405726, 0.462991, 0.565226, 0.761981", \
"0.358149, 0.368633, 0.387891, 0.420507, 0.477891, 0.580185, 0.777053", \
"0.386129, 0.396712, 0.415876, 0.448647, 0.506131, 0.60823, 0.805061", \
"0.432356, 0.44286, 0.461592, 0.493408, 0.549813, 0.651589, 0.848123");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.055177, 0.065675, 0.084818, 0.119822, 0.187724, 0.320282, 0.608361", \
"0.05564, 0.065604, 0.086004, 0.12012, 0.186273, 0.322776, 0.612731", \
"0.055727, 0.06538, 0.085828, 0.120752, 0.187864, 0.322409, 0.612453", \
"0.055906, 0.065592, 0.085579, 0.120986, 0.185922, 0.322496, 0.607082", \
"0.056333, 0.065608, 0.085609, 0.120626, 0.187335, 0.319448, 0.607619", \
"0.056032, 0.066625, 0.08665, 0.121331, 0.18786, 0.32245, 0.605839", \
"0.058646, 0.068269, 0.087629, 0.1213, 0.187796, 0.323153, 0.611405");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.13599, 0.149638, 0.173422, 0.211956, 0.277303, 0.398039, 0.639157", \
"0.138762, 0.152282, 0.176092, 0.214656, 0.280102, 0.400988, 0.641877", \
"0.143813, 0.157342, 0.181051, 0.219741, 0.285159, 0.405944, 0.647149", \
"0.1525, 0.166021, 0.189729, 0.228418, 0.294203, 0.414898, 0.654445", \
"0.168783, 0.182187, 0.205667, 0.244091, 0.309669, 0.4301, 0.670875", \
"0.192425, 0.206513, 0.230996, 0.270421, 0.336514, 0.457105, 0.69705", \
"0.218426, 0.233393, 0.25974, 0.302184, 0.371408, 0.493949, 0.732871");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.044305, 0.055453, 0.077284, 0.117808, 0.198621, 0.367888, 0.720003", \
"0.044067, 0.055494, 0.077014, 0.117204, 0.199006, 0.366502, 0.719735", \
"0.043401, 0.055359, 0.07744, 0.117348, 0.198746, 0.367988, 0.719626", \
"0.043793, 0.055604, 0.076741, 0.117707, 0.198486, 0.364528, 0.715997", \
"0.043824, 0.05554, 0.077147, 0.118022, 0.197912, 0.368433, 0.715117", \
"0.050852, 0.06262, 0.083075, 0.122129, 0.201224, 0.368869, 0.71123", \
"0.060843, 0.072338, 0.094755, 0.133394, 0.210572, 0.372321, 0.718573");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.157207, 0.169394, 0.190862, 0.226005, 0.284093, 0.385647, 0.583534", \
"0.160589, 0.172834, 0.194493, 0.229487, 0.287525, 0.389061, 0.586863", \
"0.166847, 0.179143, 0.200583, 0.235636, 0.293771, 0.395823, 0.593427", \
"0.177249, 0.189459, 0.210943, 0.246109, 0.304191, 0.406019, 0.602979", \
"0.195405, 0.207704, 0.228997, 0.264054, 0.322116, 0.423877, 0.621132", \
"0.226572, 0.239089, 0.261062, 0.296092, 0.354466, 0.456283, 0.653392", \
"0.269238, 0.282914, 0.306425, 0.34391, 0.405807, 0.510105, 0.707629");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.046198, 0.056187, 0.076216, 0.110957, 0.178134, 0.316665, 0.601253", \
"0.04653, 0.056671, 0.07619, 0.111007, 0.177698, 0.316417, 0.603874", \
"0.046803, 0.056061, 0.076087, 0.111029, 0.17949, 0.316409, 0.603828", \
"0.046093, 0.056339, 0.076249, 0.111152, 0.177973, 0.31752, 0.60852", \
"0.046346, 0.05662, 0.075248, 0.110939, 0.179327, 0.317629, 0.606804", \
"0.051683, 0.061195, 0.080034, 0.114615, 0.180552, 0.318259, 0.608155", \
"0.064077, 0.073363, 0.094431, 0.127821, 0.192013, 0.325919, 0.613124");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.208224, 0.223837, 0.251838, 0.29674, 0.369394, 0.495334, 0.738127", \
"0.209746, 0.225691, 0.25315, 0.297824, 0.37065, 0.496335, 0.739142", \
"0.21273, 0.228428, 0.255962, 0.301147, 0.373838, 0.499656, 0.742641", \
"0.219369, 0.234974, 0.262591, 0.307593, 0.380361, 0.506399, 0.749121", \
"0.234139, 0.249639, 0.27775, 0.322161, 0.395029, 0.521132, 0.763855", \
"0.26383, 0.279664, 0.307347, 0.352118, 0.424978, 0.551225, 0.793433", \
"0.307934, 0.324488, 0.353068, 0.400931, 0.476743, 0.605492, 0.848617");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.061994, 0.073993, 0.09938, 0.139364, 0.219458, 0.383042, 0.727237", \
"0.062689, 0.07505, 0.097912, 0.140156, 0.218342, 0.383285, 0.720942", \
"0.062565, 0.074248, 0.098519, 0.139743, 0.219488, 0.382651, 0.72767", \
"0.062303, 0.075052, 0.097827, 0.139467, 0.219137, 0.378809, 0.731302", \
"0.06213, 0.075051, 0.098463, 0.140648, 0.21931, 0.37883, 0.731234", \
"0.066241, 0.07961, 0.099764, 0.141932, 0.22038, 0.383244, 0.728005", \
"0.076006, 0.087328, 0.110472, 0.155488, 0.230083, 0.387921, 0.730255");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.228941, 0.243186, 0.268271, 0.309077, 0.374452, 0.484118, 0.685779", \
"0.230847, 0.245195, 0.270207, 0.311099, 0.376434, 0.485842, 0.687558", \
"0.234909, 0.249229, 0.27423, 0.315222, 0.380606, 0.489832, 0.691547", \
"0.242292, 0.256624, 0.281643, 0.322601, 0.388186, 0.497254, 0.698665", \
"0.257897, 0.272206, 0.297492, 0.337907, 0.403611, 0.513154, 0.714979", \
"0.2925, 0.306939, 0.331764, 0.371944, 0.437654, 0.547252, 0.748852", \
"0.350863, 0.365742, 0.391949, 0.434456, 0.502866, 0.614015, 0.816029");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.066998, 0.077837, 0.096848, 0.134957, 0.203832, 0.338341, 0.623762", \
"0.06715, 0.077247, 0.097157, 0.135388, 0.203455, 0.337937, 0.624072", \
"0.066835, 0.07674, 0.098775, 0.135607, 0.203609, 0.337945, 0.622914", \
"0.066728, 0.076669, 0.098793, 0.135724, 0.203972, 0.337605, 0.621414", \
"0.066239, 0.076509, 0.098491, 0.135751, 0.204111, 0.337232, 0.619099", \
"0.068945, 0.078475, 0.099149, 0.136761, 0.205446, 0.336313, 0.616512", \
"0.080423, 0.090859, 0.11098, 0.148078, 0.215365, 0.344433, 0.620436");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.351305, 0.363074, 0.384021, 0.419818, 0.483549, 0.602498, 0.841539", \
"0.352989, 0.364732, 0.385467, 0.42132, 0.485223, 0.604333, 0.8433", \
"0.356904, 0.368634, 0.389597, 0.425153, 0.489004, 0.60815, 0.847152", \
"0.365505, 0.377161, 0.398212, 0.433906, 0.497706, 0.616766, 0.855371", \
"0.385762, 0.397424, 0.418431, 0.454057, 0.518057, 0.637086, 0.875992", \
"0.430642, 0.442245, 0.463289, 0.49886, 0.562719, 0.68169, 0.920092", \
"0.488833, 0.500513, 0.521034, 0.555573, 0.618168, 0.73687, 0.975152");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.05252, 0.064337, 0.086008, 0.125568, 0.203541, 0.367925, 0.712364", \
"0.051738, 0.064328, 0.085829, 0.125028, 0.203701, 0.368255, 0.711195", \
"0.052082, 0.063774, 0.085174, 0.125084, 0.204084, 0.369193, 0.71464", \
"0.052526, 0.063957, 0.085304, 0.125518, 0.203002, 0.365982, 0.716899", \
"0.052395, 0.06382, 0.085933, 0.124885, 0.203535, 0.367203, 0.715768", \
"0.052729, 0.064175, 0.08541, 0.125363, 0.202942, 0.366751, 0.712889", \
"0.053424, 0.065281, 0.087222, 0.126743, 0.205283, 0.368425, 0.71935");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.333563, 0.344169, 0.362861, 0.394709, 0.450577, 0.551359, 0.747863", \
"0.335933, 0.346829, 0.365383, 0.397113, 0.453053, 0.553421, 0.749591", \
"0.340059, 0.350772, 0.369562, 0.401256, 0.457309, 0.557946, 0.754746", \
"0.347006, 0.358027, 0.376684, 0.408457, 0.464228, 0.564693, 0.760963", \
"0.360916, 0.371769, 0.390431, 0.422228, 0.478211, 0.578727, 0.775198", \
"0.386355, 0.396849, 0.415205, 0.446681, 0.502458, 0.602976, 0.799334", \
"0.425953, 0.436096, 0.453713, 0.48449, 0.539503, 0.639675, 0.83573");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.053842, 0.063907, 0.083206, 0.117437, 0.184367, 0.317412, 0.607376", \
"0.053621, 0.064026, 0.083094, 0.11718, 0.183156, 0.319884, 0.607296", \
"0.053217, 0.063864, 0.082991, 0.117111, 0.183532, 0.320404, 0.6064", \
"0.052642, 0.062945, 0.083178, 0.11851, 0.18267, 0.319967, 0.611229", \
"0.053292, 0.063079, 0.082788, 0.116897, 0.183271, 0.320862, 0.607396", \
"0.054454, 0.064474, 0.083672, 0.11855, 0.183985, 0.318725, 0.606302", \
"0.055154, 0.065557, 0.084151, 0.11828, 0.183566, 0.318154, 0.60785");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.368511, 0.380748, 0.401808, 0.437253, 0.500659, 0.619581, 0.85789", \
"0.371269, 0.383402, 0.404683, 0.440124, 0.503257, 0.622329, 0.861851", \
"0.376393, 0.388672, 0.409826, 0.445257, 0.508549, 0.627681, 0.867321", \
"0.38508, 0.397216, 0.418286, 0.453927, 0.517124, 0.636271, 0.875927", \
"0.401298, 0.413537, 0.434546, 0.470001, 0.533357, 0.652101, 0.891318", \
"0.43296, 0.445069, 0.465753, 0.501304, 0.56434, 0.683431, 0.923012", \
"0.512436, 0.523521, 0.543266, 0.578113, 0.641147, 0.75956, 0.996972");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.053305, 0.064451, 0.08684, 0.125649, 0.203567, 0.369814, 0.719326", \
"0.053475, 0.064948, 0.086301, 0.126154, 0.204863, 0.371109, 0.716841", \
"0.053521, 0.06426, 0.086111, 0.126179, 0.204466, 0.369855, 0.718061", \
"0.053502, 0.064706, 0.086792, 0.126218, 0.204638, 0.370346, 0.719178", \
"0.053316, 0.064499, 0.086974, 0.12567, 0.204154, 0.370935, 0.718039", \
"0.053307, 0.065434, 0.087382, 0.126464, 0.20502, 0.371104, 0.719315", \
"0.054547, 0.065832, 0.087365, 0.126813, 0.20544, 0.370381, 0.719585");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.337755, 0.348726, 0.367883, 0.400716, 0.458761, 0.560706, 0.757724", \
"0.339428, 0.35047, 0.369524, 0.402357, 0.460183, 0.562353, 0.759359", \
"0.342895, 0.353827, 0.373078, 0.405905, 0.463566, 0.565856, 0.762497", \
"0.350532, 0.361503, 0.380733, 0.413515, 0.471258, 0.57313, 0.769611", \
"0.36812, 0.37911, 0.398228, 0.431055, 0.48877, 0.590765, 0.787202", \
"0.404975, 0.415949, 0.434928, 0.467766, 0.525521, 0.627474, 0.824324", \
"0.465237, 0.475394, 0.49314, 0.52456, 0.581154, 0.682972, 0.879508");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.055083, 0.06593, 0.084819, 0.119995, 0.187657, 0.322012, 0.612406", \
"0.055251, 0.065591, 0.085114, 0.120034, 0.187614, 0.321593, 0.611623", \
"0.055253, 0.06605, 0.08633, 0.120389, 0.187071, 0.323404, 0.610684", \
"0.055205, 0.065887, 0.084929, 0.120373, 0.187008, 0.321919, 0.612363", \
"0.055121, 0.065346, 0.086258, 0.120374, 0.186156, 0.322478, 0.612431", \
"0.055492, 0.065449, 0.085846, 0.119944, 0.187118, 0.322854, 0.611872", \
"0.056788, 0.066882, 0.086708, 0.121296, 0.186492, 0.323473, 0.609159");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.136329, 0.150155, 0.174472, 0.213861, 0.280347, 0.400891, 0.641065", \
"0.139121, 0.152887, 0.177222, 0.216663, 0.282976, 0.403913, 0.644459", \
"0.143796, 0.15775, 0.182083, 0.221361, 0.287836, 0.408902, 0.648078", \
"0.151825, 0.165679, 0.190026, 0.229252, 0.295911, 0.416614, 0.657282", \
"0.166819, 0.180532, 0.204611, 0.243754, 0.310098, 0.431262, 0.671831", \
"0.184411, 0.199112, 0.224801, 0.266103, 0.334021, 0.455201, 0.695108", \
"0.203866, 0.219377, 0.246697, 0.290625, 0.361664, 0.487151, 0.726718");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.044668, 0.056579, 0.07818, 0.118911, 0.199096, 0.368472, 0.713904", \
"0.044326, 0.056367, 0.078485, 0.118862, 0.200171, 0.36805, 0.71958", \
"0.044638, 0.055555, 0.077959, 0.118465, 0.199836, 0.365275, 0.716987", \
"0.04468, 0.05636, 0.078127, 0.118555, 0.199996, 0.368876, 0.719087", \
"0.045459, 0.056787, 0.07912, 0.119516, 0.200358, 0.368304, 0.719068", \
"0.051292, 0.063945, 0.085674, 0.125736, 0.203909, 0.369384, 0.709746", \
"0.061397, 0.073816, 0.097456, 0.137318, 0.215983, 0.376808, 0.718901");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.160675, 0.173615, 0.196173, 0.232639, 0.292843, 0.396377, 0.594261", \
"0.1638, 0.176708, 0.19914, 0.235731, 0.295924, 0.399514, 0.597446", \
"0.169418, 0.182288, 0.204803, 0.241331, 0.301554, 0.405014, 0.602548", \
"0.178519, 0.191385, 0.213856, 0.250377, 0.310577, 0.414223, 0.612095", \
"0.195039, 0.207734, 0.230077, 0.26664, 0.326606, 0.430389, 0.628529", \
"0.22122, 0.234573, 0.258082, 0.295576, 0.356095, 0.460112, 0.657518", \
"0.25732, 0.271255, 0.295636, 0.335508, 0.400656, 0.509336, 0.708145");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.049297, 0.058693, 0.079031, 0.114839, 0.183615, 0.321035, 0.609545", \
"0.049315, 0.058721, 0.079268, 0.115095, 0.183914, 0.321056, 0.608637", \
"0.048429, 0.05874, 0.079167, 0.115018, 0.183449, 0.320714, 0.611305", \
"0.049157, 0.058955, 0.079477, 0.115217, 0.184099, 0.321047, 0.609466", \
"0.048768, 0.059046, 0.078987, 0.115489, 0.184251, 0.318055, 0.60425", \
"0.053938, 0.065145, 0.085584, 0.120685, 0.187126, 0.322136, 0.611455", \
"0.064975, 0.074899, 0.097591, 0.132644, 0.200861, 0.332849, 0.611858");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.211354, 0.226893, 0.254387, 0.299233, 0.371857, 0.497743, 0.740095", \
"0.212232, 0.227723, 0.255595, 0.30029, 0.372945, 0.498507, 0.74122", \
"0.214729, 0.230246, 0.257837, 0.302298, 0.375026, 0.500941, 0.742802", \
"0.220626, 0.236175, 0.263604, 0.308194, 0.380763, 0.506663, 0.748782", \
"0.236082, 0.251643, 0.279132, 0.323659, 0.396134, 0.521509, 0.763995", \
"0.272102, 0.287597, 0.31465, 0.358841, 0.430899, 0.556603, 0.798796", \
"0.325973, 0.34212, 0.370345, 0.416711, 0.491137, 0.618032, 0.859958");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.062134, 0.074768, 0.097282, 0.139137, 0.219045, 0.379029, 0.730666", \
"0.062662, 0.073767, 0.097849, 0.139569, 0.218522, 0.382432, 0.724478", \
"0.061897, 0.074511, 0.097031, 0.138852, 0.219234, 0.379595, 0.729316", \
"0.061706, 0.07362, 0.097229, 0.138572, 0.219033, 0.378572, 0.729613", \
"0.0616, 0.07385, 0.096088, 0.13812, 0.217286, 0.38187, 0.721178", \
"0.064418, 0.075269, 0.098434, 0.139905, 0.219385, 0.378903, 0.727569", \
"0.077208, 0.088706, 0.111172, 0.151843, 0.227647, 0.386881, 0.722371");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.22834, 0.242666, 0.267697, 0.30853, 0.373792, 0.483168, 0.684758", \
"0.228813, 0.242973, 0.268228, 0.309052, 0.374689, 0.483501, 0.685074", \
"0.230817, 0.245053, 0.269959, 0.310564, 0.376212, 0.485652, 0.68693", \
"0.236806, 0.250938, 0.276236, 0.316852, 0.38222, 0.49138, 0.69291", \
"0.254367, 0.268644, 0.293599, 0.334318, 0.399653, 0.508516, 0.709906", \
"0.297055, 0.311062, 0.335941, 0.375877, 0.440852, 0.549998, 0.750988", \
"0.37039, 0.385032, 0.410454, 0.452089, 0.519124, 0.629633, 0.830964");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.066807, 0.076785, 0.09708, 0.135205, 0.203264, 0.33784, 0.623788", \
"0.066561, 0.076911, 0.098356, 0.135395, 0.203361, 0.337539, 0.622614", \
"0.066221, 0.077377, 0.098024, 0.134742, 0.20338, 0.336028, 0.618084", \
"0.066074, 0.076912, 0.098146, 0.135487, 0.203049, 0.337431, 0.623584", \
"0.065971, 0.075895, 0.097226, 0.135298, 0.203335, 0.337045, 0.621478", \
"0.066074, 0.077036, 0.097712, 0.13489, 0.203468, 0.336124, 0.618218", \
"0.081649, 0.09212, 0.112249, 0.147899, 0.213818, 0.344355, 0.624933");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.342978, 0.354808, 0.375365, 0.410331, 0.473117, 0.591398, 0.830337", \
"0.345061, 0.356723, 0.377203, 0.412257, 0.47512, 0.593419, 0.832401", \
"0.349151, 0.361215, 0.381689, 0.416568, 0.479453, 0.597896, 0.836166", \
"0.358642, 0.370628, 0.391031, 0.425867, 0.488643, 0.606914, 0.845894", \
"0.37939, 0.390998, 0.411692, 0.446574, 0.50938, 0.627818, 0.86614", \
"0.422418, 0.433844, 0.454208, 0.489192, 0.551873, 0.67037, 0.90826", \
"0.498965, 0.509934, 0.52895, 0.562983, 0.625155, 0.742979, 0.981541");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.051387, 0.063162, 0.083552, 0.123709, 0.201366, 0.369162, 0.711591", \
"0.051414, 0.062714, 0.084054, 0.123396, 0.201335, 0.369288, 0.712797", \
"0.05112, 0.062786, 0.083591, 0.123657, 0.202503, 0.368077, 0.715626", \
"0.051378, 0.063039, 0.083629, 0.12322, 0.201894, 0.369253, 0.712813", \
"0.051514, 0.062506, 0.083616, 0.123127, 0.201315, 0.36839, 0.716162", \
"0.051383, 0.063429, 0.085114, 0.123855, 0.201618, 0.36762, 0.716792", \
"0.052442, 0.062828, 0.085251, 0.124415, 0.202637, 0.370075, 0.714252");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.323158, 0.333704, 0.351899, 0.383429, 0.438979, 0.538911, 0.734869", \
"0.325278, 0.335686, 0.353927, 0.385497, 0.441021, 0.541092, 0.737026", \
"0.329381, 0.339843, 0.358054, 0.389495, 0.444848, 0.545347, 0.741885", \
"0.337646, 0.348136, 0.366191, 0.397765, 0.453149, 0.553638, 0.750182", \
"0.355349, 0.365662, 0.383924, 0.415359, 0.47097, 0.57092, 0.767217", \
"0.389639, 0.399695, 0.417633, 0.449291, 0.504893, 0.605167, 0.801474", \
"0.444469, 0.453965, 0.470937, 0.500817, 0.554989, 0.655006, 0.850653");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.051832, 0.061455, 0.081247, 0.116398, 0.180638, 0.31754, 0.607478", \
"0.051421, 0.061776, 0.08174, 0.116124, 0.18152, 0.318715, 0.606516", \
"0.05137, 0.061492, 0.080644, 0.116251, 0.182421, 0.316436, 0.602903", \
"0.051619, 0.061324, 0.080844, 0.116227, 0.182509, 0.317195, 0.605343", \
"0.051626, 0.062076, 0.08155, 0.11582, 0.180818, 0.31743, 0.605323", \
"0.052271, 0.062856, 0.08242, 0.116276, 0.182383, 0.319426, 0.604594", \
"0.053143, 0.063383, 0.082212, 0.116703, 0.182506, 0.316827, 0.608312");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.354676, 0.366846, 0.387979, 0.423359, 0.486466, 0.605567, 0.845213", \
"0.356635, 0.368823, 0.389843, 0.425402, 0.488576, 0.607762, 0.847507", \
"0.360878, 0.373063, 0.394131, 0.429603, 0.492731, 0.611942, 0.851719", \
"0.370092, 0.382244, 0.403226, 0.43881, 0.501898, 0.621038, 0.860738", \
"0.390874, 0.403023, 0.424106, 0.459466, 0.522766, 0.641905, 0.881571", \
"0.434053, 0.445991, 0.466906, 0.502337, 0.565376, 0.684551, 0.92418", \
"0.511711, 0.522408, 0.542002, 0.576474, 0.639394, 0.757653, 0.996513");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.053124, 0.064453, 0.086012, 0.126264, 0.204887, 0.371288, 0.713632", \
"0.053694, 0.06439, 0.086752, 0.126238, 0.204814, 0.370576, 0.717008", \
"0.053485, 0.064484, 0.085992, 0.126327, 0.204993, 0.370991, 0.716053", \
"0.053661, 0.064943, 0.086596, 0.126332, 0.205006, 0.371189, 0.713111", \
"0.053581, 0.064773, 0.08604, 0.125778, 0.204515, 0.369953, 0.718083", \
"0.054182, 0.064927, 0.087104, 0.126524, 0.205189, 0.370861, 0.717984", \
"0.053865, 0.065884, 0.088127, 0.126507, 0.204793, 0.371775, 0.715334");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.325273, 0.336108, 0.354646, 0.386402, 0.442219, 0.543081, 0.739947", \
"0.327381, 0.338031, 0.356651, 0.388398, 0.444194, 0.545119, 0.741955", \
"0.331432, 0.342285, 0.360688, 0.392473, 0.448373, 0.549214, 0.745974", \
"0.339641, 0.350356, 0.369011, 0.400777, 0.456658, 0.557374, 0.754063", \
"0.357035, 0.367825, 0.386412, 0.418018, 0.473825, 0.574757, 0.771606", \
"0.390833, 0.401498, 0.419708, 0.451238, 0.507108, 0.607778, 0.804399", \
"0.444857, 0.454319, 0.471202, 0.501271, 0.556007, 0.656369, 0.852716");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.053244, 0.063745, 0.08319, 0.117648, 0.184324, 0.318094, 0.610792", \
"0.053238, 0.06375, 0.083221, 0.117501, 0.184418, 0.318888, 0.610235", \
"0.053207, 0.063594, 0.082826, 0.116873, 0.184302, 0.320065, 0.606607", \
"0.053222, 0.063294, 0.08239, 0.116629, 0.183822, 0.320561, 0.605975", \
"0.053794, 0.062816, 0.083302, 0.11771, 0.184402, 0.318984, 0.610187", \
"0.054197, 0.064003, 0.082983, 0.117969, 0.1838, 0.320651, 0.605547", \
"0.054693, 0.065211, 0.083925, 0.118704, 0.18405, 0.32126, 0.60934");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.006474, 0.006362, 0.006245, 0.006165, 0.006126, 0.006108, 0.006095", \
"0.006464, 0.006353, 0.006238, 0.006156, 0.006116, 0.006097, 0.006085", \
"0.006453, 0.006342, 0.006226, 0.006142, 0.006104, 0.006086, 0.006074", \
"0.006439, 0.006328, 0.006211, 0.006129, 0.006089, 0.006073, 0.006061", \
"0.006437, 0.006325, 0.006207, 0.006119, 0.006078, 0.006061, 0.006049", \
"0.006551, 0.006431, 0.006298, 0.006196, 0.006141, 0.006114, 0.006096", \
"0.006932, 0.006798, 0.006633, 0.006501, 0.006403, 0.00634, 0.006306");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.005006, 0.004901, 0.004797, 0.00473, 0.004698, 0.004685, 0.004674", \
"0.004997, 0.004892, 0.004789, 0.004719, 0.004688, 0.004675, 0.004665", \
"0.004985, 0.004878, 0.004774, 0.004707, 0.004675, 0.004662, 0.004651", \
"0.004971, 0.004865, 0.00476, 0.004693, 0.004659, 0.004646, 0.004636", \
"0.00498, 0.004874, 0.004766, 0.004691, 0.004656, 0.004642, 0.004632", \
"0.005101, 0.004979, 0.004851, 0.004756, 0.004708, 0.004689, 0.004677", \
"0.005478, 0.005338, 0.005178, 0.005044, 0.00496, 0.004916, 0.004891");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.006791, 0.006675, 0.006554, 0.00647, 0.006427, 0.006408, 0.006393", \
"0.00678, 0.006665, 0.006543, 0.006459, 0.006416, 0.006397, 0.006382", \
"0.006763, 0.006647, 0.006526, 0.00644, 0.006397, 0.006378, 0.006364", \
"0.006738, 0.006623, 0.006503, 0.006418, 0.006374, 0.006356, 0.006342", \
"0.006724, 0.006609, 0.006485, 0.006396, 0.006352, 0.006334, 0.006321", \
"0.00684, 0.006719, 0.006583, 0.006474, 0.006412, 0.006381, 0.006361", \
"0.007254, 0.007114, 0.006939, 0.006782, 0.00668, 0.006616, 0.006578");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.004849, 0.004743, 0.004639, 0.004569, 0.004537, 0.004522, 0.00451", \
"0.00484, 0.004735, 0.004629, 0.00456, 0.004527, 0.004513, 0.0045", \
"0.004821, 0.004716, 0.004611, 0.004541, 0.004508, 0.004495, 0.004483", \
"0.004798, 0.004692, 0.004586, 0.004514, 0.004485, 0.004472, 0.00446", \
"0.004798, 0.004691, 0.004583, 0.004507, 0.004469, 0.004456, 0.004445", \
"0.004924, 0.004804, 0.004679, 0.004576, 0.004524, 0.004502, 0.004487", \
"0.005332, 0.005186, 0.005022, 0.004878, 0.004784, 0.004736, 0.004708");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.006932, 0.006819, 0.0067, 0.006615, 0.006573, 0.006552, 0.006538", \
"0.006926, 0.006813, 0.006694, 0.006611, 0.006567, 0.006546, 0.006532", \
"0.006915, 0.006802, 0.006683, 0.006601, 0.006557, 0.006535, 0.006521", \
"0.006904, 0.006791, 0.006672, 0.006586, 0.006543, 0.006522, 0.006509", \
"0.006919, 0.006805, 0.006682, 0.006592, 0.006544, 0.006522, 0.006508", \
"0.007063, 0.006939, 0.006801, 0.006696, 0.006627, 0.00659, 0.006568", \
"0.007573, 0.007422, 0.00724, 0.007073, 0.006952, 0.006874, 0.006824");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.004552, 0.004449, 0.004349, 0.004283, 0.004253, 0.00424, 0.004229", \
"0.004549, 0.004446, 0.004346, 0.00428, 0.00425, 0.004237, 0.004226", \
"0.004543, 0.00444, 0.004339, 0.004273, 0.004243, 0.004231, 0.00422", \
"0.004536, 0.004432, 0.00433, 0.004263, 0.004233, 0.004221, 0.004211", \
"0.004558, 0.004452, 0.004344, 0.00427, 0.004234, 0.004221, 0.004211", \
"0.004726, 0.00461, 0.004476, 0.004372, 0.00431, 0.004285, 0.004271", \
"0.005192, 0.005038, 0.004867, 0.00472, 0.004617, 0.004559, 0.004525");
}
}
}
}

/* --------------- *
* Design : ADDFX2 *
* --------------- */
cell (ADDFX2) {
cell_footprint : ADDF;
area : 21.950100;
cell_leakage_power : 111.308;
pin(A) {
direction : input;
capacitance : 0.00657814;
rise_capacitance : 0.00657737;
fall_capacitance : 0.00657814;
rise_capacitance_range ( 0.00646601, 0.00668872) ;
fall_capacitance_range ( 0.00646675, 0.00668952) ;
}
pin(B) {
direction : input;
capacitance : 0.00629622;
rise_capacitance : 0.00629622;
fall_capacitance : 0.00615816;
rise_capacitance_range ( 0.00619533, 0.0063971) ;
fall_capacitance_range ( 0.00591784, 0.00639848) ;
}
pin(CI) {
direction : input;
capacitance : 0.00449978;
rise_capacitance : 0.00449978;
fall_capacitance : 0.00448919;
rise_capacitance_range ( 0.0040978, 0.00490177) ;
fall_capacitance_range ( 0.00407465, 0.00490373) ;
}
pin(CO) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.281519;
function : "(((A B)+(B CI))+(CI A))";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.2104, 0.227501, 0.257049, 0.304486, 0.381351, 0.512281, 0.757629", \
"0.212794, 0.229673, 0.259298, 0.307121, 0.383826, 0.514331, 0.760994", \
"0.217125, 0.233932, 0.263375, 0.311151, 0.38782, 0.518798, 0.764418", \
"0.224571, 0.241484, 0.271119, 0.318859, 0.395487, 0.52702, 0.771857", \
"0.240189, 0.257112, 0.286914, 0.334399, 0.410942, 0.541769, 0.787443", \
"0.271708, 0.288343, 0.317457, 0.364836, 0.441594, 0.572753, 0.81789", \
"0.316704, 0.334646, 0.365562, 0.415505, 0.494727, 0.626632, 0.872386");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.065316, 0.07888, 0.103102, 0.147093, 0.229133, 0.390136, 0.730695", \
"0.065917, 0.078405, 0.102236, 0.14695, 0.227247, 0.388889, 0.727829", \
"0.065666, 0.078184, 0.102697, 0.147484, 0.228712, 0.38901, 0.724822", \
"0.065478, 0.077476, 0.102527, 0.146964, 0.227867, 0.389718, 0.728729", \
"0.064409, 0.077414, 0.10329, 0.146226, 0.227555, 0.389805, 0.724915", \
"0.067732, 0.080182, 0.104034, 0.147202, 0.228369, 0.390602, 0.727467", \
"0.079705, 0.092347, 0.116315, 0.159341, 0.238081, 0.394893, 0.726855");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.239369, 0.25512, 0.28198, 0.325162, 0.39489, 0.51082, 0.716606", \
"0.242349, 0.25791, 0.284789, 0.328532, 0.398363, 0.513122, 0.719533", \
"0.248242, 0.263733, 0.290612, 0.333822, 0.403851, 0.519169, 0.725351", \
"0.257823, 0.27338, 0.300436, 0.343641, 0.413674, 0.529033, 0.735194", \
"0.27517, 0.290907, 0.317917, 0.361279, 0.430982, 0.546265, 0.752774", \
"0.311682, 0.32756, 0.354433, 0.397577, 0.466742, 0.582116, 0.788423", \
"0.375018, 0.391353, 0.41958, 0.464331, 0.535352, 0.651406, 0.857487");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.072559, 0.084612, 0.10645, 0.146055, 0.214818, 0.348447, 0.626839", \
"0.073725, 0.084325, 0.10681, 0.145242, 0.215899, 0.349963, 0.625129", \
"0.073171, 0.085112, 0.106988, 0.145166, 0.215939, 0.350034, 0.625421", \
"0.072672, 0.084715, 0.106244, 0.145493, 0.215087, 0.349104, 0.631472", \
"0.072478, 0.084231, 0.106301, 0.145262, 0.215097, 0.348916, 0.628795", \
"0.072574, 0.083849, 0.10604, 0.145161, 0.215457, 0.349792, 0.63201", \
"0.086883, 0.097325, 0.119882, 0.156282, 0.223779, 0.353734, 0.631115");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.214654, 0.231487, 0.2613, 0.309791, 0.386618, 0.517545, 0.763522", \
"0.216169, 0.233006, 0.262953, 0.311263, 0.38827, 0.51947, 0.765457", \
"0.21964, 0.23674, 0.266305, 0.314214, 0.391498, 0.522464, 0.7687", \
"0.226833, 0.243791, 0.273601, 0.321731, 0.398456, 0.529353, 0.775452", \
"0.24235, 0.259239, 0.288993, 0.337003, 0.413881, 0.544676, 0.790614", \
"0.273727, 0.290503, 0.319951, 0.36751, 0.444091, 0.575237, 0.821499", \
"0.320162, 0.337902, 0.36876, 0.418444, 0.497628, 0.629655, 0.875636");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.067595, 0.078897, 0.105212, 0.14779, 0.229501, 0.391486, 0.731423", \
"0.067245, 0.078609, 0.105537, 0.147928, 0.229229, 0.389008, 0.731352", \
"0.067037, 0.079269, 0.10455, 0.148995, 0.228812, 0.389447, 0.731689", \
"0.067105, 0.07982, 0.10359, 0.148197, 0.228266, 0.390872, 0.730695", \
"0.066544, 0.079178, 0.103481, 0.147613, 0.2292, 0.390819, 0.729577", \
"0.0682, 0.081836, 0.105225, 0.147479, 0.228404, 0.390703, 0.732396", \
"0.080476, 0.093003, 0.117393, 0.16062, 0.23842, 0.396305, 0.733131");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.242421, 0.258026, 0.285453, 0.32899, 0.398609, 0.513726, 0.720417", \
"0.24487, 0.260628, 0.287794, 0.331063, 0.401021, 0.516266, 0.722981", \
"0.249468, 0.265239, 0.292431, 0.335681, 0.405791, 0.520869, 0.727583", \
"0.258072, 0.273615, 0.300779, 0.344288, 0.413874, 0.52949, 0.735928", \
"0.276049, 0.291475, 0.318715, 0.361832, 0.431582, 0.547173, 0.753321", \
"0.312398, 0.327967, 0.354583, 0.397597, 0.467006, 0.582313, 0.788558", \
"0.375275, 0.39181, 0.419785, 0.464553, 0.535543, 0.652072, 0.858037");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.074791, 0.085593, 0.108117, 0.146708, 0.215927, 0.350373, 0.631218", \
"0.075077, 0.085988, 0.106877, 0.146272, 0.216921, 0.350432, 0.625165", \
"0.074994, 0.085902, 0.106994, 0.146167, 0.216686, 0.350579, 0.631985", \
"0.074719, 0.085367, 0.108073, 0.146251, 0.215757, 0.349216, 0.63206", \
"0.073712, 0.085732, 0.107495, 0.145657, 0.216419, 0.350721, 0.631983", \
"0.073709, 0.08468, 0.107015, 0.145659, 0.216817, 0.351353, 0.632249", \
"0.088073, 0.097887, 0.120326, 0.157616, 0.226612, 0.356347, 0.633738");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.20758, 0.22436, 0.254016, 0.302033, 0.379164, 0.510642, 0.757221", \
"0.209771, 0.22668, 0.256559, 0.304203, 0.381473, 0.513118, 0.758712", \
"0.213882, 0.230719, 0.260566, 0.308325, 0.385902, 0.517074, 0.762735", \
"0.22111, 0.238149, 0.26804, 0.315582, 0.392894, 0.52432, 0.770127", \
"0.236345, 0.253445, 0.283054, 0.330604, 0.407883, 0.539354, 0.785215", \
"0.266823, 0.283759, 0.313038, 0.360529, 0.437842, 0.569049, 0.815827", \
"0.305105, 0.322786, 0.354363, 0.404735, 0.485662, 0.619558, 0.865701");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.065311, 0.078068, 0.102499, 0.145345, 0.227505, 0.388919, 0.729863", \
"0.064759, 0.077739, 0.101857, 0.147163, 0.228756, 0.390279, 0.727368", \
"0.064796, 0.077942, 0.101832, 0.145456, 0.227787, 0.390777, 0.728886", \
"0.064983, 0.077386, 0.102169, 0.147589, 0.229292, 0.390651, 0.727898", \
"0.064088, 0.07697, 0.102151, 0.146072, 0.228514, 0.390476, 0.726826", \
"0.066816, 0.079673, 0.104083, 0.148515, 0.228748, 0.390234, 0.729338", \
"0.079651, 0.09292, 0.117454, 0.160798, 0.238847, 0.394883, 0.733125");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.244463, 0.260312, 0.287666, 0.331851, 0.402815, 0.519762, 0.726482", \
"0.2471, 0.263195, 0.290343, 0.334495, 0.40558, 0.521738, 0.728241", \
"0.252379, 0.268478, 0.295627, 0.339751, 0.410215, 0.525524, 0.732133", \
"0.261506, 0.277228, 0.304795, 0.348843, 0.418987, 0.534496, 0.741026", \
"0.278481, 0.294242, 0.321699, 0.365779, 0.436622, 0.553502, 0.761075", \
"0.313954, 0.32965, 0.356827, 0.400416, 0.471453, 0.587673, 0.795908", \
"0.370344, 0.387053, 0.416492, 0.46213, 0.535483, 0.653887, 0.862292");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.074128, 0.085795, 0.107831, 0.145547, 0.216341, 0.350782, 0.627274", \
"0.074152, 0.085917, 0.108451, 0.144497, 0.216699, 0.349702, 0.631504", \
"0.074386, 0.085561, 0.108165, 0.146131, 0.215966, 0.351088, 0.630491", \
"0.074089, 0.08543, 0.107051, 0.145905, 0.215651, 0.349924, 0.631895", \
"0.073693, 0.086097, 0.107177, 0.145753, 0.21682, 0.350385, 0.629673", \
"0.073642, 0.085323, 0.107482, 0.145714, 0.216255, 0.350811, 0.627648", \
"0.088536, 0.100699, 0.12312, 0.158959, 0.224916, 0.355121, 0.630175");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.225586, 0.242516, 0.27244, 0.320701, 0.397496, 0.528446, 0.774457", \
"0.227373, 0.24427, 0.274268, 0.322486, 0.399584, 0.530854, 0.776617", \
"0.231253, 0.248148, 0.277994, 0.325933, 0.402986, 0.534036, 0.78006", \
"0.239046, 0.256272, 0.28597, 0.333692, 0.410952, 0.541814, 0.787916", \
"0.256976, 0.274166, 0.303748, 0.351486, 0.42846, 0.559435, 0.805515", \
"0.297014, 0.31391, 0.34327, 0.390517, 0.467094, 0.597945, 0.843787", \
"0.358446, 0.375927, 0.407074, 0.4566, 0.535737, 0.667882, 0.913093");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.067932, 0.079686, 0.104891, 0.148633, 0.228076, 0.391464, 0.73206", \
"0.0678, 0.079413, 0.104937, 0.148032, 0.229536, 0.390589, 0.731811", \
"0.067319, 0.080183, 0.103873, 0.149319, 0.229277, 0.391128, 0.729569", \
"0.066704, 0.080278, 0.10469, 0.148432, 0.229346, 0.391256, 0.727915", \
"0.066412, 0.079725, 0.103578, 0.148784, 0.228738, 0.390807, 0.731223", \
"0.068923, 0.080903, 0.104598, 0.148531, 0.229707, 0.390685, 0.729552", \
"0.084013, 0.094732, 0.119726, 0.162035, 0.241224, 0.39906, 0.734361");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.248309, 0.264067, 0.291091, 0.334516, 0.404281, 0.519765, 0.727581", \
"0.250184, 0.265801, 0.292814, 0.33637, 0.40661, 0.52215, 0.730526", \
"0.254111, 0.269798, 0.296883, 0.340316, 0.410616, 0.52744, 0.73581", \
"0.262903, 0.278466, 0.305904, 0.349332, 0.419755, 0.53686, 0.744718", \
"0.283174, 0.298762, 0.32588, 0.369197, 0.438919, 0.554587, 0.761406", \
"0.329002, 0.344355, 0.371434, 0.414627, 0.483712, 0.598725, 0.805151", \
"0.413763, 0.429704, 0.457652, 0.502027, 0.572186, 0.687796, 0.89333");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.074686, 0.086156, 0.108489, 0.146791, 0.218834, 0.353815, 0.631778", \
"0.074471, 0.086093, 0.108874, 0.146658, 0.218282, 0.352792, 0.634167", \
"0.07479, 0.085932, 0.108862, 0.146726, 0.21834, 0.352724, 0.634239", \
"0.074464, 0.086719, 0.108036, 0.14668, 0.218047, 0.353656, 0.633356", \
"0.073731, 0.086209, 0.10781, 0.146871, 0.219591, 0.353703, 0.631793", \
"0.073944, 0.08581, 0.108134, 0.148064, 0.2203, 0.353346, 0.634538", \
"0.090499, 0.10124, 0.124777, 0.160451, 0.2309, 0.362226, 0.637811");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.19837, 0.215302, 0.244903, 0.292497, 0.370152, 0.501066, 0.748034", \
"0.200341, 0.217312, 0.246887, 0.294496, 0.37196, 0.50326, 0.749681", \
"0.204618, 0.221464, 0.251055, 0.29892, 0.376115, 0.507758, 0.753463", \
"0.213105, 0.229958, 0.259659, 0.307214, 0.384619, 0.51601, 0.762207", \
"0.232069, 0.248787, 0.278459, 0.326169, 0.403189, 0.53463, 0.781511", \
"0.272185, 0.288746, 0.317946, 0.365203, 0.442281, 0.573315, 0.819695", \
"0.328197, 0.345528, 0.376769, 0.426775, 0.506657, 0.639168, 0.884725");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.063749, 0.076821, 0.101373, 0.146504, 0.228884, 0.389684, 0.724004", \
"0.064358, 0.076624, 0.101226, 0.146338, 0.227739, 0.388918, 0.726801", \
"0.064438, 0.077393, 0.10211, 0.14564, 0.227994, 0.387586, 0.725186", \
"0.063996, 0.077391, 0.101306, 0.146293, 0.227519, 0.389143, 0.728269", \
"0.063474, 0.076854, 0.102021, 0.146069, 0.228968, 0.388467, 0.728882", \
"0.067106, 0.078163, 0.102634, 0.147591, 0.22938, 0.389402, 0.72725", \
"0.085064, 0.095659, 0.12007, 0.162596, 0.240204, 0.396106, 0.726636");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.228599, 0.244652, 0.272172, 0.316114, 0.386775, 0.502215, 0.708387", \
"0.230681, 0.24676, 0.274202, 0.318041, 0.388926, 0.504307, 0.710508", \
"0.235138, 0.251086, 0.278733, 0.3226, 0.393637, 0.508542, 0.714907", \
"0.244496, 0.260601, 0.287991, 0.33207, 0.402735, 0.51868, 0.724494", \
"0.265691, 0.281807, 0.309105, 0.35286, 0.423965, 0.539058, 0.745389", \
"0.312328, 0.328133, 0.355425, 0.398978, 0.469506, 0.584837, 0.79057", \
"0.395875, 0.412696, 0.440643, 0.485576, 0.556125, 0.671752, 0.87766");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.072894, 0.084834, 0.106942, 0.143631, 0.216881, 0.349519, 0.632046", \
"0.073049, 0.08501, 0.106585, 0.144773, 0.216989, 0.350013, 0.630969", \
"0.073335, 0.083898, 0.106685, 0.145014, 0.215438, 0.349901, 0.629195", \
"0.072429, 0.084314, 0.106317, 0.145966, 0.215016, 0.348285, 0.630305", \
"0.072847, 0.08364, 0.104818, 0.146073, 0.215834, 0.350052, 0.625999", \
"0.072716, 0.083272, 0.105941, 0.14589, 0.216859, 0.34846, 0.6308", \
"0.08922, 0.101437, 0.121312, 0.157046, 0.228069, 0.35595, 0.634251");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.205994, 0.222943, 0.252905, 0.300306, 0.376965, 0.508038, 0.753523", \
"0.208277, 0.225245, 0.254754, 0.302554, 0.379149, 0.510315, 0.755499", \
"0.21252, 0.229568, 0.258951, 0.3065, 0.383383, 0.514062, 0.759905", \
"0.221108, 0.238071, 0.267541, 0.315322, 0.391841, 0.522799, 0.769208", \
"0.24001, 0.256951, 0.28637, 0.334176, 0.41059, 0.541392, 0.787791", \
"0.280459, 0.297135, 0.326358, 0.373497, 0.449757, 0.580545, 0.826795", \
"0.338888, 0.356734, 0.38759, 0.437244, 0.516001, 0.647684, 0.892846");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.064682, 0.078156, 0.10326, 0.146558, 0.228975, 0.390032, 0.729661", \
"0.065356, 0.077203, 0.101774, 0.146978, 0.228299, 0.389968, 0.731446", \
"0.065006, 0.078194, 0.102304, 0.146498, 0.228676, 0.390586, 0.73104", \
"0.065232, 0.077613, 0.101844, 0.146912, 0.228905, 0.389644, 0.73069", \
"0.063929, 0.077511, 0.102266, 0.146791, 0.229224, 0.389931, 0.729882", \
"0.068463, 0.079936, 0.103674, 0.148054, 0.229426, 0.389858, 0.73152", \
"0.085562, 0.096662, 0.121084, 0.162888, 0.240866, 0.39784, 0.726708");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.231205, 0.246716, 0.273551, 0.316912, 0.387166, 0.504263, 0.712105", \
"0.233321, 0.248828, 0.2756, 0.319014, 0.389203, 0.506192, 0.714106", \
"0.237749, 0.25332, 0.280201, 0.323959, 0.393734, 0.51037, 0.718584", \
"0.247186, 0.262848, 0.289882, 0.33308, 0.402972, 0.520039, 0.727942", \
"0.268373, 0.283976, 0.310834, 0.354013, 0.424021, 0.540962, 0.748865", \
"0.315153, 0.330697, 0.35722, 0.400163, 0.469629, 0.586575, 0.794267", \
"0.398096, 0.413639, 0.441307, 0.485654, 0.556999, 0.674207, 0.88185");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.076118, 0.087064, 0.108343, 0.146903, 0.218291, 0.353933, 0.633248", \
"0.075993, 0.086586, 0.108611, 0.146483, 0.219014, 0.354104, 0.63201", \
"0.075413, 0.086944, 0.107945, 0.147956, 0.219348, 0.353502, 0.630827", \
"0.076123, 0.086638, 0.108592, 0.14671, 0.218922, 0.3541, 0.632495", \
"0.075833, 0.08674, 0.108514, 0.146439, 0.219059, 0.354168, 0.631651", \
"0.075321, 0.087292, 0.108646, 0.147921, 0.218645, 0.35392, 0.634879", \
"0.093618, 0.103994, 0.12428, 0.160901, 0.229131, 0.359965, 0.637092");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.009439, 0.009051, 0.008615, 0.008265, 0.008039, 0.007913, 0.00784", \
"0.009431, 0.009043, 0.008605, 0.008257, 0.008031, 0.007908, 0.007834", \
"0.009421, 0.009033, 0.008593, 0.008248, 0.008017, 0.007897, 0.007822", \
"0.009408, 0.00902, 0.008578, 0.00823, 0.008004, 0.007881, 0.007807", \
"0.009412, 0.009023, 0.008582, 0.00823, 0.007998, 0.007874, 0.0078", \
"0.009571, 0.009168, 0.008702, 0.008312, 0.008052, 0.007916, 0.007836", \
"0.010127, 0.009689, 0.009158, 0.008704, 0.008377, 0.008178, 0.008059");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.010938, 0.010541, 0.010082, 0.009706, 0.009461, 0.009329, 0.009251", \
"0.010931, 0.010534, 0.010073, 0.009695, 0.009456, 0.009323, 0.009244", \
"0.010919, 0.010523, 0.010062, 0.009685, 0.009445, 0.009313, 0.009234", \
"0.010907, 0.010509, 0.01005, 0.009674, 0.009429, 0.009298, 0.009221", \
"0.010899, 0.0105, 0.010041, 0.00966, 0.009415, 0.009285, 0.009209", \
"0.01103, 0.010632, 0.010161, 0.009761, 0.009492, 0.009343, 0.009253", \
"0.011577, 0.011155, 0.010629, 0.01017, 0.009819, 0.009604, 0.009469");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.009293, 0.008904, 0.008463, 0.008112, 0.007882, 0.007754, 0.007678", \
"0.009283, 0.008894, 0.008456, 0.008102, 0.007874, 0.00775, 0.007672", \
"0.009268, 0.008878, 0.008438, 0.008084, 0.007852, 0.00773, 0.007654", \
"0.009243, 0.008855, 0.008418, 0.008063, 0.007835, 0.007712, 0.007634", \
"0.009234, 0.008846, 0.008404, 0.008047, 0.007809, 0.007687, 0.007613", \
"0.009422, 0.009023, 0.008555, 0.008155, 0.007885, 0.007739, 0.007653", \
"0.010031, 0.00958, 0.009029, 0.008534, 0.008188, 0.007984, 0.007862");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.011307, 0.010903, 0.010434, 0.010048, 0.009795, 0.009663, 0.009579", \
"0.011297, 0.010894, 0.010424, 0.010032, 0.009786, 0.009646, 0.009565", \
"0.011281, 0.010877, 0.010409, 0.010018, 0.009765, 0.00963, 0.00955", \
"0.011258, 0.010855, 0.010386, 0.009997, 0.009742, 0.009609, 0.009529", \
"0.011238, 0.010834, 0.010362, 0.00997, 0.00972, 0.009586, 0.009505", \
"0.011373, 0.010966, 0.010484, 0.010068, 0.009786, 0.009628, 0.009535", \
"0.011979, 0.011542, 0.011023, 0.010527, 0.010155, 0.009914, 0.009768");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.00896, 0.008575, 0.008142, 0.007797, 0.007573, 0.007454, 0.007381", \
"0.00896, 0.008573, 0.008139, 0.007795, 0.007572, 0.007453, 0.007379", \
"0.008956, 0.008569, 0.008135, 0.00779, 0.007568, 0.007449, 0.007375", \
"0.00895, 0.008563, 0.008128, 0.007782, 0.007559, 0.00744, 0.007368", \
"0.008967, 0.00858, 0.008142, 0.007791, 0.00756, 0.007439, 0.007366", \
"0.009188, 0.008788, 0.008326, 0.007934, 0.007662, 0.007514, 0.007427", \
"0.009918, 0.009459, 0.008892, 0.008398, 0.008033, 0.007811, 0.007677");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.011427, 0.011025, 0.01056, 0.010171, 0.009924, 0.009786, 0.009704", \
"0.011423, 0.011021, 0.010555, 0.010167, 0.00992, 0.009782, 0.0097", \
"0.011414, 0.011012, 0.010546, 0.010164, 0.009915, 0.009774, 0.00969", \
"0.011404, 0.011002, 0.010536, 0.010149, 0.0099, 0.009762, 0.00968", \
"0.011411, 0.011007, 0.010539, 0.010147, 0.009899, 0.009757, 0.009674", \
"0.011594, 0.011185, 0.010701, 0.010283, 0.009994, 0.00983, 0.009731", \
"0.01235, 0.0119, 0.011346, 0.010828, 0.010436, 0.010173, 0.01001");
}
}
}
pin(S) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.276926;
function : "((A^B)^CI)";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.187811, 0.203397, 0.230759, 0.274808, 0.346663, 0.471521, 0.712779", \
"0.190442, 0.206081, 0.233421, 0.277508, 0.3495, 0.474299, 0.716028", \
"0.19494, 0.210667, 0.238279, 0.282479, 0.354227, 0.479116, 0.720866", \
"0.203276, 0.218944, 0.246258, 0.290623, 0.362429, 0.487354, 0.729113", \
"0.219347, 0.234896, 0.262284, 0.306418, 0.378455, 0.502852, 0.744357", \
"0.249418, 0.265062, 0.292107, 0.33586, 0.407711, 0.532791, 0.77456", \
"0.289004, 0.305588, 0.334517, 0.380858, 0.455253, 0.581632, 0.822283");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.060783, 0.072526, 0.094858, 0.1365, 0.217394, 0.380457, 0.724208", \
"0.060311, 0.072265, 0.09486, 0.136422, 0.215888, 0.379694, 0.722262", \
"0.060041, 0.072337, 0.095144, 0.137016, 0.215499, 0.378744, 0.724", \
"0.060537, 0.071339, 0.094576, 0.13733, 0.21573, 0.378924, 0.722665", \
"0.05946, 0.071679, 0.094812, 0.136797, 0.215842, 0.380398, 0.722707", \
"0.064077, 0.075359, 0.096724, 0.137893, 0.217584, 0.378062, 0.725665", \
"0.076276, 0.08696, 0.110995, 0.151981, 0.227532, 0.385273, 0.729062");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.216561, 0.230721, 0.255251, 0.294799, 0.359643, 0.467526, 0.668027", \
"0.219763, 0.233838, 0.258417, 0.297993, 0.362715, 0.470678, 0.670904", \
"0.225955, 0.240027, 0.264497, 0.304184, 0.368941, 0.476499, 0.6768", \
"0.236122, 0.250108, 0.274988, 0.314689, 0.379049, 0.487084, 0.687455", \
"0.254148, 0.268275, 0.292778, 0.332356, 0.397165, 0.504703, 0.704882", \
"0.288874, 0.302932, 0.327292, 0.366495, 0.430646, 0.538532, 0.739042", \
"0.344726, 0.359552, 0.385526, 0.4264, 0.493165, 0.601686, 0.80199");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.066073, 0.075414, 0.0969, 0.13347, 0.200755, 0.335489, 0.617312", \
"0.066118, 0.077022, 0.095183, 0.133478, 0.200213, 0.333304, 0.618196", \
"0.065663, 0.076273, 0.096894, 0.132434, 0.201756, 0.334932, 0.622057", \
"0.065748, 0.076365, 0.096587, 0.13372, 0.201005, 0.336503, 0.62211", \
"0.066427, 0.076253, 0.096024, 0.133096, 0.20127, 0.334753, 0.621482", \
"0.068017, 0.07682, 0.096306, 0.133711, 0.202001, 0.336448, 0.620392", \
"0.081882, 0.091905, 0.112937, 0.146617, 0.211862, 0.340456, 0.619301");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.269945, 0.287038, 0.317782, 0.36825, 0.448096, 0.581408, 0.826668", \
"0.271304, 0.288661, 0.319728, 0.369541, 0.449995, 0.582395, 0.828046", \
"0.273798, 0.290904, 0.321813, 0.371935, 0.451832, 0.58504, 0.830382", \
"0.278122, 0.295391, 0.326002, 0.375797, 0.456402, 0.58872, 0.834318", \
"0.286875, 0.304165, 0.335057, 0.385232, 0.465276, 0.597573, 0.843142", \
"0.30802, 0.325063, 0.355401, 0.405205, 0.485004, 0.617794, 0.863352", \
"0.343294, 0.360953, 0.39262, 0.444097, 0.525528, 0.658999, 0.904889");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.084449, 0.094497, 0.120151, 0.165368, 0.242117, 0.400128, 0.739254", \
"0.082857, 0.095974, 0.121235, 0.162817, 0.243801, 0.402226, 0.732802", \
"0.084415, 0.095448, 0.119882, 0.164935, 0.24216, 0.401073, 0.736669", \
"0.0826, 0.094803, 0.120025, 0.163103, 0.245509, 0.403256, 0.739696", \
"0.082646, 0.094404, 0.120547, 0.162797, 0.243577, 0.403106, 0.740325", \
"0.082314, 0.095142, 0.11987, 0.163906, 0.24348, 0.402747, 0.73686", \
"0.094444, 0.106119, 0.130603, 0.173423, 0.25082, 0.408536, 0.739879");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.304393, 0.32036, 0.348317, 0.393247, 0.465925, 0.583995, 0.792693", \
"0.306699, 0.322546, 0.350492, 0.395427, 0.468266, 0.586173, 0.794591", \
"0.310863, 0.326684, 0.354601, 0.39956, 0.472382, 0.590484, 0.798461", \
"0.317322, 0.333196, 0.361327, 0.406412, 0.479059, 0.596963, 0.805336", \
"0.329022, 0.34466, 0.372685, 0.417722, 0.490571, 0.608427, 0.816736", \
"0.353147, 0.36897, 0.396797, 0.441379, 0.514174, 0.631856, 0.84004", \
"0.401691, 0.417982, 0.446365, 0.49165, 0.564715, 0.682984, 0.891582");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.092064, 0.103631, 0.125499, 0.16437, 0.232646, 0.369061, 0.644252", \
"0.093868, 0.104073, 0.123943, 0.163959, 0.234921, 0.366979, 0.638703", \
"0.09386, 0.104364, 0.123795, 0.163569, 0.235109, 0.365032, 0.639099", \
"0.092795, 0.103136, 0.125334, 0.163624, 0.233658, 0.367708, 0.640038", \
"0.093447, 0.104066, 0.124282, 0.162445, 0.23543, 0.366051, 0.638471", \
"0.092354, 0.103161, 0.123372, 0.164446, 0.235373, 0.366257, 0.637333", \
"0.102216, 0.114069, 0.135542, 0.17205, 0.240956, 0.371377, 0.644047");
}
}
timing() { /* MIN */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.458002, 0.471201, 0.493619, 0.531323, 0.596927, 0.717013, 0.954389", \
"0.460486, 0.473899, 0.496339, 0.53392, 0.599763, 0.719662, 0.957402", \
"0.465657, 0.47857, 0.501211, 0.538756, 0.604427, 0.724579, 0.962196", \
"0.473819, 0.487082, 0.509591, 0.547253, 0.612758, 0.733262, 0.971271", \
"0.491165, 0.50433, 0.527124, 0.56446, 0.63008, 0.750437, 0.987563", \
"0.526663, 0.539878, 0.56256, 0.599938, 0.665608, 0.785955, 1.02368", \
"0.595314, 0.60826, 0.630217, 0.667391, 0.732661, 0.852455, 1.08984");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.067299, 0.078106, 0.101891, 0.144056, 0.22059, 0.379441, 0.721776", \
"0.067281, 0.078901, 0.101996, 0.143498, 0.221139, 0.378643, 0.726349", \
"0.067074, 0.079176, 0.101923, 0.143888, 0.218987, 0.381553, 0.721205", \
"0.066849, 0.079056, 0.101806, 0.143896, 0.21966, 0.380297, 0.718378", \
"0.066538, 0.078822, 0.101884, 0.143981, 0.220422, 0.380711, 0.719171", \
"0.06665, 0.078979, 0.102139, 0.142463, 0.220926, 0.381363, 0.719709", \
"0.068399, 0.079796, 0.103216, 0.145321, 0.221994, 0.379362, 0.721332");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.437087, 0.449001, 0.469305, 0.502443, 0.560535, 0.664158, 0.861584", \
"0.439608, 0.451509, 0.472007, 0.505209, 0.562986, 0.66634, 0.863824", \
"0.443914, 0.455993, 0.476374, 0.50948, 0.567314, 0.670931, 0.868503", \
"0.451884, 0.463706, 0.483863, 0.516919, 0.575186, 0.678664, 0.876074", \
"0.467071, 0.478921, 0.499422, 0.53228, 0.59033, 0.6939, 0.891476", \
"0.496981, 0.508745, 0.528891, 0.561791, 0.619716, 0.723735, 0.920696", \
"0.548901, 0.560293, 0.579805, 0.612334, 0.669727, 0.773009, 0.970232");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.071679, 0.081577, 0.101907, 0.137855, 0.205201, 0.334437, 0.616712", \
"0.071429, 0.081237, 0.101991, 0.138447, 0.204457, 0.337336, 0.623517", \
"0.070455, 0.081213, 0.101928, 0.138255, 0.203938, 0.337429, 0.62116", \
"0.070738, 0.080769, 0.101391, 0.13745, 0.20508, 0.335353, 0.61679", \
"0.070822, 0.080463, 0.101758, 0.137301, 0.204362, 0.337322, 0.618921", \
"0.070912, 0.081396, 0.101903, 0.138623, 0.205136, 0.335562, 0.617257", \
"0.071238, 0.082259, 0.102509, 0.138686, 0.2055, 0.33825, 0.620405");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.46349, 0.476757, 0.499156, 0.536437, 0.601692, 0.720911, 0.958792", \
"0.466756, 0.479793, 0.502732, 0.539796, 0.604428, 0.723793, 0.961638", \
"0.472649, 0.485711, 0.508618, 0.545592, 0.610405, 0.729954, 0.9676", \
"0.482588, 0.495724, 0.518108, 0.555493, 0.620514, 0.739949, 0.977751", \
"0.500594, 0.513646, 0.536194, 0.573473, 0.638678, 0.757915, 0.995734", \
"0.535592, 0.548873, 0.571276, 0.608514, 0.673337, 0.79275, 1.03046", \
"0.603235, 0.616514, 0.638619, 0.675773, 0.7398, 0.858834, 1.09627");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.068086, 0.079641, 0.103578, 0.144222, 0.22129, 0.38151, 0.726753", \
"0.067967, 0.078993, 0.103125, 0.145199, 0.221812, 0.381147, 0.726709", \
"0.067944, 0.079419, 0.103161, 0.145495, 0.222453, 0.381621, 0.726741", \
"0.067578, 0.079392, 0.103576, 0.14547, 0.222664, 0.380945, 0.721105", \
"0.067546, 0.079173, 0.103102, 0.145528, 0.221038, 0.382661, 0.726268", \
"0.067444, 0.079235, 0.10328, 0.144487, 0.221547, 0.382913, 0.722483", \
"0.069232, 0.080978, 0.104956, 0.146772, 0.223646, 0.383148, 0.724979");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.438707, 0.450712, 0.471671, 0.50562, 0.565246, 0.671233, 0.869756", \
"0.440592, 0.453041, 0.47348, 0.507726, 0.567264, 0.672968, 0.871478", \
"0.444551, 0.456779, 0.477884, 0.511808, 0.571578, 0.676869, 0.875379", \
"0.452149, 0.464314, 0.484983, 0.51937, 0.578683, 0.684615, 0.883265", \
"0.467426, 0.479573, 0.500884, 0.534466, 0.594102, 0.699939, 0.898568", \
"0.497487, 0.50971, 0.530682, 0.564209, 0.623555, 0.729417, 0.927522", \
"0.550634, 0.562515, 0.582665, 0.615832, 0.674819, 0.780389, 0.978179");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.0743, 0.085046, 0.104925, 0.143226, 0.20968, 0.339312, 0.617407", \
"0.074509, 0.084384, 0.106161, 0.142504, 0.208174, 0.342216, 0.625524", \
"0.074988, 0.084791, 0.105191, 0.141976, 0.209256, 0.342208, 0.625182", \
"0.074603, 0.08353, 0.105873, 0.141166, 0.209048, 0.340831, 0.620317", \
"0.074573, 0.0842, 0.105265, 0.142226, 0.208142, 0.339162, 0.620672", \
"0.075219, 0.085445, 0.105342, 0.142578, 0.208663, 0.339961, 0.621485", \
"0.075986, 0.086831, 0.106373, 0.143804, 0.209744, 0.341, 0.621924");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.173223, 0.188666, 0.215596, 0.259391, 0.330306, 0.45405, 0.694605", \
"0.176051, 0.191488, 0.218554, 0.262192, 0.333222, 0.457268, 0.698398", \
"0.181138, 0.196638, 0.223592, 0.267351, 0.338294, 0.462076, 0.702486", \
"0.190057, 0.205598, 0.232496, 0.276007, 0.347168, 0.47109, 0.711954", \
"0.206668, 0.222068, 0.249056, 0.292581, 0.36341, 0.487602, 0.728518", \
"0.236409, 0.251826, 0.278808, 0.322069, 0.39313, 0.51737, 0.7582", \
"0.273613, 0.289864, 0.31872, 0.364588, 0.438493, 0.563839, 0.804563");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.056795, 0.068787, 0.092548, 0.134592, 0.212307, 0.377855, 0.724436", \
"0.057957, 0.069662, 0.091509, 0.13451, 0.213921, 0.378521, 0.717584", \
"0.057828, 0.068391, 0.092288, 0.134765, 0.212031, 0.377595, 0.725299", \
"0.05677, 0.069454, 0.092542, 0.133251, 0.214575, 0.376301, 0.726237", \
"0.057371, 0.069182, 0.092523, 0.133635, 0.21265, 0.375646, 0.726213", \
"0.062356, 0.073407, 0.095146, 0.135106, 0.214376, 0.376315, 0.72637", \
"0.074608, 0.086106, 0.108935, 0.15005, 0.225025, 0.380891, 0.726831");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.20392, 0.217966, 0.242319, 0.281645, 0.345832, 0.45283, 0.652708", \
"0.207492, 0.221563, 0.245803, 0.285123, 0.349614, 0.456518, 0.6565", \
"0.214168, 0.228077, 0.252293, 0.29181, 0.355755, 0.463305, 0.663415", \
"0.224949, 0.238777, 0.263123, 0.302378, 0.366664, 0.47408, 0.67396", \
"0.243459, 0.257414, 0.281777, 0.321111, 0.385317, 0.492383, 0.692171", \
"0.278645, 0.292338, 0.316306, 0.355419, 0.419031, 0.526189, 0.725918", \
"0.33315, 0.347916, 0.373088, 0.414014, 0.480368, 0.588825, 0.788442");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.063628, 0.074144, 0.094131, 0.13132, 0.200258, 0.333121, 0.618812", \
"0.063604, 0.074095, 0.094468, 0.131067, 0.200326, 0.332608, 0.617377", \
"0.063493, 0.073999, 0.094879, 0.130311, 0.19997, 0.331112, 0.613093", \
"0.064104, 0.074725, 0.093427, 0.131546, 0.198987, 0.334913, 0.621385", \
"0.063569, 0.073769, 0.094508, 0.130284, 0.199731, 0.334629, 0.621162", \
"0.066699, 0.076389, 0.095168, 0.131943, 0.199247, 0.3343, 0.620619", \
"0.079201, 0.091414, 0.111127, 0.145039, 0.211545, 0.34136, 0.620663");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.269353, 0.286601, 0.317289, 0.367284, 0.448229, 0.58144, 0.826927", \
"0.270611, 0.287984, 0.319045, 0.369148, 0.450059, 0.582942, 0.829159", \
"0.273751, 0.291085, 0.321611, 0.372489, 0.452631, 0.586439, 0.832052", \
"0.280487, 0.297745, 0.328441, 0.378443, 0.459373, 0.592637, 0.838122", \
"0.295148, 0.312467, 0.343199, 0.393183, 0.474092, 0.607506, 0.853029", \
"0.32675, 0.343844, 0.375134, 0.424802, 0.505069, 0.637884, 0.884161", \
"0.38009, 0.397927, 0.430713, 0.482008, 0.563329, 0.698137, 0.943689");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.084043, 0.09708, 0.120762, 0.165695, 0.247108, 0.405387, 0.74355", \
"0.083245, 0.096729, 0.120818, 0.163039, 0.2453, 0.402987, 0.738759", \
"0.085302, 0.096013, 0.119923, 0.166664, 0.243734, 0.400542, 0.74323", \
"0.083804, 0.096373, 0.12092, 0.165572, 0.246952, 0.405119, 0.744039", \
"0.084363, 0.095775, 0.120806, 0.165484, 0.246936, 0.403021, 0.744449", \
"0.083055, 0.096593, 0.121464, 0.165852, 0.245926, 0.40571, 0.740698", \
"0.097363, 0.108277, 0.133415, 0.17682, 0.253559, 0.409509, 0.747295");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.298761, 0.314551, 0.342406, 0.387618, 0.460588, 0.578892, 0.786997", \
"0.300731, 0.316571, 0.344562, 0.389637, 0.462624, 0.5807, 0.789374", \
"0.304841, 0.320786, 0.348569, 0.394305, 0.466869, 0.584894, 0.793855", \
"0.312302, 0.328169, 0.356307, 0.401628, 0.474418, 0.592643, 0.801381", \
"0.327922, 0.343649, 0.371672, 0.416863, 0.489859, 0.60804, 0.816727", \
"0.362558, 0.378466, 0.406161, 0.451448, 0.524186, 0.641956, 0.85095", \
"0.429947, 0.44654, 0.474702, 0.52068, 0.593982, 0.712311, 0.921058");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.093119, 0.105015, 0.12581, 0.162454, 0.236149, 0.365018, 0.64036", \
"0.094356, 0.104845, 0.12471, 0.163808, 0.235985, 0.367483, 0.639249", \
"0.09371, 0.103957, 0.125852, 0.164736, 0.234349, 0.369183, 0.644372", \
"0.093408, 0.103621, 0.125967, 0.164243, 0.233679, 0.369211, 0.645628", \
"0.093193, 0.104976, 0.125326, 0.162871, 0.236181, 0.368063, 0.640298", \
"0.093694, 0.103251, 0.125826, 0.165042, 0.236807, 0.369479, 0.644692", \
"0.104187, 0.114544, 0.136016, 0.171978, 0.241983, 0.371803, 0.640701");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.464799, 0.477827, 0.500706, 0.538051, 0.603633, 0.72423, 0.961907", \
"0.466546, 0.47965, 0.502295, 0.539622, 0.605417, 0.725879, 0.96393", \
"0.470571, 0.483753, 0.506363, 0.543741, 0.609898, 0.730103, 0.967505", \
"0.479259, 0.49226, 0.514807, 0.552394, 0.618104, 0.738595, 0.976623", \
"0.499324, 0.512094, 0.535088, 0.572324, 0.638089, 0.758498, 0.996514", \
"0.543632, 0.556615, 0.579073, 0.616652, 0.682341, 0.802605, 1.03969", \
"0.611592, 0.624951, 0.647692, 0.684965, 0.749245, 0.868556, 1.10623");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.067366, 0.079224, 0.103104, 0.145823, 0.221541, 0.382644, 0.726045", \
"0.066887, 0.079313, 0.103191, 0.145356, 0.222731, 0.381042, 0.719103", \
"0.067897, 0.078764, 0.103156, 0.145951, 0.222315, 0.382993, 0.719291", \
"0.066631, 0.079075, 0.103321, 0.145653, 0.221814, 0.381866, 0.719163", \
"0.067595, 0.079127, 0.103284, 0.144046, 0.221837, 0.381268, 0.718418", \
"0.066934, 0.07938, 0.103595, 0.145207, 0.22128, 0.381809, 0.725287", \
"0.068862, 0.081088, 0.10467, 0.145895, 0.223721, 0.381219, 0.724077");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.442805, 0.454872, 0.475636, 0.508976, 0.567701, 0.671518, 0.868802", \
"0.44537, 0.457413, 0.478415, 0.511724, 0.570066, 0.674162, 0.871926", \
"0.449655, 0.461701, 0.482653, 0.515841, 0.574357, 0.678385, 0.876224", \
"0.456903, 0.468908, 0.49007, 0.523425, 0.581733, 0.685888, 0.88359", \
"0.471499, 0.48343, 0.504403, 0.537715, 0.596242, 0.700275, 0.897969", \
"0.499636, 0.511426, 0.532153, 0.565208, 0.623757, 0.727094, 0.92484", \
"0.54634, 0.558145, 0.57756, 0.609971, 0.667411, 0.771155, 0.968224");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.073966, 0.08338, 0.104402, 0.140496, 0.208154, 0.338725, 0.619181", \
"0.073833, 0.083822, 0.104475, 0.139973, 0.206174, 0.336682, 0.617621", \
"0.073691, 0.083603, 0.104251, 0.13971, 0.204553, 0.337698, 0.617867", \
"0.07344, 0.082386, 0.104148, 0.139879, 0.20498, 0.338175, 0.621009", \
"0.07356, 0.08274, 0.103844, 0.140136, 0.206935, 0.336051, 0.617815", \
"0.073115, 0.083916, 0.104284, 0.140802, 0.206708, 0.339142, 0.623669", \
"0.073668, 0.083861, 0.104909, 0.140453, 0.206968, 0.337031, 0.620093");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.48202, 0.495446, 0.518511, 0.556223, 0.621796, 0.741361, 0.978883", \
"0.48469, 0.498329, 0.52157, 0.559215, 0.624844, 0.744598, 0.982814", \
"0.490114, 0.50375, 0.52698, 0.564648, 0.630285, 0.750026, 0.988243", \
"0.499359, 0.512828, 0.536259, 0.573586, 0.639092, 0.758817, 0.996472", \
"0.515883, 0.529244, 0.552549, 0.590051, 0.655539, 0.775168, 1.01336", \
"0.548743, 0.562131, 0.585154, 0.622982, 0.68815, 0.808131, 1.04597", \
"0.63316, 0.645663, 0.667646, 0.704773, 0.76978, 0.889138, 1.12609");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.070098, 0.080821, 0.104656, 0.146352, 0.221794, 0.383028, 0.72839", \
"0.06967, 0.081409, 0.104049, 0.146475, 0.222801, 0.382879, 0.720948", \
"0.069662, 0.081363, 0.104004, 0.146462, 0.222851, 0.38303, 0.724766", \
"0.070041, 0.080872, 0.104671, 0.146423, 0.222872, 0.383241, 0.727688", \
"0.070038, 0.08164, 0.10474, 0.146042, 0.222325, 0.382144, 0.728164", \
"0.070245, 0.08125, 0.104805, 0.145458, 0.223275, 0.382268, 0.726769", \
"0.071505, 0.083238, 0.106063, 0.146731, 0.223842, 0.383712, 0.726684");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.449289, 0.461402, 0.482205, 0.515987, 0.575768, 0.681932, 0.880391", \
"0.45101, 0.463235, 0.484014, 0.517874, 0.577619, 0.683048, 0.882118", \
"0.454588, 0.466668, 0.48754, 0.52139, 0.581087, 0.687046, 0.885923", \
"0.462296, 0.474365, 0.495201, 0.529144, 0.588849, 0.694979, 0.893647", \
"0.479456, 0.49163, 0.512464, 0.546234, 0.605957, 0.712003, 0.9108", \
"0.517223, 0.529472, 0.550097, 0.583936, 0.64372, 0.749138, 0.94809", \
"0.58661, 0.598219, 0.617606, 0.650547, 0.709367, 0.814281, 1.01232");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.074651, 0.084412, 0.105623, 0.143382, 0.209859, 0.339153, 0.624406", \
"0.074578, 0.084543, 0.105237, 0.143274, 0.210041, 0.342768, 0.625021", \
"0.074146, 0.084417, 0.10457, 0.142981, 0.208675, 0.341221, 0.620186", \
"0.074245, 0.084276, 0.104405, 0.142008, 0.208852, 0.339712, 0.621669", \
"0.074699, 0.085009, 0.104321, 0.142631, 0.208793, 0.341004, 0.622877", \
"0.074188, 0.084152, 0.105271, 0.142429, 0.209539, 0.34221, 0.625008", \
"0.075074, 0.085172, 0.107243, 0.14309, 0.210319, 0.342376, 0.622837");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.174345, 0.189893, 0.217257, 0.26132, 0.333458, 0.457969, 0.699084", \
"0.177371, 0.192945, 0.220164, 0.264179, 0.336144, 0.460973, 0.702112", \
"0.182138, 0.197726, 0.225131, 0.269229, 0.341155, 0.465821, 0.70674", \
"0.190443, 0.205966, 0.233402, 0.277299, 0.349288, 0.474041, 0.714972", \
"0.206018, 0.221658, 0.248868, 0.292763, 0.36444, 0.48954, 0.730682", \
"0.232205, 0.248339, 0.276037, 0.320279, 0.392377, 0.517126, 0.758053", \
"0.262375, 0.278802, 0.308116, 0.355065, 0.431249, 0.558911, 0.800294");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.058094, 0.070303, 0.093161, 0.134531, 0.21485, 0.379953, 0.717196", \
"0.058345, 0.068758, 0.093162, 0.134304, 0.214033, 0.379874, 0.716034", \
"0.058188, 0.069925, 0.093233, 0.134662, 0.214353, 0.379583, 0.721321", \
"0.057776, 0.069766, 0.09324, 0.135188, 0.213961, 0.37947, 0.7223", \
"0.057726, 0.069132, 0.092265, 0.135491, 0.216051, 0.376933, 0.723876", \
"0.064353, 0.074854, 0.097404, 0.13837, 0.216466, 0.380378, 0.721839", \
"0.074892, 0.08629, 0.110939, 0.151026, 0.229037, 0.387416, 0.72081");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.20869, 0.223094, 0.248032, 0.288391, 0.354147, 0.463734, 0.664587", \
"0.212035, 0.226357, 0.251361, 0.291855, 0.357362, 0.467072, 0.668364", \
"0.21812, 0.232414, 0.257444, 0.29788, 0.363431, 0.473056, 0.674344", \
"0.227665, 0.242103, 0.26704, 0.307495, 0.373187, 0.482781, 0.684081", \
"0.244612, 0.258964, 0.283968, 0.324257, 0.389907, 0.499514, 0.700765", \
"0.277294, 0.291485, 0.316075, 0.356409, 0.42183, 0.531388, 0.732568", \
"0.323929, 0.338922, 0.365356, 0.407938, 0.476577, 0.588982, 0.791009");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.067157, 0.076585, 0.097916, 0.133729, 0.203335, 0.336066, 0.618333", \
"0.066948, 0.077101, 0.09769, 0.134849, 0.203161, 0.338524, 0.623253", \
"0.066106, 0.077236, 0.096411, 0.134445, 0.203615, 0.338607, 0.623657", \
"0.066304, 0.076514, 0.097537, 0.134496, 0.202864, 0.338144, 0.621526", \
"0.0659, 0.077072, 0.096703, 0.134475, 0.203975, 0.33848, 0.624077", \
"0.068767, 0.07902, 0.099261, 0.13576, 0.203743, 0.338085, 0.618704", \
"0.081846, 0.092452, 0.114485, 0.149259, 0.21714, 0.348493, 0.627369");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.272073, 0.289505, 0.320248, 0.370176, 0.450726, 0.583813, 0.829931", \
"0.273379, 0.290668, 0.321346, 0.371136, 0.451875, 0.585246, 0.830602", \
"0.275906, 0.293393, 0.323971, 0.373855, 0.454715, 0.587382, 0.833402", \
"0.281682, 0.298795, 0.329697, 0.380308, 0.460174, 0.593263, 0.839202", \
"0.296506, 0.313749, 0.344354, 0.394424, 0.474938, 0.607879, 0.852718", \
"0.332484, 0.349713, 0.380327, 0.429887, 0.509664, 0.64227, 0.887937", \
"0.399247, 0.416587, 0.447636, 0.498121, 0.578732, 0.711675, 0.956731");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.083796, 0.096185, 0.120215, 0.163545, 0.246148, 0.403754, 0.733521", \
"0.084199, 0.096733, 0.11963, 0.165528, 0.245291, 0.402304, 0.743052", \
"0.084042, 0.095665, 0.120567, 0.163992, 0.24636, 0.404148, 0.738782", \
"0.083969, 0.094543, 0.120923, 0.164829, 0.242667, 0.402762, 0.736393", \
"0.083417, 0.0958, 0.119666, 0.164427, 0.245685, 0.402539, 0.742901", \
"0.082515, 0.094383, 0.118584, 0.163576, 0.242805, 0.40308, 0.739942", \
"0.097434, 0.108681, 0.130136, 0.173019, 0.2528, 0.406761, 0.743336");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.298719, 0.31474, 0.342606, 0.387488, 0.460276, 0.57882, 0.787219", \
"0.29957, 0.315361, 0.343273, 0.38839, 0.461337, 0.579462, 0.787649", \
"0.301716, 0.317624, 0.345772, 0.390771, 0.4635, 0.581606, 0.790356", \
"0.307942, 0.323806, 0.351753, 0.396731, 0.469648, 0.587663, 0.796146", \
"0.324467, 0.340253, 0.368183, 0.413229, 0.486135, 0.604513, 0.812083", \
"0.365231, 0.380921, 0.408815, 0.453584, 0.526198, 0.643929, 0.851666", \
"0.450586, 0.46646, 0.494251, 0.539327, 0.611401, 0.729802, 0.937252");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.092423, 0.104004, 0.125765, 0.164634, 0.234478, 0.369111, 0.645413", \
"0.09374, 0.104847, 0.125012, 0.162961, 0.23582, 0.36556, 0.63907", \
"0.092925, 0.103636, 0.125649, 0.164325, 0.233034, 0.369061, 0.64459", \
"0.093745, 0.1042, 0.123731, 0.164058, 0.235157, 0.366956, 0.638703", \
"0.093616, 0.104147, 0.124351, 0.16287, 0.235669, 0.364011, 0.642376", \
"0.092624, 0.103638, 0.123835, 0.164521, 0.233502, 0.364992, 0.640422", \
"0.105633, 0.114817, 0.134633, 0.171865, 0.241037, 0.370194, 0.646039");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.454185, 0.467234, 0.490056, 0.527008, 0.591718, 0.711543, 0.949469", \
"0.456331, 0.469379, 0.492163, 0.529054, 0.594003, 0.713636, 0.951495", \
"0.460917, 0.473971, 0.496748, 0.533803, 0.598453, 0.717803, 0.955078", \
"0.470218, 0.48319, 0.505735, 0.542997, 0.608218, 0.727487, 0.965502", \
"0.490927, 0.504154, 0.526458, 0.563548, 0.628486, 0.747733, 0.985436", \
"0.535056, 0.547804, 0.570382, 0.607527, 0.672198, 0.791843, 1.02986", \
"0.62012, 0.632623, 0.654181, 0.690749, 0.754739, 0.873649, 1.11085");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.067045, 0.078885, 0.102194, 0.14354, 0.218963, 0.381271, 0.718989", \
"0.067046, 0.079346, 0.102145, 0.144211, 0.219253, 0.381796, 0.719807", \
"0.067402, 0.078152, 0.102191, 0.14327, 0.221383, 0.37912, 0.725707", \
"0.067537, 0.079353, 0.102216, 0.144336, 0.220685, 0.379429, 0.723537", \
"0.067739, 0.079351, 0.102422, 0.144327, 0.220997, 0.379654, 0.72722", \
"0.067764, 0.07937, 0.102591, 0.144432, 0.22159, 0.379373, 0.723435", \
"0.069329, 0.080757, 0.104404, 0.144608, 0.220979, 0.382393, 0.71842");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.431778, 0.443626, 0.464086, 0.496979, 0.554948, 0.658604, 0.856258", \
"0.433963, 0.445638, 0.465736, 0.498812, 0.557113, 0.661204, 0.85835", \
"0.438236, 0.449828, 0.470053, 0.503316, 0.561225, 0.664539, 0.861834", \
"0.44655, 0.458375, 0.478437, 0.511675, 0.569599, 0.673321, 0.87075", \
"0.4645, 0.476389, 0.49642, 0.529595, 0.587526, 0.691094, 0.88852", \
"0.501375, 0.512877, 0.532761, 0.56581, 0.623712, 0.727311, 0.924883", \
"0.565732, 0.576803, 0.595471, 0.62708, 0.684234, 0.787381, 0.9845");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.07098, 0.081485, 0.101698, 0.13761, 0.203918, 0.337614, 0.621295", \
"0.071334, 0.080998, 0.101737, 0.137234, 0.204674, 0.336198, 0.617972", \
"0.070527, 0.080889, 0.101384, 0.13901, 0.204604, 0.336616, 0.621382", \
"0.071372, 0.08105, 0.10135, 0.137102, 0.204446, 0.336623, 0.616515", \
"0.070575, 0.081292, 0.10149, 0.137104, 0.204752, 0.337466, 0.622816", \
"0.070912, 0.082035, 0.102387, 0.13795, 0.204221, 0.337864, 0.620598", \
"0.072486, 0.082832, 0.10383, 0.140063, 0.205704, 0.337992, 0.619467");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.466605, 0.479973, 0.50337, 0.540751, 0.605971, 0.725913, 0.963509", \
"0.468482, 0.482045, 0.505391, 0.542781, 0.608229, 0.727745, 0.965773", \
"0.473154, 0.486609, 0.509946, 0.547306, 0.612572, 0.732607, 0.970991", \
"0.482286, 0.495788, 0.519112, 0.556489, 0.621958, 0.741582, 0.979148", \
"0.503151, 0.516461, 0.539727, 0.577145, 0.64259, 0.762149, 0.999875", \
"0.547132, 0.56042, 0.583385, 0.621131, 0.686378, 0.806491, 1.04431", \
"0.632894, 0.646362, 0.668711, 0.705391, 0.769978, 0.889465, 1.12685");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.070195, 0.081078, 0.104738, 0.146503, 0.221998, 0.383439, 0.728067", \
"0.069755, 0.081593, 0.10454, 0.146584, 0.221723, 0.382121, 0.72854", \
"0.070215, 0.080896, 0.104788, 0.144587, 0.2238, 0.381086, 0.726776", \
"0.069734, 0.081661, 0.104504, 0.146538, 0.22175, 0.38283, 0.728451", \
"0.070205, 0.081643, 0.104454, 0.14661, 0.221728, 0.382466, 0.728579", \
"0.070454, 0.08179, 0.105014, 0.146122, 0.222181, 0.383925, 0.724092", \
"0.070907, 0.083319, 0.106019, 0.14608, 0.223666, 0.384249, 0.724848");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.433726, 0.445747, 0.466499, 0.499878, 0.558648, 0.662033, 0.859807", \
"0.435717, 0.447888, 0.46876, 0.501951, 0.560259, 0.664264, 0.861881", \
"0.44011, 0.452123, 0.472649, 0.506228, 0.564507, 0.668632, 0.866375", \
"0.448355, 0.460195, 0.481267, 0.51446, 0.573008, 0.676851, 0.874646", \
"0.466253, 0.47846, 0.498794, 0.532298, 0.590589, 0.694463, 0.892267", \
"0.502734, 0.514647, 0.534794, 0.568216, 0.626582, 0.730238, 0.927599", \
"0.566255, 0.577356, 0.596514, 0.628473, 0.685738, 0.78919, 0.986603");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.072214, 0.083488, 0.103709, 0.140929, 0.20612, 0.338768, 0.623294", \
"0.072524, 0.082715, 0.103607, 0.140927, 0.207031, 0.337463, 0.619074", \
"0.072472, 0.08287, 0.103711, 0.139357, 0.205793, 0.338978, 0.623852", \
"0.073106, 0.082565, 0.103479, 0.140842, 0.206939, 0.337745, 0.622937", \
"0.073111, 0.082992, 0.103808, 0.140375, 0.206439, 0.338448, 0.623266", \
"0.073575, 0.082942, 0.103581, 0.141246, 0.206778, 0.337956, 0.622414", \
"0.073763, 0.084417, 0.105474, 0.141867, 0.207236, 0.339488, 0.622354");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.010938, 0.010541, 0.010082, 0.009706, 0.009461, 0.009329, 0.009251", \
"0.010931, 0.010534, 0.010073, 0.009695, 0.009456, 0.009323, 0.009244", \
"0.010919, 0.010523, 0.010062, 0.009685, 0.009445, 0.009313, 0.009234", \
"0.010907, 0.010509, 0.01005, 0.009674, 0.009429, 0.009298, 0.009221", \
"0.010899, 0.0105, 0.010041, 0.00966, 0.009415, 0.009285, 0.009209", \
"0.01103, 0.010632, 0.010161, 0.009761, 0.009492, 0.009343, 0.009253", \
"0.011577, 0.011155, 0.010629, 0.01017, 0.009819, 0.009604, 0.009469");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.009439, 0.009051, 0.008615, 0.008265, 0.008039, 0.007913, 0.00784", \
"0.009431, 0.009043, 0.008605, 0.008257, 0.008031, 0.007908, 0.007834", \
"0.009421, 0.009033, 0.008593, 0.008248, 0.008017, 0.007897, 0.007822", \
"0.009408, 0.00902, 0.008578, 0.00823, 0.008004, 0.007881, 0.007807", \
"0.009412, 0.009023, 0.008582, 0.00823, 0.007998, 0.007874, 0.0078", \
"0.009571, 0.009168, 0.008702, 0.008312, 0.008052, 0.007916, 0.007836", \
"0.010127, 0.009689, 0.009158, 0.008704, 0.008377, 0.008178, 0.008059");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.011307, 0.010903, 0.010434, 0.010048, 0.009795, 0.009663, 0.009579", \
"0.011297, 0.010894, 0.010424, 0.010032, 0.009786, 0.009646, 0.009565", \
"0.011281, 0.010877, 0.010409, 0.010018, 0.009765, 0.00963, 0.00955", \
"0.011258, 0.010855, 0.010386, 0.009997, 0.009742, 0.009609, 0.009529", \
"0.011238, 0.010834, 0.010362, 0.00997, 0.00972, 0.009586, 0.009505", \
"0.011373, 0.010966, 0.010484, 0.010068, 0.009786, 0.009628, 0.009535", \
"0.011979, 0.011542, 0.011023, 0.010527, 0.010155, 0.009914, 0.009768");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.009293, 0.008904, 0.008463, 0.008112, 0.007882, 0.007754, 0.007678", \
"0.009283, 0.008894, 0.008456, 0.008102, 0.007874, 0.00775, 0.007672", \
"0.009268, 0.008878, 0.008438, 0.008084, 0.007852, 0.00773, 0.007654", \
"0.009243, 0.008855, 0.008418, 0.008063, 0.007835, 0.007712, 0.007634", \
"0.009234, 0.008846, 0.008404, 0.008047, 0.007809, 0.007687, 0.007613", \
"0.009422, 0.009023, 0.008555, 0.008155, 0.007885, 0.007739, 0.007653", \
"0.010031, 0.00958, 0.009029, 0.008534, 0.008188, 0.007984, 0.007862");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.011427, 0.011025, 0.01056, 0.010171, 0.009924, 0.009786, 0.009704", \
"0.011423, 0.011021, 0.010555, 0.010167, 0.00992, 0.009782, 0.0097", \
"0.011414, 0.011012, 0.010546, 0.010164, 0.009915, 0.009774, 0.00969", \
"0.011404, 0.011002, 0.010536, 0.010149, 0.0099, 0.009762, 0.00968", \
"0.011411, 0.011007, 0.010539, 0.010147, 0.009899, 0.009757, 0.009674", \
"0.011594, 0.011185, 0.010701, 0.010283, 0.009994, 0.00983, 0.009731", \
"0.01235, 0.0119, 0.011346, 0.010828, 0.010436, 0.010173, 0.01001");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.00896, 0.008575, 0.008142, 0.007797, 0.007573, 0.007454, 0.007381", \
"0.00896, 0.008573, 0.008139, 0.007795, 0.007572, 0.007453, 0.007379", \
"0.008956, 0.008569, 0.008135, 0.00779, 0.007568, 0.007449, 0.007375", \
"0.00895, 0.008563, 0.008128, 0.007782, 0.007559, 0.00744, 0.007368", \
"0.008967, 0.00858, 0.008142, 0.007791, 0.00756, 0.007439, 0.007366", \
"0.009188, 0.008788, 0.008326, 0.007934, 0.007662, 0.007514, 0.007427", \
"0.009918, 0.009459, 0.008892, 0.008398, 0.008033, 0.007811, 0.007677");
}
}
}
}

/* --------------- *
* Design : ADDFX4 *
* --------------- */
cell (ADDFX4) {
cell_footprint : ADDF;
area : 27.248400;
cell_leakage_power : 190.178;
pin(A) {
direction : input;
capacitance : 0.0101482;
rise_capacitance : 0.0101482;
fall_capacitance : 0.0101478;
rise_capacitance_range ( 0.0100752, 0.0102212) ;
fall_capacitance_range ( 0.0100735, 0.0102221) ;
}
pin(B) {
direction : input;
capacitance : 0.00959299;
rise_capacitance : 0.00959299;
fall_capacitance : 0.00933824;
rise_capacitance_range ( 0.00947247, 0.0097135) ;
fall_capacitance_range ( 0.00896348, 0.00971301) ;
}
pin(CI) {
direction : input;
capacitance : 0.00682006;
rise_capacitance : 0.00682006;
fall_capacitance : 0.00679344;
rise_capacitance_range ( 0.00606349, 0.00757663) ;
fall_capacitance_range ( 0.00600846, 0.00757842) ;
}
pin(CO) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.562869;
function : "(((A B)+(B CI))+(CI A))";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.210258, 0.226745, 0.25589, 0.303244, 0.379253, 0.509341, 0.7556", \
"0.21266, 0.229115, 0.258283, 0.305467, 0.381829, 0.511602, 0.758173", \
"0.21691, 0.23345, 0.262882, 0.309815, 0.385922, 0.516308, 0.761589", \
"0.225074, 0.241418, 0.270951, 0.317876, 0.393969, 0.524353, 0.76957", \
"0.241559, 0.258127, 0.28711, 0.33454, 0.410489, 0.540723, 0.785918", \
"0.274942, 0.291149, 0.320016, 0.366664, 0.442695, 0.573648, 0.818349", \
"0.324736, 0.341775, 0.371947, 0.421551, 0.499628, 0.630706, 0.876193");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.068894, 0.080341, 0.104146, 0.14779, 0.228445, 0.390057, 0.733057", \
"0.068697, 0.080777, 0.103823, 0.148541, 0.228745, 0.390576, 0.730844", \
"0.067585, 0.080815, 0.105085, 0.147842, 0.229352, 0.391544, 0.729282", \
"0.067774, 0.080562, 0.105083, 0.147928, 0.229687, 0.391574, 0.731476", \
"0.06811, 0.079104, 0.104143, 0.147141, 0.229303, 0.391509, 0.72976", \
"0.070311, 0.082665, 0.105352, 0.14826, 0.228607, 0.391601, 0.732309", \
"0.081813, 0.094153, 0.11863, 0.16037, 0.237705, 0.39587, 0.732212");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.234098, 0.248893, 0.275388, 0.318085, 0.386807, 0.500387, 0.706234", \
"0.237146, 0.252085, 0.278342, 0.320726, 0.38973, 0.503371, 0.709319", \
"0.242864, 0.258076, 0.284138, 0.326454, 0.395449, 0.509498, 0.715046", \
"0.252225, 0.267453, 0.293791, 0.33629, 0.404992, 0.518803, 0.724759", \
"0.269675, 0.284847, 0.311127, 0.353653, 0.422316, 0.536968, 0.742419", \
"0.306434, 0.321425, 0.347632, 0.389782, 0.458367, 0.571801, 0.777684", \
"0.36884, 0.384294, 0.41148, 0.455078, 0.525226, 0.639723, 0.845789");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.075404, 0.08572, 0.107605, 0.145808, 0.214985, 0.349445, 0.631086", \
"0.076095, 0.086854, 0.106942, 0.145653, 0.216428, 0.350349, 0.630991", \
"0.074741, 0.086039, 0.106171, 0.145913, 0.215653, 0.349234, 0.630977", \
"0.074958, 0.085932, 0.107187, 0.146231, 0.214971, 0.349458, 0.628993", \
"0.074734, 0.084698, 0.107154, 0.14608, 0.214536, 0.348505, 0.631759", \
"0.075224, 0.085697, 0.106463, 0.144854, 0.215248, 0.350492, 0.627494", \
"0.088281, 0.09908, 0.120475, 0.155885, 0.22542, 0.35625, 0.634884");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.214066, 0.230608, 0.259821, 0.307716, 0.383945, 0.51471, 0.760538", \
"0.215671, 0.232321, 0.261906, 0.309124, 0.385762, 0.515934, 0.761821", \
"0.219614, 0.236056, 0.265159, 0.312624, 0.389305, 0.519569, 0.765642", \
"0.227156, 0.243752, 0.273055, 0.320639, 0.396714, 0.527143, 0.773273", \
"0.243531, 0.259919, 0.289641, 0.336759, 0.413007, 0.543158, 0.789274", \
"0.27706, 0.293308, 0.322178, 0.369239, 0.445202, 0.57549, 0.822013", \
"0.327398, 0.344763, 0.374825, 0.424433, 0.502372, 0.633514, 0.879145");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.070297, 0.081708, 0.107005, 0.149187, 0.230557, 0.390529, 0.733794", \
"0.06915, 0.082439, 0.10698, 0.149265, 0.230297, 0.392891, 0.731439", \
"0.070118, 0.082218, 0.105558, 0.150462, 0.230273, 0.39174, 0.732564", \
"0.070023, 0.081338, 0.105729, 0.149219, 0.230229, 0.391603, 0.73221", \
"0.068187, 0.08187, 0.106343, 0.148258, 0.229635, 0.392027, 0.730976", \
"0.070529, 0.084006, 0.106897, 0.148791, 0.22865, 0.391963, 0.735192", \
"0.084481, 0.095931, 0.119021, 0.161545, 0.237865, 0.397109, 0.735035");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.23657, 0.251652, 0.277866, 0.320514, 0.389339, 0.503524, 0.70985", \
"0.239096, 0.254161, 0.280478, 0.323024, 0.391722, 0.505911, 0.711806", \
"0.243839, 0.258797, 0.28528, 0.327801, 0.39674, 0.510914, 0.716546", \
"0.252611, 0.267532, 0.294077, 0.336478, 0.405272, 0.518913, 0.724966", \
"0.270642, 0.285692, 0.311937, 0.35455, 0.423126, 0.537721, 0.743427", \
"0.307002, 0.321935, 0.34796, 0.390037, 0.458398, 0.572006, 0.777803", \
"0.369173, 0.384756, 0.411774, 0.455168, 0.525513, 0.640213, 0.845855");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.075963, 0.087316, 0.109005, 0.145979, 0.217018, 0.350011, 0.633475", \
"0.076108, 0.087903, 0.107833, 0.146481, 0.217063, 0.35047, 0.631184", \
"0.076263, 0.087757, 0.106969, 0.146666, 0.216279, 0.350284, 0.631369", \
"0.076742, 0.086871, 0.107385, 0.146867, 0.215014, 0.350132, 0.630003", \
"0.076728, 0.086591, 0.10765, 0.146633, 0.215177, 0.349582, 0.633335", \
"0.076385, 0.08685, 0.108227, 0.146008, 0.215866, 0.350546, 0.629512", \
"0.090758, 0.101628, 0.122243, 0.156649, 0.226166, 0.356408, 0.635252");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.205576, 0.222021, 0.251121, 0.298669, 0.375261, 0.506106, 0.752453", \
"0.207936, 0.224467, 0.253866, 0.30104, 0.377678, 0.508611, 0.754252", \
"0.212272, 0.228766, 0.258094, 0.305144, 0.382364, 0.512752, 0.758489", \
"0.220054, 0.236419, 0.265796, 0.312916, 0.389705, 0.520607, 0.766191", \
"0.236141, 0.25255, 0.281811, 0.329118, 0.405753, 0.536587, 0.782737", \
"0.268672, 0.285013, 0.314037, 0.360961, 0.437504, 0.568336, 0.813776", \
"0.311826, 0.328948, 0.360708, 0.409904, 0.489764, 0.622721, 0.868243");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.067802, 0.080336, 0.104605, 0.146887, 0.228861, 0.391273, 0.733521", \
"0.06786, 0.079872, 0.103547, 0.148625, 0.230603, 0.391481, 0.735299", \
"0.067612, 0.080072, 0.103337, 0.148114, 0.229549, 0.392365, 0.729865", \
"0.067292, 0.079467, 0.103074, 0.148323, 0.230468, 0.391691, 0.733361", \
"0.066712, 0.079437, 0.103797, 0.146855, 0.228509, 0.39087, 0.734125", \
"0.069361, 0.082043, 0.105481, 0.149671, 0.23088, 0.392443, 0.730152", \
"0.082581, 0.095467, 0.120594, 0.162465, 0.240083, 0.397316, 0.729555");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.236685, 0.25211, 0.278715, 0.322003, 0.391965, 0.507284, 0.713308", \
"0.239564, 0.254872, 0.281664, 0.32495, 0.394809, 0.5093, 0.715295", \
"0.244991, 0.260216, 0.287034, 0.330291, 0.399511, 0.513422, 0.720183", \
"0.253945, 0.26935, 0.295926, 0.339191, 0.408485, 0.523111, 0.728598", \
"0.270945, 0.286393, 0.312994, 0.356263, 0.426292, 0.541639, 0.749535", \
"0.306691, 0.321918, 0.348438, 0.391244, 0.460837, 0.575973, 0.784181", \
"0.36239, 0.378831, 0.4067, 0.451436, 0.523689, 0.641482, 0.848571");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.075873, 0.087205, 0.108221, 0.144837, 0.215908, 0.350683, 0.627247", \
"0.075836, 0.087152, 0.108255, 0.146309, 0.216285, 0.35027, 0.631167", \
"0.075877, 0.087283, 0.108284, 0.144949, 0.216045, 0.34997, 0.633391", \
"0.076201, 0.086598, 0.107052, 0.146672, 0.214369, 0.349441, 0.631734", \
"0.075595, 0.086492, 0.108285, 0.145743, 0.216157, 0.349521, 0.633363", \
"0.075061, 0.087107, 0.107568, 0.145341, 0.216354, 0.349964, 0.63315", \
"0.091355, 0.101834, 0.124018, 0.160104, 0.22613, 0.356131, 0.63399");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.223207, 0.239882, 0.269424, 0.316648, 0.393363, 0.523573, 0.770012", \
"0.225213, 0.24161, 0.271094, 0.318779, 0.395106, 0.525506, 0.771916", \
"0.229325, 0.245813, 0.275162, 0.322557, 0.399091, 0.529287, 0.775196", \
"0.237499, 0.254251, 0.283568, 0.330758, 0.4074, 0.537584, 0.783551", \
"0.256364, 0.272954, 0.302304, 0.349405, 0.426149, 0.556283, 0.802157", \
"0.29769, 0.314075, 0.343172, 0.389896, 0.466054, 0.596016, 0.841581", \
"0.36368, 0.380664, 0.410987, 0.459959, 0.537943, 0.66864, 0.913675");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.069401, 0.08272, 0.107233, 0.149468, 0.231432, 0.39241, 0.735532", \
"0.069853, 0.081644, 0.107384, 0.149685, 0.230816, 0.392079, 0.735438", \
"0.069981, 0.082408, 0.105418, 0.150527, 0.230295, 0.392855, 0.732673", \
"0.069466, 0.082375, 0.106278, 0.149473, 0.230716, 0.392639, 0.733599", \
"0.068186, 0.081993, 0.105603, 0.149591, 0.231002, 0.391829, 0.734179", \
"0.072121, 0.082385, 0.105682, 0.149946, 0.231561, 0.392627, 0.731998", \
"0.088692, 0.099722, 0.123044, 0.163333, 0.241799, 0.399567, 0.735683");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.240663, 0.255782, 0.282054, 0.324664, 0.393559, 0.507343, 0.715438", \
"0.242437, 0.257714, 0.284094, 0.326586, 0.395344, 0.510279, 0.718471", \
"0.246821, 0.261849, 0.288139, 0.330733, 0.400158, 0.515659, 0.723593", \
"0.255789, 0.270948, 0.297274, 0.339788, 0.408996, 0.524521, 0.732699", \
"0.276429, 0.291506, 0.317724, 0.360352, 0.428955, 0.54314, 0.749591", \
"0.322368, 0.337305, 0.363556, 0.405563, 0.473869, 0.587699, 0.79343", \
"0.405607, 0.420977, 0.448078, 0.490763, 0.56035, 0.674033, 0.87949");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.076294, 0.087242, 0.109345, 0.146937, 0.217837, 0.352438, 0.635109", \
"0.077355, 0.088079, 0.108544, 0.147401, 0.216943, 0.352426, 0.635227", \
"0.076691, 0.088167, 0.108305, 0.147328, 0.217383, 0.352674, 0.634269", \
"0.076403, 0.087196, 0.10937, 0.146675, 0.218213, 0.352456, 0.635148", \
"0.077086, 0.086737, 0.109562, 0.147118, 0.218111, 0.35238, 0.634458", \
"0.076799, 0.087316, 0.108642, 0.14797, 0.218586, 0.353216, 0.635332", \
"0.095166, 0.104999, 0.12607, 0.16139, 0.231005, 0.361359, 0.635036");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.196808, 0.213302, 0.242338, 0.289751, 0.366298, 0.497194, 0.743297", \
"0.198949, 0.215494, 0.244561, 0.291738, 0.368714, 0.499196, 0.745805", \
"0.203282, 0.21983, 0.249089, 0.296291, 0.372882, 0.503871, 0.74949", \
"0.212302, 0.228816, 0.257754, 0.305242, 0.381811, 0.51293, 0.758222", \
"0.232006, 0.248428, 0.277561, 0.324592, 0.401559, 0.531812, 0.777809", \
"0.27355, 0.28973, 0.318399, 0.365168, 0.441751, 0.571964, 0.818539", \
"0.334237, 0.351278, 0.38159, 0.430357, 0.509184, 0.641382, 0.886579");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.067167, 0.078879, 0.103574, 0.147152, 0.227966, 0.390204, 0.732351", \
"0.066882, 0.078797, 0.103352, 0.147621, 0.229335, 0.390313, 0.731845", \
"0.067307, 0.079763, 0.103315, 0.147571, 0.22878, 0.389506, 0.729475", \
"0.067245, 0.07959, 0.103717, 0.147439, 0.230131, 0.390851, 0.727694", \
"0.066314, 0.079385, 0.102914, 0.147847, 0.230003, 0.39033, 0.731597", \
"0.069309, 0.081463, 0.104955, 0.148113, 0.229179, 0.391642, 0.727229", \
"0.088426, 0.099758, 0.122715, 0.163583, 0.241398, 0.397343, 0.730397");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.222239, 0.237511, 0.264477, 0.307595, 0.377409, 0.491169, 0.697229", \
"0.224392, 0.239785, 0.266693, 0.309717, 0.37971, 0.493473, 0.699408", \
"0.229006, 0.244353, 0.271298, 0.314327, 0.384309, 0.498089, 0.703994", \
"0.238587, 0.253975, 0.280827, 0.323996, 0.393802, 0.507543, 0.714318", \
"0.259973, 0.275469, 0.30209, 0.345287, 0.415065, 0.52923, 0.734733", \
"0.306625, 0.321982, 0.348256, 0.390936, 0.460645, 0.574049, 0.779793", \
"0.389262, 0.404722, 0.432021, 0.475731, 0.545922, 0.659859, 0.865079");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.074677, 0.085964, 0.10718, 0.145328, 0.216486, 0.349625, 0.632808", \
"0.075807, 0.086517, 0.105699, 0.145853, 0.216563, 0.350391, 0.63109", \
"0.074893, 0.086579, 0.106283, 0.145743, 0.216615, 0.350359, 0.630631", \
"0.07452, 0.085802, 0.107178, 0.14607, 0.214535, 0.348373, 0.633013", \
"0.074291, 0.085512, 0.10686, 0.144464, 0.216764, 0.35023, 0.628055", \
"0.074713, 0.085341, 0.107194, 0.146145, 0.21706, 0.349815, 0.629909", \
"0.095472, 0.105159, 0.123935, 0.158842, 0.225978, 0.356326, 0.635367");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.20512, 0.221745, 0.250702, 0.297725, 0.374013, 0.504087, 0.750458", \
"0.20721, 0.223877, 0.253042, 0.299984, 0.376106, 0.506342, 0.752703", \
"0.211787, 0.228421, 0.257357, 0.304412, 0.38097, 0.510796, 0.756769", \
"0.220684, 0.23727, 0.26628, 0.313576, 0.389483, 0.519869, 0.76618", \
"0.240434, 0.256851, 0.285831, 0.333144, 0.408969, 0.539228, 0.785504", \
"0.282434, 0.298614, 0.327405, 0.373827, 0.449867, 0.580171, 0.825182", \
"0.345281, 0.362507, 0.392497, 0.44143, 0.519121, 0.649961, 0.894699");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.067964, 0.080542, 0.104212, 0.147887, 0.229438, 0.390871, 0.733782", \
"0.067827, 0.080529, 0.104139, 0.147695, 0.229871, 0.390962, 0.733647", \
"0.067827, 0.080345, 0.104325, 0.147716, 0.230288, 0.391689, 0.733716", \
"0.068225, 0.079946, 0.103808, 0.148408, 0.230156, 0.392092, 0.730588", \
"0.067092, 0.080085, 0.104254, 0.14825, 0.230219, 0.39124, 0.732217", \
"0.070087, 0.081672, 0.105666, 0.149418, 0.230806, 0.392143, 0.733002", \
"0.088851, 0.100098, 0.123306, 0.164413, 0.24247, 0.397455, 0.73612");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.225039, 0.240018, 0.266167, 0.308552, 0.377606, 0.493035, 0.701409", \
"0.227177, 0.242102, 0.268421, 0.31078, 0.379835, 0.495185, 0.703307", \
"0.231834, 0.246827, 0.273045, 0.315412, 0.384419, 0.499811, 0.707909", \
"0.241512, 0.256441, 0.282937, 0.325252, 0.393904, 0.509909, 0.716902", \
"0.262977, 0.277885, 0.304013, 0.346506, 0.415211, 0.53089, 0.738063", \
"0.309781, 0.32466, 0.350379, 0.392338, 0.460832, 0.575838, 0.783895", \
"0.391023, 0.406358, 0.433581, 0.47628, 0.546336, 0.661853, 0.868763");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.078094, 0.088872, 0.109403, 0.147006, 0.217824, 0.353618, 0.633589", \
"0.077615, 0.088532, 0.108701, 0.147842, 0.218664, 0.352649, 0.635225", \
"0.078346, 0.089034, 0.108956, 0.147756, 0.218406, 0.352492, 0.635051", \
"0.077583, 0.088426, 0.10882, 0.147311, 0.217529, 0.353253, 0.633141", \
"0.078136, 0.087867, 0.109601, 0.14749, 0.218907, 0.353561, 0.632163", \
"0.078416, 0.088517, 0.11056, 0.147798, 0.218749, 0.353196, 0.635723", \
"0.096212, 0.107851, 0.125922, 0.162024, 0.230181, 0.359959, 0.635648");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.018508, 0.017696, 0.016765, 0.016013, 0.015529, 0.015277, 0.015128", \
"0.018495, 0.017683, 0.016751, 0.016, 0.015514, 0.015262, 0.015112", \
"0.018477, 0.017665, 0.016731, 0.01598, 0.01549, 0.015239, 0.015091", \
"0.018458, 0.017645, 0.01671, 0.015958, 0.015465, 0.015215, 0.015068", \
"0.01847, 0.017655, 0.016717, 0.015957, 0.015464, 0.015205, 0.015057", \
"0.018779, 0.017942, 0.016961, 0.016125, 0.01557, 0.015287, 0.015127", \
"0.019819, 0.018903, 0.017792, 0.016822, 0.016127, 0.015728, 0.015497");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.021274, 0.020443, 0.01947, 0.018669, 0.018177, 0.017918, 0.017766", \
"0.021259, 0.020431, 0.019455, 0.018651, 0.018166, 0.017903, 0.017752", \
"0.021238, 0.020406, 0.019432, 0.018632, 0.018145, 0.017881, 0.017732", \
"0.02122, 0.020387, 0.019414, 0.018621, 0.018121, 0.01786, 0.017712", \
"0.021214, 0.020377, 0.019403, 0.018606, 0.018099, 0.01784, 0.017693", \
"0.021479, 0.020647, 0.019653, 0.018804, 0.018253, 0.017953, 0.017779", \
"0.022536, 0.021636, 0.020531, 0.019557, 0.01883, 0.018405, 0.018148");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.018387, 0.017577, 0.016643, 0.015884, 0.015389, 0.015131, 0.014974", \
"0.018372, 0.017558, 0.01663, 0.015867, 0.015376, 0.015118, 0.014962", \
"0.018346, 0.017532, 0.016597, 0.015838, 0.015338, 0.015086, 0.014934", \
"0.018306, 0.017493, 0.016557, 0.015793, 0.015305, 0.015055, 0.014902", \
"0.018294, 0.017483, 0.016544, 0.015772, 0.01527, 0.015017, 0.014866", \
"0.018622, 0.017792, 0.016805, 0.015954, 0.015388, 0.015096, 0.014928", \
"0.019813, 0.018885, 0.017765, 0.016727, 0.015984, 0.015561, 0.015311");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.021801, 0.020961, 0.019968, 0.019145, 0.018631, 0.018358, 0.018201", \
"0.021787, 0.020947, 0.019955, 0.019138, 0.018607, 0.018337, 0.018188", \
"0.021763, 0.020919, 0.019928, 0.019103, 0.018587, 0.018319, 0.018162", \
"0.021725, 0.02088, 0.019888, 0.019072, 0.018547, 0.018279, 0.018125", \
"0.021697, 0.020854, 0.019863, 0.019037, 0.01852, 0.01825, 0.018093", \
"0.021978, 0.02113, 0.020114, 0.019238, 0.018655, 0.018335, 0.018153", \
"0.023171, 0.022305, 0.021145, 0.020091, 0.019328, 0.018849, 0.018561");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.017908, 0.017099, 0.01618, 0.015434, 0.014955, 0.014707, 0.01456", \
"0.017906, 0.017097, 0.016179, 0.015433, 0.014953, 0.014705, 0.014558", \
"0.017902, 0.017093, 0.016171, 0.015426, 0.014947, 0.014699, 0.014552", \
"0.017894, 0.017083, 0.016157, 0.015412, 0.014931, 0.014686, 0.01454", \
"0.017942, 0.01713, 0.016192, 0.015433, 0.014939, 0.014686, 0.01454", \
"0.018367, 0.017529, 0.016539, 0.015691, 0.015118, 0.014813, 0.014642", \
"0.019812, 0.018855, 0.017666, 0.016614, 0.015837, 0.015375, 0.015106");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.021916, 0.021073, 0.020093, 0.019281, 0.018753, 0.018474, 0.018316", \
"0.021911, 0.021069, 0.020081, 0.019263, 0.018752, 0.018469, 0.01831", \
"0.021896, 0.021052, 0.020066, 0.019247, 0.018735, 0.018455, 0.018297", \
"0.021884, 0.021039, 0.020054, 0.019239, 0.018717, 0.018439, 0.018281", \
"0.021908, 0.021064, 0.020069, 0.019241, 0.018715, 0.018435, 0.018275", \
"0.022284, 0.021428, 0.020402, 0.019515, 0.01891, 0.018576, 0.018383", \
"0.02374, 0.022814, 0.021711, 0.020602, 0.01976, 0.019229, 0.018899");
}
}
}
pin(S) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.553883;
function : "((A^B)^CI)";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.1935, 0.209081, 0.236598, 0.281077, 0.353814, 0.479362, 0.721543", \
"0.195969, 0.211681, 0.239404, 0.284039, 0.356642, 0.482016, 0.723958", \
"0.200919, 0.216632, 0.244138, 0.288695, 0.361366, 0.486905, 0.729158", \
"0.209561, 0.225136, 0.25266, 0.297494, 0.369924, 0.495539, 0.737575", \
"0.226361, 0.24192, 0.269442, 0.314232, 0.386845, 0.511987, 0.754088", \
"0.258908, 0.274349, 0.301587, 0.34587, 0.418338, 0.543968, 0.786228", \
"0.305047, 0.321249, 0.349878, 0.39672, 0.470987, 0.596904, 0.838901");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.064553, 0.076227, 0.098961, 0.140515, 0.220117, 0.383852, 0.723658", \
"0.064499, 0.076372, 0.09913, 0.141089, 0.22019, 0.381172, 0.731304", \
"0.064411, 0.076116, 0.098711, 0.140474, 0.219816, 0.382706, 0.726655", \
"0.064888, 0.075639, 0.098228, 0.141138, 0.220425, 0.380659, 0.730811", \
"0.064525, 0.075067, 0.098579, 0.141075, 0.219128, 0.38413, 0.72587", \
"0.067788, 0.077977, 0.100688, 0.141783, 0.221482, 0.38363, 0.726203", \
"0.080384, 0.091306, 0.114354, 0.154087, 0.22892, 0.389253, 0.731059");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.217047, 0.230978, 0.255376, 0.295116, 0.360127, 0.46823, 0.669669", \
"0.220268, 0.234189, 0.258578, 0.298402, 0.363312, 0.471508, 0.672394", \
"0.226361, 0.240465, 0.264881, 0.304575, 0.369505, 0.477865, 0.678916", \
"0.23652, 0.250513, 0.274978, 0.314606, 0.379737, 0.488041, 0.689176", \
"0.254559, 0.268439, 0.29299, 0.332574, 0.39757, 0.50581, 0.70672", \
"0.289574, 0.303376, 0.327464, 0.367051, 0.431401, 0.539786, 0.74077", \
"0.346298, 0.360775, 0.386113, 0.427625, 0.493882, 0.603373, 0.803948");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.069667, 0.07963, 0.100105, 0.135427, 0.204916, 0.338503, 0.623046", \
"0.069939, 0.079799, 0.100041, 0.136071, 0.204224, 0.338055, 0.626175", \
"0.06945, 0.079709, 0.099603, 0.136352, 0.204685, 0.339903, 0.625901", \
"0.069713, 0.078612, 0.099324, 0.136556, 0.203262, 0.339699, 0.624701", \
"0.069913, 0.080064, 0.098322, 0.136561, 0.203555, 0.339291, 0.62655", \
"0.071494, 0.080607, 0.099818, 0.136337, 0.204329, 0.336871, 0.618634", \
"0.085856, 0.095445, 0.114252, 0.150058, 0.213775, 0.343811, 0.624955");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.275843, 0.292771, 0.323512, 0.374424, 0.454788, 0.588879, 0.83486", \
"0.277617, 0.294692, 0.325305, 0.375289, 0.456481, 0.590261, 0.835465", \
"0.280317, 0.297617, 0.328546, 0.378611, 0.459406, 0.593091, 0.839373", \
"0.285518, 0.302612, 0.33321, 0.383303, 0.464574, 0.597882, 0.844282", \
"0.295543, 0.312712, 0.34351, 0.393996, 0.474367, 0.608465, 0.854531", \
"0.31861, 0.335695, 0.366393, 0.416102, 0.496551, 0.630143, 0.876459", \
"0.359605, 0.377141, 0.407949, 0.459789, 0.540946, 0.675356, 0.920965");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.089954, 0.099048, 0.125515, 0.17002, 0.247292, 0.404977, 0.744488", \
"0.089864, 0.101612, 0.124024, 0.16974, 0.250548, 0.407996, 0.748128", \
"0.088944, 0.101278, 0.125905, 0.167934, 0.248485, 0.406553, 0.739591", \
"0.089164, 0.100085, 0.124904, 0.167734, 0.250483, 0.407831, 0.741365", \
"0.088312, 0.099385, 0.123815, 0.169113, 0.246511, 0.406009, 0.73997", \
"0.087537, 0.09932, 0.123683, 0.168861, 0.2482, 0.407715, 0.741147", \
"0.100051, 0.112534, 0.133795, 0.177225, 0.255696, 0.411338, 0.748956");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.304387, 0.319958, 0.34751, 0.392265, 0.465375, 0.584065, 0.793169", \
"0.306874, 0.322416, 0.349944, 0.394846, 0.467852, 0.586609, 0.795271", \
"0.311258, 0.326671, 0.354478, 0.399753, 0.472359, 0.590711, 0.799939", \
"0.318618, 0.334096, 0.36155, 0.406548, 0.479329, 0.598173, 0.80725", \
"0.330858, 0.346266, 0.373956, 0.419233, 0.49185, 0.610366, 0.819322", \
"0.355279, 0.370924, 0.398464, 0.442893, 0.515848, 0.633986, 0.842851", \
"0.403071, 0.419467, 0.447542, 0.492449, 0.565637, 0.684387, 0.893586");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.09707, 0.108206, 0.129348, 0.168201, 0.238516, 0.373164, 0.650158", \
"0.097694, 0.109169, 0.130109, 0.165614, 0.239329, 0.371181, 0.649446", \
"0.097867, 0.107693, 0.129434, 0.167365, 0.238019, 0.372353, 0.645681", \
"0.097951, 0.109023, 0.129708, 0.166225, 0.237888, 0.37316, 0.649918", \
"0.096236, 0.107638, 0.12934, 0.167107, 0.236452, 0.372333, 0.649924", \
"0.0973, 0.107691, 0.127572, 0.168317, 0.239314, 0.370372, 0.642875", \
"0.109625, 0.117951, 0.138815, 0.175732, 0.244929, 0.375586, 0.651186");
}
}
timing() { /* MIN */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.464704, 0.477583, 0.49951, 0.536079, 0.600674, 0.720715, 0.957762", \
"0.467452, 0.480278, 0.502389, 0.538922, 0.603499, 0.723081, 0.959968", \
"0.472298, 0.485276, 0.50763, 0.543953, 0.608787, 0.728245, 0.964907", \
"0.481168, 0.493856, 0.516321, 0.552687, 0.617033, 0.736717, 0.974405", \
"0.498631, 0.511292, 0.533687, 0.570012, 0.634449, 0.754245, 0.991962", \
"0.534488, 0.547259, 0.569219, 0.605661, 0.670592, 0.789756, 1.02721", \
"0.603157, 0.61571, 0.637139, 0.672986, 0.736953, 0.855607, 1.09262");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.071541, 0.082662, 0.105621, 0.147636, 0.225141, 0.382819, 0.725461", \
"0.071065, 0.081615, 0.105951, 0.146794, 0.223702, 0.384541, 0.730206", \
"0.071071, 0.082741, 0.105804, 0.14655, 0.223418, 0.384658, 0.730229", \
"0.07145, 0.08201, 0.106005, 0.146969, 0.224839, 0.382457, 0.726704", \
"0.071292, 0.082724, 0.105634, 0.146941, 0.223859, 0.384286, 0.724897", \
"0.07116, 0.082563, 0.10608, 0.147857, 0.223272, 0.38317, 0.729899", \
"0.070811, 0.083465, 0.106652, 0.14815, 0.225143, 0.385165, 0.726976");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.444203, 0.45562, 0.475444, 0.507212, 0.564294, 0.666841, 0.864265", \
"0.446824, 0.458229, 0.478029, 0.509999, 0.566776, 0.669541, 0.867111", \
"0.451344, 0.462904, 0.48273, 0.514531, 0.571369, 0.674544, 0.872126", \
"0.459704, 0.471139, 0.490896, 0.522714, 0.579536, 0.682698, 0.880319", \
"0.475865, 0.487486, 0.506795, 0.538914, 0.595903, 0.698959, 0.896572", \
"0.507928, 0.51928, 0.538917, 0.570557, 0.627391, 0.731, 0.927906", \
"0.564781, 0.575777, 0.594586, 0.625783, 0.681487, 0.783878, 0.980769");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.07533, 0.08511, 0.105655, 0.14262, 0.208914, 0.341129, 0.626644", \
"0.075448, 0.08502, 0.10557, 0.142599, 0.208139, 0.341148, 0.625082", \
"0.075388, 0.085344, 0.105472, 0.141542, 0.208108, 0.338902, 0.618453", \
"0.074289, 0.085058, 0.105344, 0.141756, 0.207896, 0.339575, 0.619716", \
"0.074127, 0.084883, 0.105184, 0.140914, 0.208632, 0.339616, 0.620818", \
"0.075083, 0.085437, 0.105326, 0.14266, 0.208917, 0.338131, 0.617823", \
"0.075409, 0.084773, 0.105951, 0.141904, 0.208937, 0.340944, 0.625692");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.471907, 0.484799, 0.506774, 0.543298, 0.607181, 0.725843, 0.96335", \
"0.474973, 0.488086, 0.51054, 0.546527, 0.610298, 0.728668, 0.965377", \
"0.4809, 0.494001, 0.516429, 0.552416, 0.616218, 0.734635, 0.971364", \
"0.490937, 0.503852, 0.52618, 0.562461, 0.626447, 0.744836, 0.982414", \
"0.508961, 0.521789, 0.544082, 0.58046, 0.644198, 0.762735, 1.00001", \
"0.544055, 0.557046, 0.579269, 0.615413, 0.67918, 0.797465, 1.03478", \
"0.61252, 0.625251, 0.646598, 0.682799, 0.745479, 0.863256, 1.09993");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.072213, 0.082767, 0.106903, 0.147811, 0.225801, 0.386798, 0.726947", \
"0.071882, 0.082196, 0.106977, 0.148875, 0.225616, 0.386543, 0.730596", \
"0.071898, 0.083091, 0.106899, 0.149189, 0.226399, 0.385546, 0.730547", \
"0.07185, 0.082517, 0.106827, 0.149671, 0.226614, 0.382812, 0.728309", \
"0.071563, 0.082905, 0.106592, 0.150042, 0.226871, 0.385544, 0.726584", \
"0.071538, 0.083015, 0.106889, 0.149276, 0.226514, 0.383606, 0.730639", \
"0.072381, 0.083646, 0.108113, 0.150197, 0.227082, 0.386438, 0.728893");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.44558, 0.457313, 0.477372, 0.510133, 0.568821, 0.67436, 0.872767", \
"0.447594, 0.459526, 0.479552, 0.512711, 0.571512, 0.676466, 0.875518", \
"0.451837, 0.4637, 0.483717, 0.516741, 0.575432, 0.680428, 0.879606", \
"0.460034, 0.471703, 0.49191, 0.52503, 0.583434, 0.6885, 0.887417", \
"0.476332, 0.488308, 0.508312, 0.541431, 0.599846, 0.704923, 0.904023", \
"0.508746, 0.520381, 0.540752, 0.573371, 0.631623, 0.737081, 0.935215", \
"0.566274, 0.578128, 0.597253, 0.629394, 0.687283, 0.791502, 0.990187");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.078619, 0.088509, 0.108812, 0.147133, 0.212918, 0.345924, 0.629924", \
"0.078772, 0.08848, 0.108569, 0.145068, 0.213077, 0.345527, 0.626896", \
"0.078941, 0.088356, 0.10921, 0.146817, 0.21346, 0.346446, 0.62924", \
"0.077784, 0.087937, 0.109963, 0.146924, 0.213238, 0.346228, 0.629466", \
"0.07869, 0.088799, 0.110094, 0.146433, 0.213768, 0.346219, 0.629158", \
"0.079482, 0.089446, 0.108787, 0.146635, 0.212636, 0.34406, 0.62629", \
"0.080269, 0.090551, 0.111125, 0.147469, 0.214319, 0.346688, 0.625973");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.181588, 0.197008, 0.224179, 0.268538, 0.340405, 0.464909, 0.706528", \
"0.184471, 0.1999, 0.22705, 0.271418, 0.343162, 0.467656, 0.708865", \
"0.189656, 0.205111, 0.232328, 0.276614, 0.348543, 0.47305, 0.714573", \
"0.198688, 0.214308, 0.241493, 0.285474, 0.357182, 0.482339, 0.723403", \
"0.215938, 0.231284, 0.258587, 0.302684, 0.374179, 0.499213, 0.740714", \
"0.248141, 0.263578, 0.290628, 0.334124, 0.40582, 0.53094, 0.772556", \
"0.291095, 0.307167, 0.335745, 0.381689, 0.456393, 0.581788, 0.82286");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.061576, 0.072372, 0.096429, 0.13881, 0.217194, 0.382606, 0.721305", \
"0.061142, 0.072558, 0.096636, 0.138774, 0.216861, 0.382125, 0.727832", \
"0.062274, 0.073128, 0.095931, 0.138797, 0.217268, 0.3825, 0.723185", \
"0.061892, 0.073485, 0.096463, 0.137694, 0.219226, 0.380562, 0.726221", \
"0.061454, 0.073457, 0.096034, 0.138767, 0.217236, 0.37892, 0.729767", \
"0.066011, 0.076089, 0.099122, 0.139762, 0.219253, 0.378872, 0.729573", \
"0.078239, 0.089581, 0.11244, 0.152331, 0.228218, 0.386772, 0.731265");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.207791, 0.221593, 0.245774, 0.285208, 0.349788, 0.457626, 0.658188", \
"0.211246, 0.225035, 0.249467, 0.288931, 0.353176, 0.461091, 0.661705", \
"0.217772, 0.231571, 0.255752, 0.295196, 0.359788, 0.467647, 0.668272", \
"0.228207, 0.242044, 0.266209, 0.305604, 0.370277, 0.478197, 0.678769", \
"0.246412, 0.260139, 0.284457, 0.323761, 0.388367, 0.496246, 0.696886", \
"0.281407, 0.295095, 0.318956, 0.357978, 0.422166, 0.530103, 0.730807", \
"0.335814, 0.349684, 0.375582, 0.416371, 0.482943, 0.591828, 0.792584");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.067219, 0.077447, 0.098179, 0.133393, 0.203535, 0.335821, 0.621402", \
"0.06683, 0.077868, 0.097499, 0.134871, 0.202514, 0.335414, 0.620834", \
"0.067321, 0.077538, 0.098251, 0.133535, 0.203583, 0.335725, 0.620765", \
"0.068485, 0.07736, 0.097638, 0.134586, 0.20215, 0.338479, 0.625496", \
"0.068176, 0.078237, 0.096716, 0.134632, 0.20265, 0.335692, 0.62083", \
"0.070427, 0.078696, 0.098529, 0.134559, 0.202958, 0.336942, 0.61883", \
"0.084389, 0.093379, 0.113892, 0.147815, 0.213417, 0.344687, 0.627601");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.278209, 0.295364, 0.326478, 0.376969, 0.457985, 0.592521, 0.838814", \
"0.279903, 0.297247, 0.327828, 0.378086, 0.459819, 0.593445, 0.840446", \
"0.283228, 0.300402, 0.331623, 0.381883, 0.463114, 0.597298, 0.84411", \
"0.290327, 0.307321, 0.337969, 0.389272, 0.469942, 0.604417, 0.851056", \
"0.305827, 0.323052, 0.354286, 0.404622, 0.485685, 0.61959, 0.866755", \
"0.339056, 0.356317, 0.387229, 0.437671, 0.518442, 0.652365, 0.89875", \
"0.397645, 0.415106, 0.446569, 0.498099, 0.580158, 0.715668, 0.962219");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.088623, 0.101493, 0.1274, 0.169628, 0.250103, 0.405439, 0.749105", \
"0.090365, 0.101498, 0.126083, 0.170056, 0.252458, 0.410543, 0.742976", \
"0.089116, 0.102039, 0.12739, 0.168335, 0.249539, 0.406904, 0.74529", \
"0.090757, 0.101199, 0.125788, 0.171239, 0.249047, 0.405652, 0.746148", \
"0.088905, 0.101937, 0.127049, 0.168554, 0.251346, 0.410327, 0.741614", \
"0.089986, 0.100289, 0.125038, 0.170855, 0.252315, 0.410703, 0.749066", \
"0.101736, 0.113183, 0.135261, 0.178019, 0.259642, 0.414537, 0.752186");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.303197, 0.31868, 0.346349, 0.39137, 0.464467, 0.583129, 0.792268", \
"0.305292, 0.320868, 0.348577, 0.393476, 0.466621, 0.585214, 0.794738", \
"0.309662, 0.325242, 0.353185, 0.398072, 0.471024, 0.589813, 0.799299", \
"0.31741, 0.333085, 0.360574, 0.406217, 0.478877, 0.597449, 0.807113", \
"0.332999, 0.348666, 0.376457, 0.421612, 0.494618, 0.613518, 0.822586", \
"0.367557, 0.383106, 0.410678, 0.455594, 0.528516, 0.647242, 0.856575", \
"0.43441, 0.450182, 0.478373, 0.524366, 0.597139, 0.716478, 0.925701");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.098231, 0.109672, 0.129307, 0.167201, 0.240077, 0.370594, 0.644107", \
"0.099208, 0.108713, 0.128204, 0.168474, 0.239521, 0.372948, 0.646357", \
"0.098298, 0.108421, 0.129947, 0.168675, 0.237365, 0.373742, 0.65046", \
"0.09832, 0.108112, 0.130039, 0.168646, 0.237819, 0.373679, 0.650013", \
"0.098007, 0.108459, 0.130143, 0.16864, 0.23778, 0.372475, 0.650416", \
"0.098651, 0.10806, 0.130291, 0.167863, 0.239821, 0.373445, 0.65104", \
"0.109987, 0.118225, 0.141232, 0.178853, 0.245109, 0.375877, 0.653246");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.469549, 0.482297, 0.504575, 0.540685, 0.60545, 0.724887, 0.962605", \
"0.47147, 0.484284, 0.506509, 0.542838, 0.607365, 0.726906, 0.963875", \
"0.475657, 0.488233, 0.510493, 0.546856, 0.611457, 0.731247, 0.968899", \
"0.484672, 0.497188, 0.519615, 0.555924, 0.6204, 0.7402, 0.977959", \
"0.504885, 0.517444, 0.539858, 0.576168, 0.640622, 0.760901, 0.998092", \
"0.549348, 0.56216, 0.584508, 0.620594, 0.685261, 0.805151, 1.04234", \
"0.617903, 0.630973, 0.653399, 0.68921, 0.752189, 0.870674, 1.10798");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.071345, 0.082555, 0.106687, 0.14902, 0.226372, 0.384259, 0.729748", \
"0.071048, 0.082867, 0.10679, 0.148944, 0.225521, 0.386537, 0.728344", \
"0.070761, 0.082919, 0.107163, 0.148346, 0.226083, 0.382445, 0.726403", \
"0.070977, 0.082859, 0.106682, 0.149174, 0.226369, 0.384615, 0.725284", \
"0.071519, 0.082872, 0.106587, 0.147985, 0.226316, 0.386785, 0.7262", \
"0.071901, 0.082355, 0.106998, 0.148578, 0.226005, 0.386897, 0.726656", \
"0.072775, 0.084635, 0.108234, 0.148531, 0.227889, 0.387045, 0.726176");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.447035, 0.458612, 0.478641, 0.510777, 0.568361, 0.671536, 0.868763", \
"0.449791, 0.461322, 0.481265, 0.513754, 0.570924, 0.674512, 0.872223", \
"0.454335, 0.465953, 0.486161, 0.51835, 0.575558, 0.67896, 0.876832", \
"0.462303, 0.473868, 0.493601, 0.526204, 0.583364, 0.686903, 0.884757", \
"0.477919, 0.489333, 0.509373, 0.541548, 0.598978, 0.702401, 0.899925", \
"0.507945, 0.519365, 0.538925, 0.571249, 0.628292, 0.731783, 0.929633", \
"0.559682, 0.570693, 0.590156, 0.6211, 0.676818, 0.780065, 0.977289");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.077793, 0.087421, 0.107911, 0.143478, 0.21174, 0.343122, 0.627692", \
"0.077743, 0.087329, 0.107523, 0.143442, 0.209865, 0.339242, 0.623325", \
"0.07764, 0.086986, 0.10771, 0.144603, 0.208965, 0.342387, 0.622157", \
"0.076412, 0.086787, 0.10645, 0.144143, 0.208469, 0.340593, 0.619091", \
"0.076489, 0.086831, 0.107187, 0.143757, 0.210897, 0.340653, 0.62186", \
"0.077557, 0.087238, 0.107172, 0.144202, 0.209097, 0.341538, 0.621355", \
"0.077763, 0.08776, 0.108417, 0.143233, 0.209879, 0.34035, 0.620921");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.487333, 0.500371, 0.523295, 0.559821, 0.624098, 0.743301, 0.980505", \
"0.490341, 0.503374, 0.526338, 0.562889, 0.627338, 0.746187, 0.983965", \
"0.495896, 0.508895, 0.531942, 0.568372, 0.632842, 0.751632, 0.988768", \
"0.50488, 0.517956, 0.540931, 0.577474, 0.641804, 0.760938, 0.998173", \
"0.521451, 0.534618, 0.557381, 0.594292, 0.658573, 0.777399, 1.01451", \
"0.554735, 0.567779, 0.590245, 0.627255, 0.691489, 0.810714, 1.04806", \
"0.638418, 0.650625, 0.671776, 0.70756, 0.771182, 0.889975, 1.12692");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.07404, 0.085067, 0.108189, 0.149179, 0.226995, 0.387395, 0.731238", \
"0.0739, 0.085078, 0.108146, 0.150076, 0.22617, 0.38692, 0.730348", \
"0.074118, 0.085075, 0.108383, 0.148779, 0.226977, 0.386652, 0.731992", \
"0.07419, 0.085124, 0.108251, 0.150135, 0.226961, 0.387428, 0.731052", \
"0.07342, 0.085223, 0.10743, 0.149279, 0.22694, 0.386977, 0.731909", \
"0.073503, 0.085543, 0.108708, 0.149749, 0.226181, 0.387509, 0.726958", \
"0.075001, 0.086536, 0.11013, 0.151827, 0.228618, 0.387545, 0.728915");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.453711, 0.465541, 0.485791, 0.518716, 0.577252, 0.68294, 0.881226", \
"0.455658, 0.467396, 0.487513, 0.520617, 0.579065, 0.684767, 0.883066", \
"0.459739, 0.471268, 0.491428, 0.524217, 0.582931, 0.688609, 0.887582", \
"0.467784, 0.479362, 0.499525, 0.532324, 0.591126, 0.696905, 0.895566", \
"0.485747, 0.49747, 0.517696, 0.550353, 0.609196, 0.71487, 0.913628", \
"0.524992, 0.536866, 0.556845, 0.589642, 0.648155, 0.753824, 0.952656", \
"0.598764, 0.609635, 0.628441, 0.660118, 0.71766, 0.82227, 1.02068");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.077817, 0.088541, 0.108821, 0.145644, 0.21276, 0.344006, 0.62792", \
"0.078296, 0.088801, 0.11018, 0.146344, 0.213026, 0.344341, 0.627503", \
"0.078534, 0.088612, 0.108423, 0.147041, 0.212322, 0.344443, 0.625655", \
"0.078358, 0.088418, 0.1083, 0.146502, 0.212789, 0.343393, 0.620891", \
"0.078802, 0.088817, 0.108181, 0.146662, 0.212, 0.34272, 0.62414", \
"0.078948, 0.088869, 0.110082, 0.146475, 0.213092, 0.344586, 0.626406", \
"0.079023, 0.089037, 0.110876, 0.146877, 0.213845, 0.346219, 0.628792");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.181509, 0.197028, 0.224407, 0.268865, 0.341721, 0.467331, 0.709024", \
"0.184369, 0.199859, 0.227347, 0.271874, 0.344766, 0.470089, 0.711856", \
"0.189288, 0.204878, 0.232359, 0.276862, 0.349857, 0.475188, 0.716965", \
"0.197731, 0.213259, 0.240866, 0.285371, 0.357924, 0.483473, 0.724256", \
"0.214037, 0.229527, 0.256968, 0.301331, 0.373911, 0.499267, 0.740564", \
"0.24294, 0.25904, 0.28667, 0.331085, 0.403691, 0.529354, 0.770988", \
"0.278959, 0.295212, 0.324194, 0.371583, 0.447544, 0.576373, 0.81708");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.061199, 0.073267, 0.096743, 0.138903, 0.218896, 0.381276, 0.728706", \
"0.062112, 0.07429, 0.096832, 0.138622, 0.218825, 0.38366, 0.721266", \
"0.062426, 0.072995, 0.097024, 0.138238, 0.218932, 0.383478, 0.721238", \
"0.062377, 0.073703, 0.095977, 0.139086, 0.219325, 0.381272, 0.729416", \
"0.061505, 0.073215, 0.096669, 0.138822, 0.217915, 0.382574, 0.728722", \
"0.067639, 0.078123, 0.100659, 0.141307, 0.220127, 0.38419, 0.724926", \
"0.07876, 0.090431, 0.113982, 0.155678, 0.232394, 0.390942, 0.732676");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.211993, 0.226385, 0.251247, 0.291804, 0.357991, 0.468141, 0.669644", \
"0.215409, 0.229722, 0.254474, 0.295268, 0.361414, 0.471574, 0.673583", \
"0.221184, 0.235573, 0.26053, 0.301125, 0.367243, 0.477486, 0.679186", \
"0.230635, 0.244936, 0.269932, 0.310345, 0.376624, 0.486987, 0.688778", \
"0.247381, 0.261721, 0.286617, 0.327189, 0.393231, 0.503601, 0.705532", \
"0.280043, 0.294121, 0.318942, 0.359327, 0.42512, 0.53528, 0.736902", \
"0.327341, 0.341941, 0.368239, 0.410835, 0.479725, 0.592261, 0.794692");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.071143, 0.079986, 0.101555, 0.137064, 0.207306, 0.340508, 0.623274", \
"0.069744, 0.080445, 0.101237, 0.137907, 0.207084, 0.341182, 0.623613", \
"0.071119, 0.080033, 0.101602, 0.137622, 0.207233, 0.339138, 0.620679", \
"0.070926, 0.08089, 0.101162, 0.137463, 0.207381, 0.339604, 0.619696", \
"0.070822, 0.079911, 0.101027, 0.137989, 0.206265, 0.340752, 0.621954", \
"0.074382, 0.083057, 0.102124, 0.139483, 0.20762, 0.340307, 0.621295", \
"0.086368, 0.096604, 0.118147, 0.15295, 0.220637, 0.352133, 0.628176");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.281909, 0.299036, 0.329702, 0.379908, 0.461331, 0.595391, 0.841163", \
"0.283053, 0.300204, 0.33138, 0.381645, 0.462579, 0.596735, 0.843296", \
"0.285938, 0.303022, 0.333737, 0.38399, 0.465128, 0.599357, 0.845371", \
"0.29197, 0.309321, 0.340279, 0.390427, 0.47165, 0.605179, 0.851904", \
"0.307364, 0.324506, 0.355303, 0.405607, 0.486417, 0.620501, 0.866766", \
"0.344361, 0.361463, 0.391996, 0.441987, 0.522864, 0.655743, 0.902002", \
"0.415864, 0.432893, 0.463905, 0.514539, 0.595067, 0.729605, 0.975008");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.090263, 0.102064, 0.124672, 0.170508, 0.251493, 0.409437, 0.74923", \
"0.088629, 0.101445, 0.126535, 0.168434, 0.248557, 0.406477, 0.743228", \
"0.09024, 0.101855, 0.12427, 0.170203, 0.250187, 0.407328, 0.747693", \
"0.089018, 0.101357, 0.125941, 0.168377, 0.249499, 0.408209, 0.741114", \
"0.089312, 0.100632, 0.123686, 0.169477, 0.24942, 0.405546, 0.744245", \
"0.087956, 0.099275, 0.124318, 0.169336, 0.248013, 0.408156, 0.746751", \
"0.102786, 0.113522, 0.135635, 0.177132, 0.256894, 0.408997, 0.741308");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.300825, 0.31641, 0.343921, 0.388903, 0.462064, 0.580905, 0.789652", \
"0.301718, 0.3173, 0.345008, 0.38986, 0.462975, 0.581471, 0.790847", \
"0.304291, 0.319817, 0.347507, 0.392467, 0.465524, 0.584184, 0.793014", \
"0.310844, 0.326458, 0.354008, 0.398842, 0.471907, 0.590371, 0.79995", \
"0.327419, 0.342872, 0.370547, 0.415529, 0.488578, 0.607477, 0.815615", \
"0.367606, 0.383023, 0.41066, 0.455944, 0.528274, 0.646238, 0.855397", \
"0.452055, 0.467899, 0.495357, 0.540203, 0.612172, 0.730895, 0.939111");
}
fall_transition(delay_template_7x7) {
i

ndex_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");


index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.097446, 0.108642, 0.130382, 0.16607, 0.239473, 0.372026, 0.649594", \
"0.098935, 0.10839, 0.128081, 0.168247, 0.239295, 0.372442, 0.645738", \
"0.099165, 0.109375, 0.12877, 0.16714, 0.23966, 0.369415, 0.644628", \
"0.09709, 0.10818, 0.129384, 0.168496, 0.23762, 0.373507, 0.649218", \
"0.098421, 0.108968, 0.128804, 0.166208, 0.239743, 0.369046, 0.646714", \
"0.09805, 0.108449, 0.127518, 0.167259, 0.239272, 0.371998, 0.64443", \
"0.110884, 0.119534, 0.139233, 0.176283, 0.24499, 0.374494, 0.651212");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.46106, 0.473868, 0.495801, 0.532124, 0.595924, 0.714787, 0.951875", \
"0.46331, 0.476153, 0.498431, 0.534431, 0.598161, 0.716618, 0.95358", \
"0.467933, 0.480766, 0.50307, 0.539076, 0.602784, 0.721232, 0.958139", \
"0.477558, 0.490393, 0.512491, 0.548786, 0.61243, 0.731189, 0.968712", \
"0.498578, 0.511468, 0.533209, 0.56942, 0.633313, 0.75187, 0.989403", \
"0.542857, 0.555523, 0.577234, 0.613519, 0.67695, 0.795804, 1.03345", \
"0.627941, 0.640032, 0.661055, 0.696105, 0.758839, 0.876445, 1.11328");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.071725, 0.083076, 0.106016, 0.146301, 0.224097, 0.3856, 0.724009", \
"0.071354, 0.082222, 0.106113, 0.147293, 0.224616, 0.384488, 0.730832", \
"0.071745, 0.08237, 0.106184, 0.14739, 0.224617, 0.384425, 0.730946", \
"0.071812, 0.082471, 0.106225, 0.147287, 0.223759, 0.385523, 0.72352", \
"0.071728, 0.083015, 0.106075, 0.148314, 0.224581, 0.382987, 0.730605", \
"0.071592, 0.083296, 0.106222, 0.146567, 0.225857, 0.382716, 0.727761", \
"0.073194, 0.084525, 0.107396, 0.14772, 0.225978, 0.385169, 0.727869");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.437448, 0.448833, 0.468111, 0.500229, 0.557075, 0.660039, 0.857576", \
"0.439567, 0.451057, 0.470281, 0.502421, 0.559249, 0.662298, 0.859855", \
"0.444252, 0.455574, 0.474931, 0.506892, 0.564047, 0.666706, 0.864063", \
"0.453026, 0.464345, 0.4837, 0.515668, 0.572436, 0.675656, 0.873183", \
"0.471708, 0.483114, 0.502268, 0.53436, 0.591144, 0.694217, 0.891706", \
"0.509972, 0.521336, 0.540464, 0.572334, 0.629166, 0.73217, 0.929818", \
"0.57844, 0.588947, 0.607027, 0.637303, 0.692984, 0.795481, 0.992579");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.074329, 0.084285, 0.105101, 0.142888, 0.208107, 0.340205, 0.62197", \
"0.074711, 0.084247, 0.10544, 0.142751, 0.20836, 0.340874, 0.625323", \
"0.074258, 0.083849, 0.105154, 0.142956, 0.208286, 0.339859, 0.623026", \
"0.074325, 0.08482, 0.105127, 0.141578, 0.20838, 0.341343, 0.627096", \
"0.07514, 0.083908, 0.105782, 0.141735, 0.208541, 0.341035, 0.621784", \
"0.075167, 0.084708, 0.106087, 0.143014, 0.20867, 0.340832, 0.624995", \
"0.075987, 0.086046, 0.107468, 0.142561, 0.209561, 0.341507, 0.622937");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.473209, 0.48597, 0.508733, 0.545541, 0.609951, 0.72902, 0.96695", \
"0.475393, 0.48839, 0.511083, 0.54778, 0.612, 0.731147, 0.968399", \
"0.480024, 0.493008, 0.515873, 0.552343, 0.616547, 0.735693, 0.972964", \
"0.489573, 0.502533, 0.525478, 0.561869, 0.626273, 0.74497, 0.982648", \
"0.510517, 0.523446, 0.546183, 0.582712, 0.647034, 0.765968, 1.00382", \
"0.554532, 0.567708, 0.590082, 0.626688, 0.691062, 0.810115, 1.04753", \
"0.640963, 0.653189, 0.674663, 0.710533, 0.773608, 0.891641, 1.12835");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.07407, 0.084861, 0.10786, 0.150033, 0.22644, 0.386175, 0.729147", \
"0.074219, 0.085314, 0.107797, 0.150645, 0.226493, 0.387531, 0.731344", \
"0.074207, 0.085262, 0.108296, 0.150518, 0.226488, 0.387386, 0.731596", \
"0.074197, 0.085564, 0.108474, 0.150377, 0.226338, 0.386297, 0.732117", \
"0.074139, 0.085578, 0.107681, 0.14882, 0.227498, 0.384896, 0.732005", \
"0.073828, 0.085721, 0.108464, 0.150375, 0.226541, 0.387673, 0.729543", \
"0.074944, 0.0863, 0.109665, 0.152038, 0.228726, 0.385501, 0.732269");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.43843, 0.450041, 0.470222, 0.502139, 0.559531, 0.662935, 0.860679", \
"0.440733, 0.452232, 0.471966, 0.504365, 0.561527, 0.664858, 0.862289", \
"0.445149, 0.456605, 0.476589, 0.508783, 0.566023, 0.669355, 0.867237", \
"0.453939, 0.465374, 0.485247, 0.517577, 0.574709, 0.678353, 0.876182", \
"0.472522, 0.484169, 0.504116, 0.536056, 0.593437, 0.69641, 0.89477", \
"0.510632, 0.521891, 0.541746, 0.573652, 0.630824, 0.733856, 0.931607", \
"0.57899, 0.589408, 0.607302, 0.637991, 0.693832, 0.796373, 0.993064");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.075942, 0.086607, 0.107284, 0.142921, 0.210602, 0.340834, 0.62723", \
"0.076005, 0.08665, 0.106979, 0.144444, 0.210508, 0.341688, 0.627225", \
"0.077039, 0.086411, 0.107028, 0.144495, 0.209478, 0.342071, 0.627491", \
"0.077004, 0.086394, 0.106994, 0.144031, 0.209944, 0.342468, 0.627164", \
"0.075926, 0.086595, 0.107009, 0.144595, 0.210047, 0.341849, 0.626881", \
"0.077485, 0.0875, 0.107324, 0.144908, 0.210289, 0.341919, 0.626383", \
"0.078276, 0.087772, 0.108917, 0.143951, 0.211362, 0.34175, 0.624976");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.021274, 0.020443, 0.01947, 0.018669, 0.018177, 0.017918, 0.017766", \
"0.021259, 0.020431, 0.019455, 0.018651, 0.018166, 0.017903, 0.017752", \
"0.021238, 0.020406, 0.019432, 0.018632, 0.018145, 0.017881, 0.017732", \
"0.02122, 0.020387, 0.019414, 0.018621, 0.018121, 0.01786, 0.017712", \
"0.021214, 0.020377, 0.019403, 0.018606, 0.018099, 0.01784, 0.017693", \
"0.021479, 0.020647, 0.019653, 0.018804, 0.018253, 0.017953, 0.017779", \
"0.022536, 0.021636, 0.020531, 0.019557, 0.01883, 0.018405, 0.018148");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.018508, 0.017696, 0.016765, 0.016013, 0.015529, 0.015277, 0.015128", \
"0.018495, 0.017683, 0.016751, 0.016, 0.015514, 0.015262, 0.015112", \
"0.018477, 0.017665, 0.016731, 0.01598, 0.01549, 0.015239, 0.015091", \
"0.018458, 0.017645, 0.01671, 0.015958, 0.015465, 0.015215, 0.015068", \
"0.01847, 0.017655, 0.016717, 0.015957, 0.015464, 0.015205, 0.015057", \
"0.018779, 0.017942, 0.016961, 0.016125, 0.01557, 0.015287, 0.015127", \
"0.019819, 0.018903, 0.017792, 0.016822, 0.016127, 0.015728, 0.015497");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.021801, 0.020961, 0.019968, 0.019145, 0.018631, 0.018358, 0.018201", \
"0.021787, 0.020947, 0.019955, 0.019138, 0.018607, 0.018337, 0.018188", \
"0.021763, 0.020919, 0.019928, 0.019103, 0.018587, 0.018319, 0.018162", \
"0.021725, 0.02088, 0.019888, 0.019072, 0.018547, 0.018279, 0.018125", \
"0.021697, 0.020854, 0.019863, 0.019037, 0.01852, 0.01825, 0.018093", \
"0.021978, 0.02113, 0.020114, 0.019238, 0.018655, 0.018335, 0.018153", \
"0.023171, 0.022305, 0.021145, 0.020091, 0.019328, 0.018849, 0.018561");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.018387, 0.017577, 0.016643, 0.015884, 0.015389, 0.015131, 0.014974", \
"0.018372, 0.017558, 0.01663, 0.015867, 0.015376, 0.015118, 0.014962", \
"0.018346, 0.017532, 0.016597, 0.015838, 0.015338, 0.015086, 0.014934", \
"0.018306, 0.017493, 0.016557, 0.015793, 0.015305, 0.015055, 0.014902", \
"0.018294, 0.017483, 0.016544, 0.015772, 0.01527, 0.015017, 0.014866", \
"0.018622, 0.017792, 0.016805, 0.015954, 0.015388, 0.015096, 0.014928", \
"0.019813, 0.018885, 0.017765, 0.016727, 0.015984, 0.015561, 0.015311");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.021916, 0.021073, 0.020093, 0.019281, 0.018753, 0.018474, 0.018316", \
"0.021911, 0.021069, 0.020081, 0.019263, 0.018752, 0.018469, 0.01831", \
"0.021896, 0.021052, 0.020066, 0.019247, 0.018735, 0.018455, 0.018297", \
"0.021884, 0.021039, 0.020054, 0.019239, 0.018717, 0.018439, 0.018281", \
"0.021908, 0.021064, 0.020069, 0.019241, 0.018715, 0.018435, 0.018275", \
"0.022284, 0.021428, 0.020402, 0.019515, 0.01891, 0.018576, 0.018383", \
"0.02374, 0.022814, 0.021711, 0.020602, 0.01976, 0.019229, 0.018899");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.017908, 0.017099, 0.01618, 0.015434, 0.014955, 0.014707, 0.01456", \
"0.017906, 0.017097, 0.016179, 0.015433, 0.014953, 0.014705, 0.014558", \
"0.017902, 0.017093, 0.016171, 0.015426, 0.014947, 0.014699, 0.014552", \
"0.017894, 0.017083, 0.016157, 0.015412, 0.014931, 0.014686, 0.01454", \
"0.017942, 0.01713, 0.016192, 0.015433, 0.014939, 0.014686, 0.01454", \
"0.018367, 0.017529, 0.016539, 0.015691, 0.015118, 0.014813, 0.014642", \
"0.019812, 0.018855, 0.017666, 0.016614, 0.015837, 0.015375, 0.015106");
}
}
}
}
/* --------------- *
* Design : ADDFXL *
* --------------- */
cell (ADDFXL) {
cell_footprint : ADDF;
area : 19.679400;
cell_leakage_power : 67.041;
pin(A) {
direction : input;
capacitance : 0.00657311;
rise_capacitance : 0.00657081;
fall_capacitance : 0.00657311;
rise_capacitance_range ( 0.0064616, 0.00668003) ;
fall_capacitance_range ( 0.00646528, 0.00668093) ;
}
pin(B) {
direction : input;
capacitance : 0.00610088;
rise_capacitance : 0.00610088;
fall_capacitance : 0.00596156;
rise_capacitance_range ( 0.00605012, 0.00615164) ;
fall_capacitance_range ( 0.00577038, 0.00615273) ;
}
pin(CI) {
direction : input;
capacitance : 0.00444969;
rise_capacitance : 0.00444969;
fall_capacitance : 0.00443909;
rise_capacitance_range ( 0.00404346, 0.00485592) ;
fall_capacitance_range ( 0.00401963, 0.00485855) ;
}
pin(CO) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.0784806;
function : "(((A B)+(B CI))+(CI A))";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.163388, 0.176172, 0.199184, 0.237534, 0.301951, 0.41716, 0.637141", \
"0.165525, 0.178352, 0.201493, 0.239672, 0.304165, 0.419276, 0.639464", \
"0.169766, 0.182504, 0.205532, 0.243908, 0.308259, 0.423515, 0.64319", \
"0.177187, 0.190029, 0.213113, 0.251366, 0.315773, 0.430963, 0.651248", \
"0.192722, 0.20546, 0.228361, 0.266491, 0.331096, 0.446127, 0.666243", \
"0.219083, 0.232264, 0.255881, 0.294476, 0.359453, 0.474652, 0.694811", \
"0.252992, 0.266968, 0.29226, 0.333464, 0.401309, 0.519038, 0.738933");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.051654, 0.062036, 0.082449, 0.120583, 0.195336, 0.346584, 0.658145", \
"0.050924, 0.061366, 0.08313, 0.120577, 0.195737, 0.345202, 0.653575", \
"0.051491, 0.061633, 0.08258, 0.120981, 0.195594, 0.345027, 0.658263", \
"0.051209, 0.06096, 0.082218, 0.120457, 0.194885, 0.344289, 0.654808", \
"0.050942, 0.061858, 0.08194, 0.120899, 0.194631, 0.344665, 0.66098", \
"0.056887, 0.067418, 0.08669, 0.124625, 0.196913, 0.345788, 0.656775", \
"0.065228, 0.07685, 0.098143, 0.134632, 0.206311, 0.351611, 0.657868");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.177106, 0.188896, 0.209801, 0.244346, 0.301262, 0.398574, 0.577811", \
"0.180008, 0.191657, 0.212545, 0.247286, 0.304253, 0.401463, 0.580492", \
"0.185626, 0.197217, 0.218079, 0.25264, 0.309788, 0.406934, 0.586365", \
"0.195134, 0.206697, 0.227881, 0.262332, 0.319557, 0.416557, 0.595879", \
"0.213247, 0.224809, 0.245614, 0.280054, 0.337007, 0.434284, 0.613578", \
"0.246982, 0.25883, 0.279694, 0.314257, 0.371453, 0.4686, 0.647925", \
"0.296546, 0.309395, 0.332199, 0.369642, 0.429601, 0.529804, 0.70906");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.05302, 0.062634, 0.081341, 0.114026, 0.176992, 0.29641, 0.546979", \
"0.052883, 0.061844, 0.08126, 0.114485, 0.176656, 0.297994, 0.552067", \
"0.052987, 0.062422, 0.081219, 0.114793, 0.175796, 0.297604, 0.553133", \
"0.05254, 0.062743, 0.080934, 0.113896, 0.176951, 0.296112, 0.548666", \
"0.052165, 0.062627, 0.080756, 0.114865, 0.175788, 0.297972, 0.549055", \
"0.057452, 0.066164, 0.084623, 0.116646, 0.178352, 0.296821, 0.547914", \
"0.069315, 0.078994, 0.097151, 0.13072, 0.189434, 0.305663, 0.550819");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.166168, 0.179141, 0.202702, 0.241015, 0.305771, 0.421119, 0.641434", \
"0.167539, 0.180589, 0.204187, 0.242541, 0.307377, 0.422584, 0.643357", \
"0.171082, 0.184062, 0.207271, 0.245925, 0.310634, 0.425797, 0.64614", \
"0.178215, 0.191194, 0.214364, 0.25285, 0.317477, 0.432757, 0.653014", \
"0.193606, 0.20633, 0.229577, 0.267699, 0.332116, 0.447533, 0.668176", \
"0.220142, 0.233528, 0.25729, 0.296091, 0.361029, 0.476276, 0.696559", \
"0.25547, 0.269725, 0.294926, 0.335854, 0.403713, 0.521054, 0.741366");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.052259, 0.0635, 0.084879, 0.121226, 0.196673, 0.347232, 0.661449", \
"0.051945, 0.063905, 0.083799, 0.121601, 0.195902, 0.345411, 0.660621", \
"0.052937, 0.0636, 0.083105, 0.121791, 0.19578, 0.346824, 0.659932", \
"0.052135, 0.063537, 0.082761, 0.121782, 0.195645, 0.347108, 0.656804", \
"0.051794, 0.063043, 0.083562, 0.12156, 0.196196, 0.345875, 0.661639", \
"0.057331, 0.06827, 0.088063, 0.125291, 0.197037, 0.3478, 0.660249", \
"0.065761, 0.077155, 0.098916, 0.135858, 0.20717, 0.352895, 0.660848");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.181255, 0.193079, 0.214177, 0.24899, 0.306451, 0.403774, 0.582728", \
"0.183578, 0.195256, 0.216481, 0.251437, 0.308952, 0.406019, 0.585395", \
"0.188035, 0.199759, 0.220919, 0.255751, 0.313319, 0.410417, 0.589719", \
"0.196157, 0.207924, 0.22901, 0.263811, 0.321339, 0.418664, 0.597677", \
"0.213544, 0.225132, 0.246137, 0.280806, 0.33819, 0.435427, 0.614389", \
"0.247752, 0.25979, 0.280801, 0.315421, 0.372785, 0.470032, 0.649116", \
"0.298451, 0.311397, 0.334156, 0.371346, 0.431451, 0.531522, 0.711596");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.054604, 0.063489, 0.082747, 0.115324, 0.177468, 0.298323, 0.55137", \
"0.054962, 0.064325, 0.0814, 0.115681, 0.177421, 0.298641, 0.553918", \
"0.054783, 0.064478, 0.081426, 0.115904, 0.177525, 0.298704, 0.55344", \
"0.054906, 0.063975, 0.081655, 0.115777, 0.177685, 0.298594, 0.551981", \
"0.05424, 0.064034, 0.081753, 0.115063, 0.17746, 0.298178, 0.553625", \
"0.058375, 0.06822, 0.085993, 0.11796, 0.179466, 0.29874, 0.549878", \
"0.070674, 0.080377, 0.098203, 0.131199, 0.190248, 0.30673, 0.557244");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.157705, 0.17063, 0.194204, 0.232711, 0.297639, 0.413305, 0.63304", \
"0.159945, 0.172935, 0.196302, 0.234945, 0.299915, 0.41559, 0.635714", \
"0.163842, 0.176716, 0.200194, 0.238768, 0.304045, 0.419334, 0.639687", \
"0.171118, 0.184099, 0.20744, 0.245941, 0.310896, 0.426525, 0.646835", \
"0.186319, 0.199239, 0.222405, 0.260767, 0.325567, 0.441268, 0.66105", \
"0.208327, 0.221968, 0.246637, 0.286561, 0.352792, 0.468509, 0.688117", \
"0.236068, 0.250277, 0.275974, 0.31855, 0.38867, 0.509252, 0.729507");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.050703, 0.061869, 0.082451, 0.12068, 0.195512, 0.345668, 0.658656", \
"0.050863, 0.061196, 0.081588, 0.12055, 0.196092, 0.346974, 0.661645", \
"0.050939, 0.062179, 0.08285, 0.121268, 0.195399, 0.345921, 0.654157", \
"0.050933, 0.061545, 0.082258, 0.120761, 0.196337, 0.346058, 0.653122", \
"0.050906, 0.061416, 0.082878, 0.121463, 0.195953, 0.346189, 0.65738", \
"0.057043, 0.067916, 0.089364, 0.124976, 0.197728, 0.345575, 0.659176", \
"0.066204, 0.077461, 0.099801, 0.137772, 0.208634, 0.353416, 0.659257");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.178557, 0.190603, 0.212317, 0.247881, 0.306337, 0.405599, 0.585166", \
"0.181156, 0.193214, 0.214828, 0.250395, 0.30904, 0.407233, 0.586784", \
"0.186073, 0.198128, 0.219705, 0.255354, 0.313761, 0.411172, 0.590649", \
"0.195043, 0.206967, 0.228537, 0.264038, 0.32247, 0.419907, 0.599333", \
"0.212437, 0.224281, 0.245662, 0.281185, 0.339714, 0.438515, 0.619273", \
"0.2432, 0.25565, 0.277801, 0.313495, 0.372434, 0.472013, 0.65213", \
"0.286343, 0.29931, 0.322593, 0.361288, 0.424466, 0.528659, 0.710957");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.054741, 0.063515, 0.081909, 0.115812, 0.176769, 0.297096, 0.55213", \
"0.054694, 0.063453, 0.081913, 0.115482, 0.176697, 0.296992, 0.552536", \
"0.054726, 0.064047, 0.081541, 0.115854, 0.177018, 0.298274, 0.553896", \
"0.053858, 0.063603, 0.081739, 0.11576, 0.177125, 0.298434, 0.549027", \
"0.05359, 0.064101, 0.081691, 0.11492, 0.177506, 0.298477, 0.551461", \
"0.058886, 0.068594, 0.085965, 0.11761, 0.178741, 0.298944, 0.552394", \
"0.069075, 0.079114, 0.099598, 0.133358, 0.190327, 0.307027, 0.552966");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.174169, 0.187318, 0.210724, 0.2492, 0.314009, 0.429376, 0.650066", \
"0.1759, 0.188891, 0.212308, 0.250806, 0.315511, 0.431006, 0.651526", \
"0.179645, 0.192581, 0.215993, 0.254461, 0.319181, 0.434666, 0.655285", \
"0.187648, 0.200454, 0.224191, 0.262446, 0.327405, 0.44237, 0.662698", \
"0.206532, 0.219238, 0.242477, 0.280768, 0.345408, 0.460382, 0.680963", \
"0.242495, 0.255736, 0.279344, 0.317752, 0.382465, 0.497392, 0.717839", \
"0.288318, 0.302134, 0.327723, 0.368901, 0.436504, 0.554188, 0.774423");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.052946, 0.064203, 0.084078, 0.121875, 0.196461, 0.347435, 0.65952", \
"0.052391, 0.06344, 0.085086, 0.121109, 0.196558, 0.347012, 0.662474", \
"0.052823, 0.063228, 0.083364, 0.121967, 0.196079, 0.345923, 0.662834", \
"0.052005, 0.063515, 0.084101, 0.121187, 0.196429, 0.346768, 0.655314", \
"0.051777, 0.062932, 0.084046, 0.121526, 0.196697, 0.347221, 0.658364", \
"0.057296, 0.068643, 0.08963, 0.126838, 0.20034, 0.348746, 0.661337", \
"0.070882, 0.079584, 0.100186, 0.138361, 0.212251, 0.35622, 0.664129");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.183163, 0.194991, 0.216075, 0.25092, 0.308255, 0.405613, 0.585718", \
"0.184795, 0.196625, 0.217702, 0.25246, 0.309869, 0.407839, 0.588578", \
"0.188688, 0.20046, 0.221591, 0.256464, 0.31387, 0.412778, 0.593376", \
"0.197555, 0.209346, 0.230469, 0.265222, 0.322487, 0.421743, 0.601823", \
"0.218689, 0.230345, 0.251473, 0.286056, 0.34344, 0.4407, 0.619685", \
"0.264016, 0.27571, 0.296527, 0.330757, 0.387907, 0.485122, 0.664104", \
"0.33082, 0.34361, 0.366663, 0.403067, 0.463091, 0.562884, 0.741729");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.05482, 0.064226, 0.082587, 0.117267, 0.180171, 0.301529, 0.552715", \
"0.054789, 0.064224, 0.083432, 0.117198, 0.17991, 0.300908, 0.555549", \
"0.054855, 0.064173, 0.083564, 0.117426, 0.179618, 0.301488, 0.55517", \
"0.054166, 0.0639, 0.082552, 0.117207, 0.180421, 0.30101, 0.553835", \
"0.05415, 0.064172, 0.083186, 0.117095, 0.179938, 0.300727, 0.55548", \
"0.059975, 0.070093, 0.088903, 0.121872, 0.182546, 0.303134, 0.555071", \
"0.074776, 0.084295, 0.100957, 0.134177, 0.197651, 0.313449, 0.561166");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.149551, 0.162535, 0.185816, 0.224349, 0.28961, 0.404949, 0.625289", \
"0.151531, 0.164517, 0.187793, 0.22631, 0.291546, 0.406937, 0.627207", \
"0.15565, 0.168653, 0.191912, 0.230433, 0.295643, 0.411093, 0.631337", \
"0.164476, 0.177366, 0.2006, 0.239097, 0.304216, 0.419786, 0.639914", \
"0.184213, 0.196885, 0.21992, 0.25824, 0.323107, 0.438774, 0.658567", \
"0.216975, 0.230141, 0.254115, 0.293204, 0.358491, 0.474193, 0.693875", \
"0.257153, 0.271327, 0.297385, 0.338977, 0.407878, 0.52641, 0.746592");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.050391, 0.060812, 0.08235, 0.120718, 0.195318, 0.343737, 0.658072", \
"0.050544, 0.06088, 0.081813, 0.12065, 0.195229, 0.343562, 0.658879", \
"0.050472, 0.060845, 0.082141, 0.120502, 0.195169, 0.34648, 0.658239", \
"0.05054, 0.061416, 0.082314, 0.120749, 0.194787, 0.345193, 0.660078", \
"0.050612, 0.061702, 0.082697, 0.121015, 0.194601, 0.345381, 0.656824", \
"0.057472, 0.067968, 0.087652, 0.124443, 0.197995, 0.346919, 0.6538", \
"0.071405, 0.080382, 0.102737, 0.138927, 0.209024, 0.354727, 0.663784");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.164862, 0.177157, 0.198831, 0.234497, 0.2919, 0.389519, 0.568432", \
"0.166763, 0.178954, 0.200774, 0.236373, 0.294029, 0.391128, 0.570382", \
"0.171028, 0.183335, 0.204986, 0.240627, 0.298318, 0.395384, 0.574824", \
"0.180572, 0.192806, 0.214444, 0.250053, 0.307749, 0.405016, 0.58434", \
"0.202927, 0.214883, 0.236533, 0.271885, 0.329351, 0.426702, 0.605419", \
"0.247082, 0.259497, 0.28104, 0.3165, 0.373944, 0.4714, 0.650141", \
"0.311332, 0.324291, 0.348021, 0.385836, 0.445855, 0.545528, 0.724487");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.052918, 0.062372, 0.081266, 0.113929, 0.176825, 0.29785, 0.553149", \
"0.052804, 0.062385, 0.0812, 0.114612, 0.176128, 0.295797, 0.55308", \
"0.052763, 0.062268, 0.081281, 0.114578, 0.176084, 0.296233, 0.551089", \
"0.051852, 0.062616, 0.080298, 0.114882, 0.175963, 0.297835, 0.553599", \
"0.051985, 0.062268, 0.081034, 0.114718, 0.17657, 0.297742, 0.551107", \
"0.058112, 0.067245, 0.084942, 0.117712, 0.178617, 0.299253, 0.550456", \
"0.0752, 0.084321, 0.100971, 0.13555, 0.192442, 0.308307, 0.551225");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.157348, 0.170134, 0.193254, 0.231511, 0.295995, 0.411148, 0.631385", \
"0.159318, 0.172088, 0.195283, 0.233508, 0.297978, 0.41315, 0.633391", \
"0.163566, 0.176353, 0.199646, 0.237748, 0.302184, 0.417351, 0.636957", \
"0.17227, 0.185057, 0.208067, 0.246323, 0.3108, 0.426018, 0.646186", \
"0.192085, 0.204757, 0.227566, 0.265535, 0.330107, 0.445024, 0.66516", \
"0.226512, 0.239974, 0.263322, 0.301761, 0.366716, 0.481389, 0.700827", \
"0.26854, 0.282457, 0.307765, 0.349163, 0.416997, 0.534435, 0.754234");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.050794, 0.060976, 0.082454, 0.120966, 0.195839, 0.345707, 0.660718", \
"0.051225, 0.061524, 0.082366, 0.120983, 0.195701, 0.34625, 0.660779", \
"0.051048, 0.061173, 0.082481, 0.121132, 0.195529, 0.346509, 0.660657", \
"0.051059, 0.061445, 0.082621, 0.121389, 0.195065, 0.347, 0.660581", \
"0.051191, 0.062392, 0.083026, 0.121673, 0.19648, 0.347307, 0.660575", \
"0.058636, 0.068768, 0.088707, 0.126607, 0.199467, 0.347861, 0.65678", \
"0.072347, 0.083499, 0.104758, 0.140691, 0.211293, 0.355508, 0.66398");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.167705, 0.179491, 0.200408, 0.23496, 0.293238, 0.39222, 0.572862", \
"0.169751, 0.181362, 0.202236, 0.236958, 0.295162, 0.393987, 0.574728", \
"0.174129, 0.185724, 0.206562, 0.24111, 0.29932, 0.398316, 0.57885", \
"0.183653, 0.195223, 0.216169, 0.250905, 0.308754, 0.407755, 0.588348", \
"0.205803, 0.217531, 0.238115, 0.27256, 0.330359, 0.429375, 0.609794", \
"0.250356, 0.262135, 0.28292, 0.317093, 0.375032, 0.473928, 0.654154", \
"0.313342, 0.326203, 0.349187, 0.386108, 0.447491, 0.549621, 0.730417");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.055677, 0.06516, 0.084114, 0.118102, 0.180924, 0.299822, 0.554975", \
"0.055729, 0.065324, 0.083551, 0.117132, 0.180593, 0.301392, 0.555985", \
"0.055648, 0.065146, 0.084114, 0.118111, 0.17996, 0.30188, 0.554523", \
"0.055347, 0.064944, 0.084223, 0.118209, 0.179956, 0.301818, 0.555122", \
"0.055831, 0.065635, 0.083841, 0.118331, 0.180249, 0.302136, 0.553891", \
"0.062069, 0.070885, 0.089149, 0.121375, 0.18338, 0.30202, 0.554073", \
"0.079048, 0.089171, 0.106204, 0.138326, 0.196986, 0.311975, 0.558831");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003446, 0.003411, 0.00338, 0.00336, 0.003356, 0.003357, 0.003357", \
"0.003436, 0.003401, 0.003369, 0.003351, 0.003345, 0.003347, 0.003346", \
"0.003423, 0.003388, 0.003355, 0.003338, 0.003334, 0.003335, 0.003334", \
"0.003408, 0.003373, 0.00334, 0.003322, 0.003318, 0.003319, 0.003319", \
"0.003416, 0.003379, 0.003343, 0.003322, 0.003315, 0.003316, 0.003316", \
"0.003511, 0.003467, 0.003419, 0.003387, 0.003371, 0.003367, 0.003365", \
"0.003815, 0.00376, 0.003698, 0.003645, 0.003611, 0.003595, 0.003586");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.004838, 0.0048, 0.004762, 0.004739, 0.00473, 0.004729, 0.004727", \
"0.004829, 0.004791, 0.004752, 0.004731, 0.004722, 0.004721, 0.004718", \
"0.004817, 0.004779, 0.004741, 0.004718, 0.00471, 0.004709, 0.004707", \
"0.004803, 0.004765, 0.004726, 0.004704, 0.004695, 0.004694, 0.004692", \
"0.004802, 0.004764, 0.004725, 0.004698, 0.004688, 0.004687, 0.004685", \
"0.0049, 0.004854, 0.004802, 0.004764, 0.004746, 0.004738, 0.004733", \
"0.00521, 0.005157, 0.005089, 0.005032, 0.004989, 0.004967, 0.004951");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003297, 0.003262, 0.003229, 0.003211, 0.003207, 0.003207, 0.003204", \
"0.003286, 0.00325, 0.003218, 0.003197, 0.003194, 0.003195, 0.003193", \
"0.003269, 0.003232, 0.003199, 0.003181, 0.003178, 0.003178, 0.003176", \
"0.003245, 0.00321, 0.003177, 0.003157, 0.003153, 0.003154, 0.003153", \
"0.003243, 0.003207, 0.00317, 0.003146, 0.00314, 0.00314, 0.003139", \
"0.00334, 0.003295, 0.003252, 0.003216, 0.003199, 0.003193, 0.00319", \
"0.003674, 0.003617, 0.003547, 0.003495, 0.003456, 0.003436, 0.003424");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.005112, 0.005072, 0.005031, 0.005006, 0.004997, 0.004994, 0.00499", \
"0.0051, 0.005061, 0.00502, 0.004995, 0.004986, 0.004983, 0.004979", \
"0.005082, 0.005043, 0.005002, 0.004977, 0.004968, 0.004965, 0.004962", \
"0.005059, 0.005019, 0.004978, 0.004953, 0.004944, 0.004942, 0.004939", \
"0.005049, 0.005009, 0.004966, 0.004937, 0.004926, 0.004924, 0.004921", \
"0.005142, 0.005099, 0.005046, 0.005004, 0.004982, 0.004973, 0.004966", \
"0.00548, 0.005421, 0.005351, 0.005284, 0.005242, 0.005213, 0.005194");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003014, 0.00298, 0.00295, 0.002934, 0.00293, 0.002931, 0.002929", \
"0.003011, 0.002978, 0.002946, 0.00293, 0.002927, 0.002927, 0.002925", \
"0.003004, 0.00297, 0.00294, 0.002923, 0.00292, 0.00292, 0.002919", \
"0.002997, 0.002963, 0.002931, 0.002913, 0.002909, 0.00291, 0.002909", \
"0.003016, 0.00298, 0.002944, 0.00292, 0.002912, 0.002912, 0.002912", \
"0.003143, 0.003105, 0.003052, 0.003013, 0.002992, 0.002985, 0.00298", \
"0.003516, 0.003456, 0.003386, 0.003325, 0.003281, 0.003256, 0.003242");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.005252, 0.005214, 0.005175, 0.005151, 0.00514, 0.005136, 0.005132", \
"0.005246, 0.005208, 0.005169, 0.005144, 0.005134, 0.00513, 0.005126", \
"0.005235, 0.005196, 0.005157, 0.005132, 0.005122, 0.005119, 0.005115", \
"0.005223, 0.005184, 0.005144, 0.005119, 0.005108, 0.005105, 0.005102", \
"0.005243, 0.005203, 0.00516, 0.005129, 0.005115, 0.00511, 0.005106", \
"0.005364, 0.005316, 0.00526, 0.005218, 0.005191, 0.005178, 0.00517", \
"0.005748, 0.005689, 0.005613, 0.00555, 0.005493, 0.005457, 0.005432");
}
}
}
pin(S) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.0779995;
function : "((A^B)^CI)";
timing() { /* MIN */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.133594, 0.145227, 0.16604, 0.20055, 0.259893, 0.369639, 0.589697", \
"0.136079, 0.147829, 0.168697, 0.203002, 0.262376, 0.372599, 0.590665", \
"0.140723, 0.152348, 0.173137, 0.207597, 0.267019, 0.377178, 0.595839", \
"0.14883, 0.160393, 0.181325, 0.215659, 0.275081, 0.385161, 0.603972", \
"0.164414, 0.175859, 0.196398, 0.230643, 0.28998, 0.400163, 0.619584", \
"0.186182, 0.198335, 0.219965, 0.255453, 0.315536, 0.425459, 0.644658", \
"0.211475, 0.224505, 0.247577, 0.285515, 0.348011, 0.459968, 0.679115");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.041481, 0.051788, 0.070789, 0.107945, 0.181662, 0.337089, 0.657261", \
"0.041883, 0.051611, 0.071188, 0.107561, 0.182243, 0.333219, 0.653913", \
"0.041085, 0.051366, 0.071244, 0.107481, 0.182292, 0.332889, 0.655941", \
"0.041513, 0.051692, 0.070906, 0.1076, 0.181143, 0.336081, 0.648287", \
"0.041685, 0.051963, 0.070994, 0.108043, 0.182293, 0.335213, 0.657073", \
"0.048393, 0.058701, 0.077102, 0.112791, 0.183979, 0.336899, 0.649734", \
"0.05795, 0.068077, 0.087432, 0.123538, 0.193848, 0.342074, 0.658758");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.149529, 0.159851, 0.178491, 0.209151, 0.260293, 0.350712, 0.527047", \
"0.152545, 0.163005, 0.181515, 0.212125, 0.263332, 0.353513, 0.529438", \
"0.158459, 0.168779, 0.187277, 0.217981, 0.269119, 0.359543, 0.535731", \
"0.168182, 0.178618, 0.197084, 0.227723, 0.278864, 0.369465, 0.545943", \
"0.185925, 0.196205, 0.214619, 0.245074, 0.295996, 0.386769, 0.563228", \
"0.214452, 0.22515, 0.244325, 0.275642, 0.327522, 0.417822, 0.593718", \
"0.253525, 0.265193, 0.28622, 0.319784, 0.374812, 0.468319, 0.64539");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.041583, 0.050668, 0.067097, 0.099105, 0.158836, 0.280904, 0.544755", \
"0.041744, 0.050247, 0.067666, 0.098783, 0.15852, 0.282916, 0.544739", \
"0.041426, 0.050044, 0.067623, 0.097823, 0.159554, 0.283748, 0.542732", \
"0.041618, 0.050401, 0.067104, 0.099056, 0.159215, 0.281024, 0.54341", \
"0.041301, 0.050332, 0.066933, 0.099197, 0.159761, 0.283762, 0.53707", \
"0.047886, 0.056821, 0.072082, 0.103512, 0.161725, 0.284553, 0.544808", \
"0.058458, 0.066961, 0.083619, 0.115716, 0.173991, 0.29167, 0.547378");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.188088, 0.201271, 0.2249, 0.263841, 0.327814, 0.440561, 0.660061", \
"0.189427, 0.202607, 0.226114, 0.264998, 0.328989, 0.441461, 0.661562", \
"0.191413, 0.204511, 0.228249, 0.266993, 0.330886, 0.443943, 0.663664", \
"0.195309, 0.208732, 0.232342, 0.270857, 0.334926, 0.447767, 0.668167", \
"0.204613, 0.217928, 0.241166, 0.279905, 0.343516, 0.45663, 0.676609", \
"0.222115, 0.23519, 0.259545, 0.298274, 0.36253, 0.475788, 0.695523", \
"0.247691, 0.26171, 0.286856, 0.327843, 0.394709, 0.509973, 0.729914");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.053423, 0.064894, 0.086323, 0.123033, 0.193553, 0.344733, 0.657983", \
"0.054592, 0.064522, 0.086005, 0.123187, 0.193742, 0.345429, 0.654166", \
"0.054007, 0.064438, 0.08621, 0.122629, 0.194952, 0.342168, 0.662415", \
"0.054179, 0.065418, 0.084958, 0.122173, 0.195062, 0.344494, 0.661043", \
"0.05337, 0.064624, 0.08525, 0.123188, 0.195077, 0.341288, 0.662646", \
"0.05908, 0.06844, 0.089295, 0.124528, 0.19643, 0.343356, 0.662637", \
"0.06578, 0.07694, 0.097661, 0.135249, 0.205024, 0.350151, 0.665644");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.203787, 0.215776, 0.237107, 0.271892, 0.328899, 0.424611, 0.603516", \
"0.205827, 0.217731, 0.239021, 0.273955, 0.330591, 0.426528, 0.605374", \
"0.209573, 0.221456, 0.242692, 0.277642, 0.334304, 0.430258, 0.60907", \
"0.215848, 0.227661, 0.248927, 0.283851, 0.340488, 0.436072, 0.614763", \
"0.227598, 0.239406, 0.260546, 0.295533, 0.352368, 0.448025, 0.626963", \
"0.250475, 0.262545, 0.283741, 0.318369, 0.375247, 0.471383, 0.650289", \
"0.286945, 0.299787, 0.322356, 0.359172, 0.419001, 0.517678, 0.697675");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.055253, 0.065134, 0.08297, 0.11634, 0.176824, 0.295105, 0.549224", \
"0.055959, 0.065584, 0.082352, 0.115834, 0.177324, 0.297764, 0.554545", \
"0.055795, 0.065511, 0.082755, 0.115584, 0.17726, 0.297786, 0.554411", \
"0.055483, 0.065372, 0.082339, 0.115705, 0.177235, 0.296536, 0.553118", \
"0.054853, 0.064778, 0.082915, 0.116057, 0.17621, 0.295269, 0.546995", \
"0.059813, 0.068954, 0.086424, 0.117887, 0.17787, 0.296942, 0.546361", \
"0.068778, 0.078766, 0.09856, 0.128522, 0.189194, 0.306704, 0.557699");
}
}
timing() { /* MIN */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.295988, 0.306316, 0.325025, 0.357587, 0.415417, 0.523943, 0.741674", \
"0.298412, 0.308906, 0.327612, 0.36012, 0.41817, 0.52671, 0.744504", \
"0.303158, 0.313424, 0.332256, 0.364831, 0.422749, 0.531297, 0.749142", \
"0.311449, 0.32175, 0.34051, 0.373041, 0.430898, 0.539414, 0.757237", \
"0.328215, 0.338638, 0.357547, 0.390157, 0.447856, 0.556125, 0.773756", \
"0.362479, 0.372804, 0.391468, 0.423958, 0.481749, 0.589889, 0.807253", \
"0.420525, 0.430577, 0.448876, 0.481095, 0.537969, 0.64644, 0.86263");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.044378, 0.054486, 0.073535, 0.108716, 0.18176, 0.333893, 0.645827", \
"0.0445, 0.054382, 0.073201, 0.108971, 0.181904, 0.335531, 0.647275", \
"0.044416, 0.054286, 0.072836, 0.109456, 0.182597, 0.333736, 0.650281", \
"0.043914, 0.054524, 0.073769, 0.108698, 0.182051, 0.333289, 0.64782", \
"0.044239, 0.054467, 0.073312, 0.109235, 0.182361, 0.335768, 0.64914", \
"0.044349, 0.054619, 0.074122, 0.109172, 0.182203, 0.333087, 0.650919", \
"0.046323, 0.056402, 0.075451, 0.110356, 0.183316, 0.333844, 0.654037");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.276291, 0.285764, 0.302289, 0.330664, 0.380197, 0.469328, 0.644706", \
"0.27824, 0.287847, 0.304833, 0.333056, 0.382249, 0.47185, 0.647426", \
"0.281885, 0.291497, 0.308869, 0.337511, 0.386896, 0.475874, 0.650813", \
"0.289267, 0.298798, 0.316359, 0.344816, 0.39396, 0.483588, 0.659226", \
"0.303803, 0.313393, 0.330906, 0.359273, 0.408685, 0.498018, 0.673493", \
"0.33047, 0.339961, 0.357031, 0.385135, 0.434264, 0.52378, 0.699345", \
"0.371377, 0.380004, 0.395779, 0.423785, 0.472455, 0.561186, 0.735839");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.043858, 0.05243, 0.069562, 0.099108, 0.159568, 0.283842, 0.539293", \
"0.043617, 0.052528, 0.069283, 0.100566, 0.160322, 0.281219, 0.540598", \
"0.043583, 0.05242, 0.069121, 0.100027, 0.158522, 0.28257, 0.544854", \
"0.043586, 0.052683, 0.069756, 0.100605, 0.160398, 0.282639, 0.541521", \
"0.043293, 0.052781, 0.069386, 0.100434, 0.160225, 0.283949, 0.537952", \
"0.044179, 0.053277, 0.070206, 0.10079, 0.160674, 0.283661, 0.538924", \
"0.045635, 0.054476, 0.071809, 0.102073, 0.160261, 0.280224, 0.544992");
}
}
timing() { /* MAX */
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.300952, 0.311191, 0.329459, 0.361256, 0.41819, 0.526451, 0.743067", \
"0.304011, 0.314054, 0.332422, 0.364259, 0.420946, 0.529087, 0.747209", \
"0.309686, 0.319765, 0.338181, 0.36983, 0.426902, 0.535205, 0.752949", \
"0.319302, 0.329462, 0.347841, 0.379573, 0.436753, 0.544805, 0.761559", \
"0.33686, 0.347011, 0.365524, 0.397084, 0.453978, 0.56225, 0.780405", \
"0.370354, 0.380364, 0.398711, 0.43026, 0.487473, 0.595523, 0.812344", \
"0.427329, 0.437389, 0.4552, 0.48662, 0.542779, 0.650656, 0.867352");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.046188, 0.055782, 0.075531, 0.111466, 0.183623, 0.336254, 0.654529", \
"0.046243, 0.056024, 0.075865, 0.111437, 0.183962, 0.336361, 0.651852", \
"0.045736, 0.056125, 0.075903, 0.110836, 0.183869, 0.336156, 0.654634", \
"0.045995, 0.056184, 0.074812, 0.111272, 0.183808, 0.336651, 0.655149", \
"0.045968, 0.056319, 0.076058, 0.111377, 0.183412, 0.335959, 0.651904", \
"0.046333, 0.056366, 0.075431, 0.111503, 0.183315, 0.335718, 0.655332", \
"0.047975, 0.058442, 0.077507, 0.11215, 0.184251, 0.335374, 0.654676");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.276989, 0.286096, 0.303251, 0.333055, 0.384347, 0.475462, 0.651012", \
"0.279474, 0.288406, 0.305137, 0.335015, 0.386451, 0.477694, 0.653623", \
"0.283772, 0.292745, 0.309015, 0.338922, 0.3904, 0.481544, 0.656638", \
"0.291224, 0.300096, 0.316434, 0.346223, 0.397781, 0.488592, 0.664471", \
"0.305642, 0.31451, 0.330984, 0.360838, 0.412154, 0.503437, 0.678986", \
"0.33183, 0.3407, 0.357422, 0.387251, 0.438705, 0.529463, 0.70491", \
"0.371821, 0.381056, 0.39806, 0.427276, 0.478501, 0.568666, 0.743837");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.047331, 0.056885, 0.073597, 0.105142, 0.164654, 0.285367, 0.546636", \
"0.0474, 0.056878, 0.074361, 0.104907, 0.165801, 0.286113, 0.544089", \
"0.048217, 0.056459, 0.074411, 0.105719, 0.165595, 0.286454, 0.546654", \
"0.048228, 0.056964, 0.074042, 0.105498, 0.165202, 0.285546, 0.545033", \
"0.048435, 0.057133, 0.074755, 0.105729, 0.164532, 0.287145, 0.545902", \
"0.049474, 0.058555, 0.075015, 0.106261, 0.165886, 0.285934, 0.546218", \
"0.051475, 0.059506, 0.076614, 0.106975, 0.165833, 0.284885, 0.546002");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.1213, 0.132639, 0.152987, 0.186518, 0.24502, 0.354224, 0.573819", \
"0.124055, 0.13537, 0.155614, 0.189307, 0.24776, 0.35723, 0.574745", \
"0.129004, 0.140299, 0.160564, 0.194232, 0.252527, 0.362008, 0.581473", \
"0.137557, 0.148857, 0.169134, 0.202642, 0.261235, 0.370342, 0.589987", \
"0.152937, 0.164193, 0.184328, 0.217836, 0.276423, 0.385811, 0.605165", \
"0.172937, 0.184879, 0.206175, 0.241126, 0.300327, 0.409844, 0.628631", \
"0.193865, 0.206769, 0.22971, 0.26745, 0.329529, 0.440691, 0.658974");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.038826, 0.048768, 0.068382, 0.105092, 0.178369, 0.334153, 0.656056", \
"0.039113, 0.049248, 0.067675, 0.104551, 0.179978, 0.331479, 0.650252", \
"0.039118, 0.0492, 0.067707, 0.105058, 0.179738, 0.333894, 0.655947", \
"0.038899, 0.048854, 0.068346, 0.10533, 0.178337, 0.335408, 0.655238", \
"0.040343, 0.049996, 0.068858, 0.104732, 0.180315, 0.333182, 0.655316", \
"0.04661, 0.055785, 0.075175, 0.10944, 0.181733, 0.335748, 0.646659", \
"0.055277, 0.066068, 0.086023, 0.121513, 0.191988, 0.338163, 0.650774");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.138733, 0.148883, 0.167211, 0.197379, 0.247816, 0.337968, 0.513968", \
"0.142034, 0.152188, 0.170493, 0.200716, 0.25123, 0.341258, 0.517203", \
"0.148157, 0.158319, 0.176624, 0.206902, 0.257434, 0.347349, 0.523161", \
"0.158363, 0.168501, 0.186746, 0.216808, 0.267605, 0.357403, 0.532946", \
"0.176188, 0.18631, 0.204444, 0.234639, 0.284808, 0.375033, 0.55072", \
"0.20383, 0.214369, 0.233334, 0.264495, 0.315765, 0.405886, 0.58141", \
"0.240156, 0.251799, 0.272319, 0.305845, 0.360521, 0.453766, 0.630275");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.039593, 0.04874, 0.064794, 0.09709, 0.157858, 0.282593, 0.538471", \
"0.039815, 0.048737, 0.064922, 0.097106, 0.157754, 0.282514, 0.540068", \
"0.039984, 0.048681, 0.0652, 0.097175, 0.157666, 0.282246, 0.542057", \
"0.039828, 0.048668, 0.065105, 0.096987, 0.157042, 0.281352, 0.544048", \
"0.039876, 0.048258, 0.06599, 0.097439, 0.15795, 0.282579, 0.542216", \
"0.046193, 0.055385, 0.07071, 0.102214, 0.160306, 0.28103, 0.545007", \
"0.057862, 0.066542, 0.084057, 0.11498, 0.171846, 0.29026, 0.545377");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.186934, 0.200159, 0.223873, 0.263102, 0.327713, 0.440815, 0.661965", \
"0.188137, 0.201353, 0.225347, 0.264432, 0.328799, 0.441929, 0.662722", \
"0.191301, 0.204466, 0.228128, 0.267175, 0.331784, 0.445344, 0.665854", \
"0.19744, 0.210632, 0.234854, 0.273714, 0.33799, 0.451674, 0.671394", \
"0.212452, 0.225712, 0.249741, 0.288257, 0.352958, 0.466255, 0.68745", \
"0.23965, 0.253069, 0.277543, 0.316929, 0.381933, 0.495803, 0.716766", \
"0.279941, 0.293644, 0.319191, 0.360879, 0.428615, 0.544894, 0.767005");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.055466, 0.065612, 0.08609, 0.123955, 0.1961, 0.346369, 0.664453", \
"0.054725, 0.065135, 0.087382, 0.123853, 0.195189, 0.347052, 0.657069", \
"0.055011, 0.065906, 0.085806, 0.123191, 0.196655, 0.343154, 0.664114", \
"0.054953, 0.065272, 0.087285, 0.123641, 0.196072, 0.34515, 0.661016", \
"0.054565, 0.06571, 0.086752, 0.12394, 0.196235, 0.346206, 0.664239", \
"0.059988, 0.069694, 0.091044, 0.126422, 0.198153, 0.348959, 0.65786", \
"0.068064, 0.0782, 0.0991, 0.138786, 0.208181, 0.354956, 0.666717");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.198116, 0.210128, 0.23155, 0.266412, 0.323534, 0.419807, 0.599113", \
"0.199913, 0.212057, 0.233331, 0.268202, 0.325413, 0.421727, 0.601051", \
"0.204, 0.215959, 0.237227, 0.272134, 0.329426, 0.426099, 0.605016", \
"0.211191, 0.223087, 0.244408, 0.279518, 0.336464, 0.432451, 0.611587", \
"0.226888, 0.238713, 0.260133, 0.29516, 0.352135, 0.448669, 0.627825", \
"0.259791, 0.271896, 0.29316, 0.328067, 0.385174, 0.481748, 0.661203", \
"0.312724, 0.325742, 0.348564, 0.385223, 0.445553, 0.544694, 0.725422");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.055616, 0.065677, 0.083585, 0.116681, 0.17763, 0.296559, 0.547063", \
"0.055884, 0.065675, 0.083909, 0.115941, 0.177797, 0.297039, 0.547917", \
"0.05602, 0.065682, 0.084087, 0.116045, 0.177434, 0.297831, 0.551496", \
"0.056062, 0.065647, 0.083218, 0.116334, 0.178096, 0.297574, 0.554211", \
"0.055766, 0.06566, 0.083015, 0.117478, 0.177238, 0.298544, 0.552723", \
"0.06108, 0.070261, 0.088429, 0.11952, 0.178413, 0.300329, 0.554977", \
"0.071129, 0.079818, 0.098182, 0.130889, 0.190989, 0.306777, 0.559965");
}
}
timing() { /* MIN */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.298285, 0.308706, 0.327629, 0.360172, 0.418152, 0.526733, 0.743893", \
"0.299885, 0.310303, 0.329214, 0.36169, 0.419742, 0.528327, 0.745455", \
"0.303911, 0.314202, 0.333054, 0.365501, 0.423643, 0.532135, 0.749809", \
"0.312394, 0.322794, 0.34156, 0.374149, 0.432237, 0.540763, 0.758549", \
"0.333044, 0.343367, 0.362147, 0.394695, 0.452597, 0.561151, 0.778991", \
"0.377405, 0.387727, 0.406483, 0.438785, 0.49611, 0.60477, 0.8231", \
"0.428469, 0.438749, 0.457099, 0.488789, 0.545394, 0.653735, 0.870407");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.045849, 0.056364, 0.07497, 0.111098, 0.183381, 0.332753, 0.653015", \
"0.045831, 0.056361, 0.074926, 0.111296, 0.18356, 0.332869, 0.653128", \
"0.046366, 0.05601, 0.075145, 0.110982, 0.184025, 0.33436, 0.648895", \
"0.04573, 0.056377, 0.075267, 0.111024, 0.183845, 0.335207, 0.652611", \
"0.046014, 0.056357, 0.074912, 0.111577, 0.183901, 0.336838, 0.647268", \
"0.046466, 0.056716, 0.075893, 0.111511, 0.184189, 0.333796, 0.647717", \
"0.04839, 0.058204, 0.077064, 0.111667, 0.183939, 0.334791, 0.648081");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.280114, 0.289555, 0.305947, 0.334715, 0.384369, 0.474345, 0.649966", \
"0.282495, 0.291675, 0.308316, 0.33704, 0.386907, 0.476363, 0.651959", \
"0.286469, 0.295829, 0.312521, 0.341077, 0.390943, 0.480797, 0.656746", \
"0.293579, 0.302813, 0.319432, 0.348074, 0.39782, 0.487776, 0.663729", \
"0.306569, 0.315955, 0.332444, 0.361162, 0.410838, 0.500697, 0.676301", \
"0.329269, 0.33827, 0.354978, 0.383305, 0.432952, 0.522727, 0.698039", \
"0.363742, 0.372611, 0.388312, 0.416503, 0.4657, 0.554461, 0.729563");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.045385, 0.054791, 0.071151, 0.102876, 0.162, 0.282191, 0.543273", \
"0.045816, 0.054012, 0.071309, 0.102186, 0.160511, 0.284878, 0.542962", \
"0.045463, 0.054062, 0.070954, 0.102112, 0.162119, 0.284374, 0.543237", \
"0.045507, 0.05411, 0.071607, 0.102448, 0.162184, 0.283958, 0.543472", \
"0.045672, 0.054721, 0.071003, 0.102577, 0.161561, 0.282645, 0.542997", \
"0.04677, 0.055242, 0.071824, 0.103042, 0.162102, 0.283463, 0.539375", \
"0.047201, 0.056392, 0.072687, 0.10206, 0.160723, 0.281913, 0.538428");
}
}
timing() { /* MAX */
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.314855, 0.325229, 0.344135, 0.376548, 0.434105, 0.54273, 0.760798", \
"0.31742, 0.327961, 0.347004, 0.37912, 0.436705, 0.545572, 0.764162", \
"0.322379, 0.332973, 0.351986, 0.384296, 0.441614, 0.550374, 0.769009", \
"0.331134, 0.341826, 0.360437, 0.392851, 0.450461, 0.559279, 0.776627", \
"0.347274, 0.357754, 0.376647, 0.408847, 0.466498, 0.575363, 0.793922", \
"0.37754, 0.38801, 0.406512, 0.439112, 0.497067, 0.60553, 0.823268", \
"0.45292, 0.462738, 0.480793, 0.51243, 0.569639, 0.677597, 0.894778");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.047, 0.056723, 0.075274, 0.111864, 0.183387, 0.337183, 0.655191", \
"0.046988, 0.056745, 0.075871, 0.111947, 0.184627, 0.337602, 0.655248", \
"0.04695, 0.056757, 0.076147, 0.111623, 0.184399, 0.33777, 0.653664", \
"0.04627, 0.05681, 0.075366, 0.111714, 0.184072, 0.335862, 0.655666", \
"0.046363, 0.05673, 0.076372, 0.112046, 0.18467, 0.33727, 0.6538", \
"0.04722, 0.057608, 0.07591, 0.112127, 0.184517, 0.337849, 0.647945", \
"0.048591, 0.0585, 0.077367, 0.112373, 0.185111, 0.337078, 0.655421");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.283113, 0.292666, 0.310078, 0.339932, 0.39155, 0.482774, 0.658727", \
"0.284873, 0.294324, 0.311749, 0.341648, 0.393217, 0.484228, 0.659878", \
"0.288359, 0.297778, 0.315229, 0.345055, 0.396811, 0.48788, 0.663711", \
"0.296009, 0.305608, 0.322988, 0.352788, 0.404205, 0.495402, 0.671172", \
"0.3136, 0.323206, 0.340522, 0.37038, 0.421921, 0.51325, 0.689126", \
"0.3492, 0.358464, 0.375818, 0.405623, 0.457046, 0.548306, 0.72424", \
"0.402206, 0.411171, 0.427618, 0.456738, 0.507554, 0.597889, 0.773804");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.047577, 0.056893, 0.073893, 0.1054, 0.165756, 0.285815, 0.546988", \
"0.04731, 0.056915, 0.074288, 0.104785, 0.164473, 0.287411, 0.546555", \
"0.047141, 0.056737, 0.073388, 0.105115, 0.165256, 0.28757, 0.543553", \
"0.047075, 0.056111, 0.073012, 0.105268, 0.164861, 0.284945, 0.545562", \
"0.047472, 0.056265, 0.073519, 0.104928, 0.165186, 0.284025, 0.546437", \
"0.047505, 0.056725, 0.073844, 0.105315, 0.165731, 0.285866, 0.546436", \
"0.049771, 0.058836, 0.075389, 0.105508, 0.165187, 0.287466, 0.545755");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.120965, 0.132578, 0.153497, 0.187991, 0.247438, 0.356658, 0.574326", \
"0.123558, 0.135252, 0.156254, 0.190628, 0.249857, 0.359415, 0.577015", \
"0.128123, 0.139895, 0.160715, 0.1952, 0.254601, 0.364009, 0.581131", \
"0.136028, 0.147738, 0.168473, 0.202965, 0.262203, 0.371758, 0.58964", \
"0.149789, 0.161424, 0.182174, 0.216763, 0.275997, 0.38557, 0.602947", \
"0.163187, 0.175785, 0.198209, 0.234998, 0.29668, 0.406716, 0.624151", \
"0.177065, 0.190206, 0.214216, 0.253646, 0.318283, 0.431583, 0.651335");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.039525, 0.049794, 0.069028, 0.106214, 0.18027, 0.334352, 0.650637", \
"0.040319, 0.05019, 0.069266, 0.105684, 0.181131, 0.331205, 0.651966", \
"0.04024, 0.049466, 0.068809, 0.106055, 0.180695, 0.333103, 0.647916", \
"0.040014, 0.049885, 0.069795, 0.106739, 0.179289, 0.332242, 0.652477", \
"0.042725, 0.051977, 0.070441, 0.107386, 0.18093, 0.333544, 0.647131", \
"0.046642, 0.058047, 0.078254, 0.114598, 0.185836, 0.335312, 0.653953", \
"0.057195, 0.067332, 0.08767, 0.125936, 0.196326, 0.343928, 0.653019");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.140826, 0.151655, 0.170966, 0.202711, 0.255371, 0.346998, 0.523385", \
"0.143776, 0.154546, 0.173916, 0.205637, 0.258354, 0.349815, 0.52609", \
"0.149091, 0.159911, 0.179278, 0.210937, 0.263724, 0.355159, 0.531369", \
"0.158054, 0.168852, 0.188157, 0.219871, 0.272485, 0.364015, 0.540339", \
"0.174508, 0.185161, 0.204213, 0.235763, 0.288442, 0.380032, 0.555914", \
"0.195953, 0.207277, 0.227675, 0.26115, 0.315467, 0.408184, 0.583954", \
"0.226125, 0.238031, 0.259405, 0.294728, 0.352928, 0.450177, 0.629733");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.041979, 0.051548, 0.06903, 0.100964, 0.161802, 0.282671, 0.543181", \
"0.042004, 0.051522, 0.06905, 0.101149, 0.161446, 0.283537, 0.544138", \
"0.042374, 0.051363, 0.069106, 0.101077, 0.161301, 0.283756, 0.544444", \
"0.041921, 0.051472, 0.068971, 0.101248, 0.162216, 0.283929, 0.544236", \
"0.041915, 0.051578, 0.069263, 0.101353, 0.162093, 0.284727, 0.5452", \
"0.047524, 0.058222, 0.075738, 0.107861, 0.167909, 0.288457, 0.545137", \
"0.057734, 0.067458, 0.086039, 0.120358, 0.181338, 0.299347, 0.548115");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.188645, 0.201903, 0.225451, 0.264329, 0.328673, 0.442146, 0.662553", \
"0.189492, 0.202668, 0.226305, 0.265413, 0.330013, 0.442916, 0.663813", \
"0.191698, 0.205118, 0.228749, 0.267434, 0.331775, 0.445159, 0.66583", \
"0.197528, 0.210826, 0.234418, 0.273294, 0.337449, 0.450807, 0.670805", \
"0.213733, 0.226789, 0.250452, 0.288975, 0.352723, 0.466103, 0.68664", \
"0.247646, 0.261182, 0.284786, 0.323281, 0.387189, 0.500392, 0.720646", \
"0.2961, 0.309915, 0.334322, 0.374048, 0.440626, 0.555579, 0.776566");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.054862, 0.065474, 0.085979, 0.123072, 0.196298, 0.342426, 0.663639", \
"0.055118, 0.065479, 0.08582, 0.123494, 0.19515, 0.345772, 0.662737", \
"0.054617, 0.066112, 0.08539, 0.122761, 0.196094, 0.342954, 0.664025", \
"0.05442, 0.064837, 0.08634, 0.123052, 0.195138, 0.343211, 0.662856", \
"0.054053, 0.064092, 0.084855, 0.123133, 0.19561, 0.34283, 0.663109", \
"0.058189, 0.068389, 0.08813, 0.124688, 0.196683, 0.342975, 0.662603", \
"0.06794, 0.078766, 0.100181, 0.13631, 0.205672, 0.348529, 0.658922");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.196189, 0.208125, 0.229361, 0.264425, 0.321433, 0.417992, 0.596838", \
"0.196468, 0.208358, 0.229666, 0.264736, 0.321589, 0.417762, 0.596812", \
"0.198102, 0.210098, 0.231353, 0.266521, 0.323331, 0.419206, 0.598022", \
"0.204193, 0.216277, 0.237718, 0.272517, 0.329437, 0.425585, 0.604719", \
"0.222649, 0.234538, 0.25601, 0.290625, 0.347308, 0.443282, 0.622449", \
"0.264207, 0.276057, 0.297042, 0.331557, 0.388197, 0.484246, 0.66302", \
"0.33006, 0.342535, 0.364623, 0.400548, 0.459522, 0.558238, 0.737528");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.05588, 0.06544, 0.083902, 0.11576, 0.17667, 0.297719, 0.551573", \
"0.056068, 0.065783, 0.082995, 0.115955, 0.177638, 0.298232, 0.554756", \
"0.056127, 0.064707, 0.083134, 0.116758, 0.176988, 0.296571, 0.552701", \
"0.055282, 0.065257, 0.083194, 0.116332, 0.176926, 0.295851, 0.547124", \
"0.055203, 0.064011, 0.083451, 0.116342, 0.176124, 0.298049, 0.553198", \
"0.059219, 0.068726, 0.085987, 0.117625, 0.177242, 0.29907, 0.554655", \
"0.071895, 0.081129, 0.098767, 0.13099, 0.18864, 0.305439, 0.556875");
}
}
timing() { /* MIN */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.290394, 0.300537, 0.318853, 0.35067, 0.407683, 0.515637, 0.734004", \
"0.292343, 0.302396, 0.320792, 0.352509, 0.409624, 0.518011, 0.735943", \
"0.296511, 0.306662, 0.32502, 0.356729, 0.413826, 0.522226, 0.739566", \
"0.305862, 0.316052, 0.3343, 0.366259, 0.423022, 0.531394, 0.749469", \
"0.326598, 0.336769, 0.355014, 0.386782, 0.443713, 0.551698, 0.769904", \
"0.368272, 0.378215, 0.396347, 0.427902, 0.48486, 0.593116, 0.810982", \
"0.438288, 0.447574, 0.464772, 0.495396, 0.551325, 0.659256, 0.876525");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.04468, 0.054499, 0.073795, 0.108805, 0.181786, 0.335677, 0.650891", \
"0.044637, 0.054537, 0.073324, 0.109564, 0.182709, 0.333304, 0.650739", \
"0.04492, 0.054578, 0.073362, 0.109627, 0.182741, 0.332419, 0.649122", \
"0.044572, 0.054644, 0.073902, 0.109454, 0.182582, 0.33522, 0.648388", \
"0.044753, 0.054501, 0.073412, 0.109137, 0.181743, 0.336624, 0.646502", \
"0.045259, 0.055331, 0.073554, 0.1098, 0.182997, 0.334949, 0.653856", \
"0.046049, 0.055699, 0.075112, 0.109806, 0.182054, 0.336797, 0.650422");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.270226, 0.279225, 0.295512, 0.323904, 0.373113, 0.462762, 0.638412", \
"0.272283, 0.281241, 0.297591, 0.325931, 0.375124, 0.464777, 0.640426", \
"0.276307, 0.285288, 0.301784, 0.329907, 0.379411, 0.468486, 0.643592", \
"0.284531, 0.293502, 0.309872, 0.338205, 0.387482, 0.477008, 0.65255", \
"0.301873, 0.310885, 0.327047, 0.355445, 0.404759, 0.494294, 0.669854", \
"0.333472, 0.342282, 0.358388, 0.386715, 0.436323, 0.525275, 0.700378", \
"0.380353, 0.388695, 0.404127, 0.431408, 0.480579, 0.569603, 0.745176");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.043802, 0.052751, 0.069707, 0.100532, 0.160527, 0.283119, 0.540612", \
"0.043046, 0.052765, 0.069843, 0.100511, 0.160484, 0.28328, 0.539698", \
"0.043858, 0.052789, 0.069816, 0.099891, 0.158862, 0.28228, 0.543995", \
"0.043797, 0.052817, 0.06897, 0.100427, 0.160411, 0.283783, 0.536936", \
"0.043225, 0.052512, 0.069109, 0.100529, 0.160429, 0.282894, 0.536621", \
"0.044663, 0.053549, 0.070545, 0.100844, 0.159431, 0.282075, 0.544861", \
"0.0454, 0.053896, 0.070565, 0.100609, 0.158917, 0.283792, 0.538315");
}
}
timing() { /* MAX */
related_pin : "CI";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.301651, 0.312141, 0.331005, 0.363364, 0.420971, 0.529809, 0.748065", \
"0.303607, 0.313999, 0.332789, 0.365052, 0.422704, 0.531521, 0.750171", \
"0.307709, 0.318288, 0.337114, 0.369451, 0.42675, 0.535466, 0.754082", \
"0.31699, 0.32753, 0.346317, 0.378652, 0.435961, 0.544747, 0.763422", \
"0.337703, 0.348244, 0.367078, 0.399292, 0.456764, 0.565366, 0.783923", \
"0.379657, 0.389892, 0.408767, 0.440802, 0.498409, 0.607225, 0.824304", \
"0.450096, 0.459743, 0.477719, 0.508803, 0.565663, 0.674342, 0.892446");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.046384, 0.056703, 0.07643, 0.111873, 0.184846, 0.336591, 0.656164", \
"0.047113, 0.056851, 0.075423, 0.111985, 0.184788, 0.337979, 0.651517", \
"0.046859, 0.057257, 0.07632, 0.111908, 0.184551, 0.337873, 0.655748", \
"0.046472, 0.057271, 0.076407, 0.111876, 0.184564, 0.337913, 0.653463", \
"0.047, 0.056759, 0.075855, 0.111797, 0.184343, 0.337841, 0.650476", \
"0.047582, 0.057521, 0.076371, 0.112091, 0.18498, 0.33538, 0.656783", \
"0.04823, 0.05805, 0.077283, 0.11172, 0.184815, 0.338065, 0.651235");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.272056, 0.281356, 0.297985, 0.326508, 0.376471, 0.466209, 0.641989", \
"0.274005, 0.283383, 0.299929, 0.328448, 0.378421, 0.46811, 0.643781", \
"0.278082, 0.287231, 0.303901, 0.332432, 0.382409, 0.472068, 0.647703", \
"0.286177, 0.295414, 0.312003, 0.340571, 0.390527, 0.480114, 0.655587", \
"0.303229, 0.312364, 0.328984, 0.357577, 0.407436, 0.497137, 0.672847", \
"0.334207, 0.34325, 0.359736, 0.388151, 0.437924, 0.527741, 0.703516", \
"0.382246, 0.390328, 0.405354, 0.432765, 0.481071, 0.569917, 0.746079");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.045369, 0.054471, 0.071371, 0.101639, 0.161614, 0.285217, 0.541418", \
"0.045309, 0.054442, 0.071358, 0.10149, 0.161397, 0.285031, 0.543334", \
"0.045288, 0.054468, 0.071514, 0.101447, 0.161159, 0.284866, 0.544878", \
"0.045593, 0.054148, 0.071625, 0.101429, 0.160617, 0.28438, 0.545494", \
"0.045399, 0.054701, 0.071814, 0.102198, 0.161271, 0.283785, 0.545849", \
"0.046581, 0.055593, 0.072518, 0.10267, 0.162031, 0.282406, 0.544892", \
"0.046902, 0.055329, 0.072859, 0.103024, 0.161499, 0.285763, 0.538724");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.004838, 0.0048, 0.004762, 0.004739, 0.00473, 0.004729, 0.004727", \
"0.004829, 0.004791, 0.004752, 0.004731, 0.004722, 0.004721, 0.004718", \
"0.004817, 0.004779, 0.004741, 0.004718, 0.00471, 0.004709, 0.004707", \
"0.004803, 0.004765, 0.004726, 0.004704, 0.004695, 0.004694, 0.004692", \
"0.004802, 0.004764, 0.004725, 0.004698, 0.004688, 0.004687, 0.004685", \
"0.0049, 0.004854, 0.004802, 0.004764, 0.004746, 0.004738, 0.004733", \
"0.00521, 0.005157, 0.005089, 0.005032, 0.004989, 0.004967, 0.004951");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003446, 0.003411, 0.00338, 0.00336, 0.003356, 0.003357, 0.003357", \
"0.003436, 0.003401, 0.003369, 0.003351, 0.003345, 0.003347, 0.003346", \
"0.003423, 0.003388, 0.003355, 0.003338, 0.003334, 0.003335, 0.003334", \
"0.003408, 0.003373, 0.00334, 0.003322, 0.003318, 0.003319, 0.003319", \
"0.003416, 0.003379, 0.003343, 0.003322, 0.003315, 0.003316, 0.003316", \
"0.003511, 0.003467, 0.003419, 0.003387, 0.003371, 0.003367, 0.003365", \
"0.003815, 0.00376, 0.003698, 0.003645, 0.003611, 0.003595, 0.003586");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.005112, 0.005072, 0.005031, 0.005006, 0.004997, 0.004994, 0.00499", \
"0.0051, 0.005061, 0.00502, 0.004995, 0.004986, 0.004983, 0.004979", \
"0.005082, 0.005043, 0.005002, 0.004977, 0.004968, 0.004965, 0.004962", \
"0.005059, 0.005019, 0.004978, 0.004953, 0.004944, 0.004942, 0.004939", \
"0.005049, 0.005009, 0.004966, 0.004937, 0.004926, 0.004924, 0.004921", \
"0.005142, 0.005099, 0.005046, 0.005004, 0.004982, 0.004973, 0.004966", \
"0.00548, 0.005421, 0.005351, 0.005284, 0.005242, 0.005213, 0.005194");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003297, 0.003262, 0.003229, 0.003211, 0.003207, 0.003207, 0.003204", \
"0.003286, 0.00325, 0.003218, 0.003197, 0.003194, 0.003195, 0.003193", \
"0.003269, 0.003232, 0.003199, 0.003181, 0.003178, 0.003178, 0.003176", \
"0.003245, 0.00321, 0.003177, 0.003157, 0.003153, 0.003154, 0.003153", \
"0.003243, 0.003207, 0.00317, 0.003146, 0.00314, 0.00314, 0.003139", \
"0.00334, 0.003295, 0.003252, 0.003216, 0.003199, 0.003193, 0.00319", \
"0.003674, 0.003617, 0.003547, 0.003495, 0.003456, 0.003436, 0.003424");
}
}
internal_power() {
related_pin : "CI";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.005252, 0.005214, 0.005175, 0.005151, 0.00514, 0.005136, 0.005132", \
"0.005246, 0.005208, 0.005169, 0.005144, 0.005134, 0.00513, 0.005126", \
"0.005235, 0.005196, 0.005157, 0.005132, 0.005122, 0.005119, 0.005115", \
"0.005223, 0.005184, 0.005144, 0.005119, 0.005108, 0.005105, 0.005102", \
"0.005243, 0.005203, 0.00516, 0.005129, 0.005115, 0.00511, 0.005106", \
"0.005364, 0.005316, 0.00526, 0.005218, 0.005191, 0.005178, 0.00517", \
"0.005748, 0.005689, 0.005613, 0.00555, 0.005493, 0.005457, 0.005432");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003014, 0.00298, 0.00295, 0.002934, 0.00293, 0.002931, 0.002929", \
"0.003011, 0.002978, 0.002946, 0.00293, 0.002927, 0.002927, 0.002925", \
"0.003004, 0.00297, 0.00294, 0.002923, 0.00292, 0.00292, 0.002919", \
"0.002997, 0.002963, 0.002931, 0.002913, 0.002909, 0.00291, 0.002909", \
"0.003016, 0.00298, 0.002944, 0.00292, 0.002912, 0.002912, 0.002912", \
"0.003143, 0.003105, 0.003052, 0.003013, 0.002992, 0.002985, 0.00298", \
"0.003516, 0.003456, 0.003386, 0.003325, 0.003281, 0.003256, 0.003242");
}
}
}
}

/* --------------- *
* Design : ADDHX1 *
* --------------- */
cell (ADDHX1) {
cell_footprint : ADDH;
area : 12.110400;
cell_leakage_power : 95.5313;
pin(A) {
direction : input;
capacitance : 0.0035787;
rise_capacitance : 0.00357831;
fall_capacitance : 0.0035787;
rise_capacitance_range ( 0.00338277, 0.00377384) ;
fall_capacitance_range ( 0.00338301, 0.00377439) ;
}
pin(B) {
direction : input;
capacitance : 0.00428072;
rise_capacitance : 0.00427962;
fall_capacitance : 0.00428072;
rise_capacitance_range ( 0.00407024, 0.00448899) ;
fall_capacitance_range ( 0.0040714, 0.00449004) ;
}
pin(CO) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.145352;
function : "(A B)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.111833, 0.124402, 0.146327, 0.182527, 0.245504, 0.364493, 0.602976", \
"0.1135, 0.126022, 0.148047, 0.184151, 0.247064, 0.366203, 0.605511", \
"0.116902, 0.129494, 0.151348, 0.187531, 0.25044, 0.369575, 0.608912", \
"0.124073, 0.136542, 0.158359, 0.194496, 0.257522, 0.37664, 0.615972", \
"0.138292, 0.150825, 0.172767, 0.20894, 0.272081, 0.391212, 0.630536", \
"0.158188, 0.171376, 0.194616, 0.232452, 0.296988, 0.416353, 0.655666", \
"0.186485, 0.200575, 0.225589, 0.265881, 0.33271, 0.453838, 0.692789");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.037713, 0.048468, 0.069378, 0.110146, 0.191411, 0.362271, 0.715974", \
"0.037775, 0.048216, 0.069635, 0.109659, 0.191807, 0.359906, 0.709947", \
"0.037671, 0.048279, 0.0696, 0.109711, 0.191837, 0.359546, 0.707564", \
"0.037736, 0.048934, 0.0697, 0.110237, 0.19131, 0.359466, 0.707976", \
"0.040295, 0.050431, 0.071307, 0.111261, 0.19149, 0.360028, 0.708844", \
"0.04563, 0.056638, 0.077001, 0.11599, 0.19502, 0.362381, 0.706812", \
"0.055869, 0.066469, 0.087311, 0.126242, 0.203367, 0.368236, 0.717045");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.079522, 0.088833, 0.105236, 0.132757, 0.183182, 0.280105, 0.475836", \
"0.082496, 0.091785, 0.108124, 0.135835, 0.186007, 0.282968, 0.479037", \
"0.088721, 0.098055, 0.114322, 0.142071, 0.192228, 0.289212, 0.485308", \
"0.101482, 0.110682, 0.126892, 0.154623, 0.204969, 0.301936, 0.497662", \
"0.125064, 0.134617, 0.151093, 0.17881, 0.22912, 0.326033, 0.521965", \
"0.156581, 0.167063, 0.185085, 0.214651, 0.265786, 0.362784, 0.557974", \
"0.19835, 0.210252, 0.230468, 0.262594, 0.316213, 0.413662, 0.609398");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.026849, 0.035608, 0.053076, 0.087403, 0.156934, 0.300279, 0.596965", \
"0.026785, 0.035621, 0.052843, 0.086964, 0.156946, 0.29941, 0.592648", \
"0.026929, 0.035714, 0.052686, 0.086859, 0.156939, 0.299652, 0.59229", \
"0.027307, 0.035988, 0.053246, 0.087224, 0.156359, 0.301729, 0.600419", \
"0.031092, 0.039281, 0.055696, 0.088593, 0.15756, 0.299629, 0.596154", \
"0.040428, 0.04869, 0.064386, 0.09511, 0.161773, 0.303659, 0.601142", \
"0.054303, 0.06244, 0.07862, 0.107935, 0.170389, 0.307255, 0.595523");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.105185, 0.117773, 0.139708, 0.175761, 0.238898, 0.358018, 0.597444", \
"0.106878, 0.11944, 0.141335, 0.177491, 0.240607, 0.359461, 0.599006", \
"0.110939, 0.123485, 0.145354, 0.181427, 0.244611, 0.363492, 0.602974", \
"0.120232, 0.132703, 0.154478, 0.19043, 0.253643, 0.372623, 0.61213", \
"0.139035, 0.151498, 0.173294, 0.209421, 0.272412, 0.391649, 0.630052", \
"0.164623, 0.177554, 0.200906, 0.238705, 0.303496, 0.422408, 0.660646", \
"0.199551, 0.213871, 0.238697, 0.27852, 0.344941, 0.465892, 0.704985");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.037739, 0.048713, 0.069922, 0.110361, 0.192234, 0.360082, 0.712965", \
"0.037486, 0.048797, 0.069513, 0.110353, 0.192181, 0.362748, 0.711235", \
"0.037626, 0.048743, 0.069664, 0.110423, 0.192127, 0.362688, 0.712589", \
"0.037665, 0.048409, 0.069895, 0.110483, 0.192209, 0.362342, 0.711012", \
"0.040452, 0.050959, 0.071467, 0.111124, 0.191614, 0.362625, 0.715727", \
"0.048467, 0.059069, 0.079255, 0.117315, 0.196788, 0.364072, 0.716369", \
"0.06178, 0.070858, 0.091363, 0.12819, 0.205146, 0.370263, 0.717778");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.073546, 0.082681, 0.098858, 0.126232, 0.176224, 0.273245, 0.468453", \
"0.076576, 0.085705, 0.101805, 0.129128, 0.179361, 0.276104, 0.472115", \
"0.082783, 0.091971, 0.108007, 0.135316, 0.185576, 0.282311, 0.478263", \
"0.095415, 0.104364, 0.120327, 0.147736, 0.197923, 0.294764, 0.490841", \
"0.117113, 0.126559, 0.14304, 0.170577, 0.220739, 0.317572, 0.512877", \
"0.144962, 0.155418, 0.173445, 0.202858, 0.254148, 0.351063, 0.545692", \
"0.180514, 0.19239, 0.212699, 0.245479, 0.299301, 0.397519, 0.59341");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.025716, 0.034581, 0.051791, 0.086022, 0.155802, 0.300987, 0.601315", \
"0.025916, 0.034457, 0.051991, 0.086352, 0.156607, 0.302199, 0.596297", \
"0.02559, 0.034426, 0.05204, 0.086345, 0.156531, 0.302245, 0.596997", \
"0.025969, 0.034816, 0.052215, 0.086602, 0.156939, 0.301531, 0.591753", \
"0.030773, 0.039141, 0.05547, 0.088251, 0.156716, 0.301678, 0.6008", \
"0.040051, 0.048961, 0.065121, 0.095238, 0.162142, 0.302809, 0.600938", \
"0.055935, 0.064173, 0.080026, 0.109049, 0.171546, 0.307674, 0.602391");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.002429, 0.00238, 0.00235, 0.002347, 0.00235, 0.00235, 0.002342", \
"0.002414, 0.002364, 0.002334, 0.002331, 0.002334, 0.002334, 0.002326", \
"0.002397, 0.002346, 0.002315, 0.002312, 0.002316, 0.002316, 0.002309", \
"0.002399, 0.002345, 0.002311, 0.002305, 0.002311, 0.002313, 0.002306", \
"0.002492, 0.00243, 0.002385, 0.002373, 0.002377, 0.00238, 0.002375", \
"0.002815, 0.002737, 0.002674, 0.002645, 0.002642, 0.002641, 0.002635", \
"0.003647, 0.003542, 0.003449, 0.003392, 0.003366, 0.003355, 0.003345");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.007774, 0.00775, 0.007746, 0.007757, 0.007769, 0.007774, 0.007771", \
"0.007759, 0.007735, 0.007731, 0.007743, 0.007755, 0.00776, 0.007757", \
"0.007746, 0.007721, 0.007715, 0.007727, 0.007741, 0.007747, 0.007743", \
"0.007754, 0.007725, 0.007716, 0.007727, 0.007741, 0.007749, 0.007746", \
"0.007862, 0.007819, 0.007796, 0.007797, 0.007807, 0.007815, 0.007813", \
"0.008217, 0.008152, 0.008103, 0.008081, 0.008078, 0.008079, 0.008074", \
"0.009122, 0.009053, 0.008959, 0.008897, 0.008861, 0.008842, 0.008825");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.003749, 0.003698, 0.003667, 0.003662, 0.003667, 0.003671, 0.003668", \
"0.003735, 0.003684, 0.003653, 0.003648, 0.003653, 0.003657, 0.003654", \
"0.003722, 0.00367, 0.003638, 0.003633, 0.003639, 0.003644, 0.003642", \
"0.003738, 0.003684, 0.003648, 0.00364, 0.003647, 0.003653, 0.003652", \
"0.003873, 0.003809, 0.003762, 0.003745, 0.003746, 0.00375, 0.003749", \
"0.004257, 0.004176, 0.004107, 0.004072, 0.004061, 0.00406, 0.004057", \
"0.005196, 0.005075, 0.00497, 0.004898, 0.004859, 0.004842, 0.00483");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.006109, 0.006088, 0.006084, 0.006095, 0.006109, 0.00612, 0.00612", \
"0.006096, 0.006074, 0.00607, 0.006081, 0.006097, 0.006107, 0.006108", \
"0.006087, 0.006064, 0.006059, 0.006071, 0.006087, 0.006099, 0.006101", \
"0.006126, 0.006097, 0.006086, 0.006094, 0.00611, 0.006122, 0.006125", \
"0.00629, 0.006249, 0.006228, 0.006226, 0.006237, 0.006248, 0.006251", \
"0.006752, 0.006691, 0.006642, 0.006617, 0.00661, 0.006612, 0.006612", \
"0.007854, 0.007755, 0.007665, 0.007599, 0.00756, 0.00754, 0.007528");
}
}
}
pin(S) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.145331;
function : "(A^B)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.123632, 0.13691, 0.160112, 0.197656, 0.262329, 0.382079, 0.622045", \
"0.12622, 0.139621, 0.162556, 0.200272, 0.264827, 0.384663, 0.62543", \
"0.131394, 0.144729, 0.16781, 0.205483, 0.269956, 0.39007, 0.630173", \
"0.141898, 0.155222, 0.17821, 0.215738, 0.280414, 0.400312, 0.639791", \
"0.161883, 0.17499, 0.197934, 0.235479, 0.299963, 0.420024, 0.660729", \
"0.190287, 0.204261, 0.228377, 0.267102, 0.332169, 0.451952, 0.692369", \
"0.223631, 0.238901, 0.264912, 0.306888, 0.375276, 0.496645, 0.735323");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.040921, 0.052438, 0.074112, 0.113482, 0.194384, 0.365506, 0.717214", \
"0.040469, 0.052228, 0.073575, 0.114005, 0.194618, 0.364407, 0.717725", \
"0.041065, 0.052312, 0.073116, 0.114045, 0.195146, 0.362415, 0.715506", \
"0.040872, 0.052362, 0.07417, 0.113478, 0.195058, 0.364707, 0.715189", \
"0.041923, 0.053662, 0.074664, 0.115027, 0.195677, 0.364622, 0.71765", \
"0.049623, 0.060085, 0.080967, 0.118798, 0.198489, 0.366183, 0.717653", \
"0.060156, 0.072558, 0.093806, 0.131612, 0.20638, 0.371202, 0.712193");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.126384, 0.138494, 0.159642, 0.1935, 0.250258, 0.350532, 0.54732", \
"0.129408, 0.141467, 0.162623, 0.196483, 0.253258, 0.353607, 0.550511", \
"0.135748, 0.14775, 0.168731, 0.202694, 0.259384, 0.35995, 0.556387", \
"0.148245, 0.1603, 0.181347, 0.215254, 0.271928, 0.372515, 0.569549", \
"0.174411, 0.18631, 0.207119, 0.240915, 0.297743, 0.397845, 0.594406", \
"0.216481, 0.229145, 0.250789, 0.285249, 0.342167, 0.442956, 0.639606", \
"0.273562, 0.286894, 0.310404, 0.348198, 0.4084, 0.510911, 0.707209");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.04274, 0.052622, 0.072279, 0.106287, 0.173231, 0.314176, 0.598434", \
"0.042369, 0.052869, 0.072321, 0.106305, 0.17348, 0.314397, 0.599145", \
"0.042563, 0.052597, 0.071871, 0.106857, 0.174162, 0.311295, 0.607682", \
"0.042721, 0.052927, 0.07173, 0.106888, 0.174762, 0.313785, 0.605052", \
"0.043384, 0.053937, 0.073146, 0.107291, 0.173451, 0.313786, 0.602756", \
"0.051851, 0.062274, 0.079093, 0.112178, 0.177876, 0.313406, 0.607237", \
"0.065718, 0.075236, 0.093949, 0.127228, 0.188571, 0.322652, 0.608852");
}
}
timing() {
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.169778, 0.182832, 0.205453, 0.242643, 0.306602, 0.426626, 0.666012", \
"0.172626, 0.185788, 0.208389, 0.245479, 0.309554, 0.429489, 0.669312", \
"0.178906, 0.192053, 0.214649, 0.251707, 0.3158, 0.435741, 0.675731", \
"0.191123, 0.204179, 0.22683, 0.263854, 0.327878, 0.447419, 0.68933", \
"0.21142, 0.224517, 0.247203, 0.284317, 0.348282, 0.46834, 0.707556", \
"0.240039, 0.253052, 0.275761, 0.312856, 0.37692, 0.496609, 0.73823", \
"0.282158, 0.295221, 0.318283, 0.355672, 0.419782, 0.539557, 0.779297");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.040514, 0.05155, 0.072781, 0.112414, 0.194229, 0.363699, 0.709041", \
"0.040857, 0.051549, 0.072702, 0.11225, 0.195082, 0.361859, 0.7158", \
"0.040821, 0.051495, 0.072645, 0.112078, 0.195086, 0.362146, 0.716249", \
"0.040623, 0.05167, 0.072745, 0.113209, 0.193945, 0.365801, 0.718173", \
"0.040588, 0.051606, 0.072842, 0.112486, 0.194582, 0.363034, 0.710938", \
"0.040984, 0.051895, 0.073439, 0.113314, 0.194234, 0.365751, 0.717454", \
"0.042408, 0.053769, 0.074556, 0.114237, 0.19388, 0.366028, 0.716945");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.177275, 0.188997, 0.209454, 0.242985, 0.299004, 0.398753, 0.595204", \
"0.179886, 0.19161, 0.212032, 0.245605, 0.301615, 0.401734, 0.598776", \
"0.185349, 0.197002, 0.217538, 0.251031, 0.306951, 0.407147, 0.604283", \
"0.194891, 0.206619, 0.227044, 0.260551, 0.316561, 0.416709, 0.613833", \
"0.208562, 0.220288, 0.240674, 0.274158, 0.330233, 0.430314, 0.627394", \
"0.224639, 0.236325, 0.25689, 0.290175, 0.346743, 0.446493, 0.643614", \
"0.242723, 0.254546, 0.275175, 0.308781, 0.36486, 0.465089, 0.661622");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.041822, 0.051694, 0.070797, 0.105052, 0.172373, 0.312502, 0.603872", \
"0.041976, 0.051804, 0.070457, 0.105136, 0.17283, 0.309741, 0.607666", \
"0.041317, 0.051697, 0.070468, 0.105263, 0.172979, 0.311638, 0.606796", \
"0.042026, 0.051855, 0.070224, 0.105285, 0.172979, 0.311236, 0.606685", \
"0.041936, 0.051241, 0.070511, 0.105419, 0.172886, 0.310369, 0.607022", \
"0.042494, 0.051824, 0.070939, 0.105066, 0.172266, 0.312011, 0.606482", \
"0.043654, 0.053173, 0.072431, 0.10632, 0.173673, 0.312399, 0.602795");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.093226, 0.106365, 0.12902, 0.166026, 0.22992, 0.349741, 0.590551", \
"0.09546, 0.108596, 0.131268, 0.168071, 0.231852, 0.351853, 0.592666", \
"0.100048, 0.113126, 0.135701, 0.172517, 0.236486, 0.355983, 0.597778", \
"0.109427, 0.122283, 0.144725, 0.181404, 0.245331, 0.365082, 0.60664", \
"0.125528, 0.138746, 0.161206, 0.197998, 0.261962, 0.381583, 0.62359", \
"0.144854, 0.158855, 0.182879, 0.221481, 0.287151, 0.406592, 0.64634", \
"0.167874, 0.182842, 0.209463, 0.251073, 0.319177, 0.440691, 0.680576");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.038729, 0.049905, 0.071045, 0.11185, 0.192835, 0.365873, 0.717991", \
"0.038255, 0.049134, 0.071247, 0.111635, 0.194253, 0.364, 0.717165", \
"0.038274, 0.049294, 0.071169, 0.111934, 0.193322, 0.365524, 0.719052", \
"0.037896, 0.049323, 0.071103, 0.111785, 0.193618, 0.365126, 0.718575", \
"0.0417, 0.052847, 0.073288, 0.11269, 0.194134, 0.365626, 0.718462", \
"0.050562, 0.060886, 0.081461, 0.119593, 0.198951, 0.366655, 0.710312", \
"0.064776, 0.07542, 0.095992, 0.133675, 0.209256, 0.368777, 0.714005");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.087905, 0.099304, 0.119549, 0.152393, 0.208512, 0.308939, 0.505904", \
"0.090154, 0.101508, 0.121612, 0.154801, 0.210404, 0.31109, 0.508164", \
"0.095428, 0.106717, 0.126741, 0.159801, 0.215738, 0.316123, 0.512934", \
"0.10691, 0.118081, 0.13785, 0.170858, 0.226761, 0.326784, 0.523469", \
"0.128836, 0.140023, 0.159732, 0.192513, 0.248405, 0.348993, 0.546023", \
"0.159801, 0.171461, 0.192352, 0.226394, 0.283788, 0.384703, 0.581132", \
"0.204948, 0.217967, 0.24052, 0.27684, 0.336694, 0.440127, 0.637442");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.035165, 0.045484, 0.065835, 0.102077, 0.171589, 0.310104, 0.606391", \
"0.035057, 0.045601, 0.065664, 0.102393, 0.172063, 0.312543, 0.603415", \
"0.034851, 0.045417, 0.065483, 0.102135, 0.170994, 0.312878, 0.607144", \
"0.034628, 0.045254, 0.065356, 0.102044, 0.170808, 0.31226, 0.603337", \
"0.037947, 0.048013, 0.067093, 0.102359, 0.172508, 0.310767, 0.606047", \
"0.046311, 0.056528, 0.074469, 0.109606, 0.177479, 0.31563, 0.603487", \
"0.060819, 0.070541, 0.090245, 0.122913, 0.188033, 0.321552, 0.608604");
}
}
timing() {
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.124412, 0.137588, 0.160521, 0.197959, 0.262553, 0.382568, 0.623367", \
"0.127392, 0.140484, 0.163416, 0.200948, 0.265819, 0.385749, 0.624603", \
"0.133649, 0.146779, 0.169696, 0.207263, 0.272009, 0.392001, 0.631425", \
"0.146111, 0.159297, 0.182166, 0.219778, 0.28438, 0.404412, 0.643413", \
"0.169212, 0.182419, 0.205647, 0.243117, 0.307663, 0.427679, 0.668487", \
"0.204552, 0.21736, 0.240093, 0.276809, 0.341088, 0.4609, 0.701856", \
"0.250924, 0.263833, 0.286557, 0.323891, 0.387946, 0.506869, 0.745496");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.040679, 0.051709, 0.073701, 0.114115, 0.19588, 0.364737, 0.717454", \
"0.040777, 0.051625, 0.073642, 0.113355, 0.195762, 0.361643, 0.715315", \
"0.040675, 0.05143, 0.073588, 0.113236, 0.195879, 0.360931, 0.716437", \
"0.040642, 0.05199, 0.072918, 0.113828, 0.194964, 0.363899, 0.709767", \
"0.040562, 0.051287, 0.072796, 0.113712, 0.195985, 0.364245, 0.71801", \
"0.040319, 0.051294, 0.072059, 0.112964, 0.195281, 0.364957, 0.717184", \
"0.042393, 0.053432, 0.0748, 0.115079, 0.195022, 0.362037, 0.715745");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.159115, 0.170829, 0.191267, 0.224659, 0.280655, 0.380691, 0.577331", \
"0.161872, 0.173616, 0.194021, 0.227581, 0.283266, 0.383583, 0.580805", \
"0.167239, 0.178967, 0.199366, 0.232946, 0.28862, 0.388939, 0.586138", \
"0.176599, 0.188338, 0.208836, 0.242196, 0.298042, 0.398344, 0.595522", \
"0.189808, 0.201523, 0.221952, 0.255457, 0.311167, 0.411309, 0.608115", \
"0.207653, 0.218819, 0.239232, 0.272119, 0.327813, 0.428103, 0.625122", \
"0.227873, 0.23939, 0.259705, 0.292887, 0.34838, 0.448259, 0.643825");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.041957, 0.051417, 0.070706, 0.105218, 0.172131, 0.311269, 0.607151", \
"0.041583, 0.051435, 0.070488, 0.105506, 0.173287, 0.312656, 0.60557", \
"0.041644, 0.051451, 0.070547, 0.105453, 0.173281, 0.312971, 0.604244", \
"0.041856, 0.051395, 0.070321, 0.105252, 0.173124, 0.312466, 0.605123", \
"0.041928, 0.051743, 0.070585, 0.105387, 0.17322, 0.31318, 0.600375", \
"0.041147, 0.050913, 0.069188, 0.104685, 0.173028, 0.313391, 0.600964", \
"0.042538, 0.051562, 0.071073, 0.105513, 0.173103, 0.309144, 0.597896");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.007774, 0.00775, 0.007746, 0.007757, 0.007769, 0.007774, 0.007771", \
"0.007759, 0.007735, 0.007731, 0.007743, 0.007755, 0.00776, 0.007757", \
"0.007746, 0.007721, 0.007715, 0.007727, 0.007741, 0.007747, 0.007743", \
"0.007754, 0.007725, 0.007716, 0.007727, 0.007741, 0.007749, 0.007746", \
"0.007862, 0.007819, 0.007796, 0.007797, 0.007807, 0.007815, 0.007813", \
"0.008217, 0.008152, 0.008103, 0.008081, 0.008078, 0.008079, 0.008074", \
"0.009122, 0.009053, 0.008959, 0.008897, 0.008861, 0.008842, 0.008825");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.002429, 0.00238, 0.00235, 0.002347, 0.00235, 0.00235, 0.002342", \
"0.002414, 0.002364, 0.002334, 0.002331, 0.002334, 0.002334, 0.002326", \
"0.002397, 0.002346, 0.002315, 0.002312, 0.002316, 0.002316, 0.002309", \
"0.002399, 0.002345, 0.002311, 0.002305, 0.002311, 0.002313, 0.002306", \
"0.002492, 0.00243, 0.002385, 0.002373, 0.002377, 0.00238, 0.002375", \
"0.002815, 0.002737, 0.002674, 0.002645, 0.002642, 0.002641, 0.002635", \
"0.003647, 0.003542, 0.003449, 0.003392, 0.003366, 0.003355, 0.003345");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.006109, 0.006088, 0.006084, 0.006095, 0.006109, 0.00612, 0.00612", \
"0.006096, 0.006074, 0.00607, 0.006081, 0.006097, 0.006107, 0.006108", \
"0.006087, 0.006064, 0.006059, 0.006071, 0.006087, 0.006099, 0.006101", \
"0.006126, 0.006097, 0.006086, 0.006094, 0.00611, 0.006122, 0.006125", \
"0.00629, 0.006249, 0.006228, 0.006226, 0.006237, 0.006248, 0.006251", \
"0.006752, 0.006691, 0.006642, 0.006617, 0.00661, 0.006612, 0.006612", \
"0.007854, 0.007755, 0.007665, 0.007599, 0.00756, 0.00754, 0.007528");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.003749, 0.003698, 0.003667, 0.003662, 0.003667, 0.003671, 0.003668", \
"0.003735, 0.003684, 0.003653, 0.003648, 0.003653, 0.003657, 0.003654", \
"0.003722, 0.00367, 0.003638, 0.003633, 0.003639, 0.003644, 0.003642", \
"0.003738, 0.003684, 0.003648, 0.00364, 0.003647, 0.003653, 0.003652", \
"0.003873, 0.003809, 0.003762, 0.003745, 0.003746, 0.00375, 0.003749", \
"0.004257, 0.004176, 0.004107, 0.004072, 0.004061, 0.00406, 0.004057", \
"0.005196, 0.005075, 0.00497, 0.004898, 0.004859, 0.004842, 0.00483");
}
}
}
}

/* --------------- *
* Design : ADDHX2 *
* --------------- */
cell (ADDHX2) {
cell_footprint : ADDH;
area : 14.381100;
cell_leakage_power : 117.856;
pin(A) {
direction : input;
capacitance : 0.00335767;
rise_capacitance : 0.00335721;
fall_capacitance : 0.00335767;
rise_capacitance_range ( 0.00317199, 0.00354244) ;
fall_capacitance_range ( 0.00317241, 0.00354293) ;
}
pin(B) {
direction : input;
capacitance : 0.00490513;
rise_capacitance : 0.00490382;
fall_capacitance : 0.00490513;
rise_capacitance_range ( 0.00454285, 0.00526479) ;
fall_capacitance_range ( 0.00454418, 0.00526609) ;
}
pin(CO) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.289808;
function : "(A B)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.14977, 0.164386, 0.189931, 0.230951, 0.299275, 0.420808, 0.660606", \
"0.151563, 0.166095, 0.191739, 0.232861, 0.301153, 0.422923, 0.662616", \
"0.155028, 0.1696, 0.195194, 0.236229, 0.304263, 0.426294, 0.666137", \
"0.162101, 0.176686, 0.202146, 0.243142, 0.311215, 0.433165, 0.672966", \
"0.177697, 0.192086, 0.217341, 0.258218, 0.326562, 0.44836, 0.687818", \
"0.204413, 0.219203, 0.245253, 0.286864, 0.355487, 0.477687, 0.717504", \
"0.242001, 0.257658, 0.284798, 0.328754, 0.400386, 0.524354, 0.764231");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.050778, 0.061899, 0.084879, 0.125344, 0.205277, 0.372376, 0.719857", \
"0.050722, 0.062521, 0.084279, 0.126228, 0.206456, 0.371295, 0.719224", \
"0.050896, 0.062301, 0.085063, 0.126372, 0.206864, 0.370839, 0.722057", \
"0.050718, 0.062376, 0.085134, 0.126043, 0.2065, 0.371717, 0.720734", \
"0.050579, 0.062546, 0.08544, 0.125789, 0.205782, 0.372, 0.714553", \
"0.057827, 0.068561, 0.090422, 0.129813, 0.209183, 0.371031, 0.721437", \
"0.068866, 0.079108, 0.101863, 0.141128, 0.217457, 0.376671, 0.719715");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.103214, 0.114171, 0.133027, 0.163693, 0.216414, 0.314404, 0.510213", \
"0.106266, 0.117217, 0.136017, 0.166837, 0.219465, 0.317325, 0.512899", \
"0.112474, 0.123436, 0.142224, 0.17285, 0.225579, 0.323615, 0.51948", \
"0.125159, 0.136095, 0.154862, 0.185492, 0.238221, 0.335983, 0.532003", \
"0.151721, 0.162474, 0.181098, 0.211619, 0.26432, 0.362339, 0.558174", \
"0.193581, 0.204982, 0.224924, 0.256583, 0.30978, 0.407602, 0.603091", \
"0.247974, 0.260654, 0.282907, 0.317636, 0.374181, 0.472893, 0.668005");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.035229, 0.043863, 0.06228, 0.096259, 0.164047, 0.305272, 0.595991", \
"0.035006, 0.044034, 0.061901, 0.095808, 0.164356, 0.30537, 0.602124", \
"0.03476, 0.044499, 0.062278, 0.096294, 0.163701, 0.304989, 0.598348", \
"0.035222, 0.044257, 0.062142, 0.095389, 0.164021, 0.304621, 0.604596", \
"0.03641, 0.045374, 0.063145, 0.09699, 0.164358, 0.305121, 0.600701", \
"0.047962, 0.056257, 0.072473, 0.103732, 0.16848, 0.305362, 0.604586", \
"0.065722, 0.074333, 0.090162, 0.1205, 0.180294, 0.311982, 0.605873");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.145678, 0.16038, 0.185753, 0.226904, 0.295051, 0.417047, 0.656963", \
"0.147369, 0.161994, 0.187557, 0.228575, 0.296936, 0.418592, 0.65859", \
"0.151327, 0.165864, 0.191421, 0.232485, 0.300794, 0.422502, 0.662675", \
"0.160058, 0.17456, 0.200089, 0.241059, 0.309429, 0.431071, 0.671024", \
"0.180258, 0.194694, 0.219942, 0.260798, 0.328967, 0.450942, 0.690256", \
"0.216162, 0.230905, 0.256479, 0.297803, 0.366328, 0.488396, 0.727999", \
"0.264258, 0.279633, 0.30651, 0.350349, 0.421456, 0.545286, 0.784446");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.050169, 0.062191, 0.084729, 0.126261, 0.206537, 0.370376, 0.715349", \
"0.050897, 0.062349, 0.084395, 0.12551, 0.20531, 0.372639, 0.723229", \
"0.050433, 0.062428, 0.084693, 0.125534, 0.205595, 0.370715, 0.722833", \
"0.050313, 0.062467, 0.084719, 0.125725, 0.205277, 0.372467, 0.72259", \
"0.051021, 0.061969, 0.084421, 0.126475, 0.206859, 0.37267, 0.720289", \
"0.059884, 0.068972, 0.090901, 0.130855, 0.209225, 0.374139, 0.723845", \
"0.075357, 0.084732, 0.105116, 0.143666, 0.219585, 0.380151, 0.726203");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.098609, 0.109453, 0.128072, 0.158465, 0.210923, 0.308703, 0.504933", \
"0.10165, 0.112449, 0.131096, 0.161489, 0.214018, 0.311994, 0.507969", \
"0.107894, 0.11868, 0.137267, 0.167724, 0.220313, 0.317958, 0.514183", \
"0.120579, 0.131309, 0.149863, 0.180222, 0.23278, 0.330725, 0.526782", \
"0.146729, 0.157251, 0.175598, 0.205843, 0.258331, 0.356118, 0.55204", \
"0.186308, 0.197612, 0.217389, 0.249012, 0.302015, 0.39971, 0.594782", \
"0.236334, 0.248883, 0.270963, 0.305754, 0.362209, 0.461353, 0.656212");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.03381, 0.043365, 0.061107, 0.095391, 0.163316, 0.305541, 0.604127", \
"0.034147, 0.043155, 0.0612, 0.095131, 0.164258, 0.303034, 0.601029", \
"0.034159, 0.043144, 0.061173, 0.09468, 0.16438, 0.305499, 0.60413", \
"0.033975, 0.043362, 0.061287, 0.09529, 0.164103, 0.303762, 0.60206", \
"0.035801, 0.044742, 0.062279, 0.096258, 0.163046, 0.30606, 0.603885", \
"0.047809, 0.056241, 0.071799, 0.103133, 0.168374, 0.30732, 0.602708", \
"0.06638, 0.075884, 0.090085, 0.120763, 0.181939, 0.313481, 0.599272");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.006362, 0.006061, 0.005778, 0.005609, 0.005533, 0.005489, 0.005453", \
"0.006348, 0.006048, 0.005764, 0.005595, 0.005518, 0.005476, 0.005439", \
"0.006334, 0.006034, 0.005749, 0.00558, 0.005502, 0.005461, 0.005424", \
"0.006333, 0.006034, 0.005745, 0.005574, 0.005496, 0.005456, 0.005421", \
"0.006426, 0.006119, 0.005818, 0.005635, 0.005553, 0.005514, 0.00548", \
"0.006821, 0.006481, 0.006138, 0.00592, 0.005813, 0.005762, 0.005723", \
"0.007789, 0.007402, 0.006985, 0.006695, 0.006533, 0.006448, 0.006394");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.01146, 0.011249, 0.011086, 0.011013, 0.010991, 0.010981, 0.010964", \
"0.011447, 0.011236, 0.011074, 0.011, 0.010978, 0.010968, 0.010952", \
"0.011436, 0.011223, 0.011059, 0.010986, 0.010965, 0.010955, 0.010939", \
"0.011444, 0.011228, 0.01106, 0.010984, 0.010964, 0.010956, 0.010941", \
"0.011566, 0.011334, 0.011145, 0.011051, 0.011022, 0.011013, 0.010998", \
"0.012064, 0.011782, 0.011525, 0.011372, 0.011302, 0.011271, 0.011244", \
"0.013217, 0.012867, 0.012504, 0.012253, 0.012097, 0.012011, 0.011953");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.0077, 0.007397, 0.007108, 0.006936, 0.006857, 0.006819, 0.006788", \
"0.007692, 0.007389, 0.0071, 0.006927, 0.006849, 0.00681, 0.00678", \
"0.007687, 0.007385, 0.007095, 0.006921, 0.006843, 0.006805, 0.006775", \
"0.007709, 0.007407, 0.007114, 0.006939, 0.00686, 0.006823, 0.006794", \
"0.007846, 0.007538, 0.007235, 0.007046, 0.006961, 0.00692, 0.00689", \
"0.008317, 0.007973, 0.007628, 0.007398, 0.007281, 0.007226, 0.007189", \
"0.009497, 0.009083, 0.008636, 0.00831, 0.00812, 0.008012, 0.007943");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.009571, 0.009372, 0.009222, 0.009157, 0.009142, 0.009141, 0.009133", \
"0.009561, 0.009362, 0.009212, 0.009147, 0.009133, 0.009132, 0.009124", \
"0.009558, 0.009358, 0.009207, 0.009142, 0.009128, 0.009127, 0.00912", \
"0.009593, 0.009389, 0.009232, 0.009164, 0.009149, 0.00915, 0.009144", \
"0.009789, 0.009569, 0.009389, 0.009299, 0.009271, 0.009266, 0.009258", \
"0.010388, 0.010119, 0.009878, 0.009728, 0.009656, 0.009626, 0.009607", \
"0.011771, 0.011423, 0.011056, 0.010799, 0.010637, 0.010545, 0.010489");
}
}
}
pin(S) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.283856;
function : "(A^B)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.155357, 0.170576, 0.197337, 0.239983, 0.310011, 0.43345, 0.673301", \
"0.157902, 0.173376, 0.199858, 0.242491, 0.312706, 0.436008, 0.67695", \
"0.163143, 0.17845, 0.205135, 0.247861, 0.318085, 0.44104, 0.682041", \
"0.173529, 0.188746, 0.215592, 0.258058, 0.327957, 0.451454, 0.692414", \
"0.194235, 0.2096, 0.235984, 0.278454, 0.34842, 0.47148, 0.712158", \
"0.228676, 0.243945, 0.270875, 0.313429, 0.383636, 0.507145, 0.747912", \
"0.271684, 0.28779, 0.31601, 0.36191, 0.435527, 0.559733, 0.800503");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.052262, 0.065048, 0.088137, 0.130163, 0.210665, 0.372334, 0.724335", \
"0.052854, 0.06497, 0.088163, 0.128887, 0.209687, 0.37445, 0.724281", \
"0.052953, 0.065091, 0.088068, 0.129556, 0.209171, 0.376072, 0.719116", \
"0.053258, 0.064898, 0.088186, 0.130441, 0.210976, 0.373138, 0.72434", \
"0.053062, 0.065191, 0.087876, 0.130432, 0.210572, 0.375182, 0.719831", \
"0.058901, 0.070037, 0.093259, 0.132947, 0.212311, 0.374053, 0.724285", \
"0.071765, 0.084111, 0.106933, 0.14623, 0.222045, 0.381139, 0.72157");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.161286, 0.175103, 0.199112, 0.23752, 0.300109, 0.405621, 0.604668", \
"0.1643, 0.178114, 0.202145, 0.240529, 0.303101, 0.4087, 0.607697", \
"0.170602, 0.184344, 0.20842, 0.246837, 0.309218, 0.415319, 0.613718", \
"0.18301, 0.196776, 0.220926, 0.259258, 0.321556, 0.427658, 0.626844", \
"0.209033, 0.222741, 0.246691, 0.284932, 0.347372, 0.453288, 0.652448", \
"0.25685, 0.270634, 0.294274, 0.332601, 0.394906, 0.500987, 0.699927", \
"0.324773, 0.339671, 0.364935, 0.405445, 0.470669, 0.577963, 0.776668");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.056696, 0.06794, 0.088444, 0.12503, 0.193429, 0.328741, 0.618111", \
"0.056572, 0.067875, 0.088481, 0.125114, 0.193493, 0.328945, 0.617975", \
"0.056984, 0.068202, 0.088142, 0.123915, 0.192355, 0.326198, 0.61656", \
"0.057696, 0.06803, 0.088167, 0.125281, 0.193253, 0.329184, 0.609571", \
"0.057735, 0.06835, 0.088752, 0.125418, 0.193838, 0.329558, 0.61297", \
"0.062907, 0.072895, 0.092383, 0.127807, 0.194856, 0.330448, 0.613212", \
"0.078982, 0.088065, 0.108599, 0.142524, 0.206188, 0.336883, 0.620396");
}
}
timing() {
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.206819, 0.221859, 0.247944, 0.290145, 0.359612, 0.482489, 0.723", \
"0.209776, 0.224841, 0.251087, 0.29309, 0.362382, 0.485565, 0.726947", \
"0.21604, 0.231062, 0.257169, 0.299411, 0.368975, 0.491642, 0.731701", \
"0.228415, 0.24324, 0.26952, 0.311551, 0.380812, 0.503904, 0.745282", \
"0.248267, 0.26325, 0.289432, 0.331413, 0.40103, 0.523554, 0.764409", \
"0.27649, 0.291489, 0.317703, 0.359782, 0.429028, 0.55223, 0.793691", \
"0.318752, 0.333711, 0.360044, 0.402114, 0.471586, 0.594423, 0.835874");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.053909, 0.065042, 0.087466, 0.128771, 0.208512, 0.372926, 0.725121", \
"0.053769, 0.065414, 0.088016, 0.128506, 0.209346, 0.373532, 0.725089", \
"0.053875, 0.065592, 0.087414, 0.128816, 0.207833, 0.373831, 0.724152", \
"0.05378, 0.065678, 0.088344, 0.12843, 0.209709, 0.375481, 0.720794", \
"0.053987, 0.065442, 0.087586, 0.129221, 0.208689, 0.375267, 0.720868", \
"0.053934, 0.06562, 0.088136, 0.129153, 0.209807, 0.374635, 0.722775", \
"0.054804, 0.066768, 0.089354, 0.129556, 0.210324, 0.374376, 0.722001");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.222247, 0.235753, 0.25933, 0.297293, 0.359425, 0.464596, 0.663172", \
"0.224806, 0.238391, 0.261906, 0.300017, 0.362139, 0.46742, 0.666261", \
"0.230328, 0.243767, 0.267411, 0.305556, 0.367559, 0.472845, 0.671655", \
"0.239839, 0.253291, 0.276906, 0.314861, 0.377051, 0.482307, 0.680819", \
"0.25298, 0.266613, 0.290102, 0.328215, 0.390139, 0.495392, 0.69405", \
"0.268969, 0.282456, 0.305986, 0.343852, 0.405859, 0.511202, 0.710046", \
"0.286589, 0.30021, 0.323771, 0.361804, 0.423769, 0.528894, 0.72782");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.058257, 0.069126, 0.08861, 0.124638, 0.192611, 0.328548, 0.61796", \
"0.058704, 0.068706, 0.088778, 0.125247, 0.193235, 0.325067, 0.61286", \
"0.058846, 0.068453, 0.088464, 0.124803, 0.191977, 0.328826, 0.615072", \
"0.058889, 0.068529, 0.088952, 0.124761, 0.192054, 0.328625, 0.617642", \
"0.05873, 0.068288, 0.088855, 0.124923, 0.193312, 0.326188, 0.614972", \
"0.059143, 0.069036, 0.088669, 0.123864, 0.193108, 0.32493, 0.612132", \
"0.059997, 0.070269, 0.090257, 0.124702, 0.191935, 0.327846, 0.617454");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.136552, 0.151724, 0.17841, 0.220562, 0.289786, 0.412514, 0.6537", \
"0.138805, 0.154047, 0.180556, 0.222782, 0.291902, 0.414826, 0.656071", \
"0.143173, 0.158551, 0.184871, 0.227243, 0.296196, 0.419335, 0.660659", \
"0.152037, 0.167299, 0.193635, 0.235817, 0.305048, 0.427828, 0.668929", \
"0.171633, 0.186484, 0.21249, 0.254364, 0.323685, 0.446708, 0.687802", \
"0.202953, 0.218584, 0.245315, 0.28805, 0.357572, 0.480641, 0.721729", \
"0.241887, 0.25819, 0.286656, 0.332239, 0.405427, 0.530693, 0.770592");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.05379, 0.065433, 0.088035, 0.129747, 0.209449, 0.375982, 0.719528", \
"0.053518, 0.065009, 0.087787, 0.12954, 0.209536, 0.375598, 0.721242", \
"0.053645, 0.065285, 0.087671, 0.12891, 0.209387, 0.374448, 0.724293", \
"0.053417, 0.064799, 0.087468, 0.12946, 0.209267, 0.375769, 0.716846", \
"0.053454, 0.065528, 0.08838, 0.128558, 0.208934, 0.371318, 0.725735", \
"0.065077, 0.076009, 0.096189, 0.134339, 0.212818, 0.375621, 0.724716", \
"0.084349, 0.093906, 0.114751, 0.153121, 0.225601, 0.38383, 0.728192");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.128549, 0.142486, 0.166611, 0.205277, 0.267853, 0.37317, 0.572254", \
"0.13076, 0.144725, 0.168794, 0.207302, 0.269856, 0.375571, 0.574896", \
"0.135895, 0.149882, 0.173876, 0.212392, 0.274987, 0.380589, 0.579876", \
"0.146702, 0.160609, 0.184619, 0.223101, 0.285494, 0.391473, 0.589783", \
"0.168856, 0.182549, 0.206284, 0.244538, 0.306841, 0.412873, 0.612141", \
"0.206171, 0.220252, 0.24469, 0.28366, 0.34641, 0.452652, 0.651418", \
"0.261035, 0.276225, 0.30246, 0.344109, 0.410557, 0.519877, 0.719009");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.054668, 0.065266, 0.085939, 0.122619, 0.191895, 0.327874, 0.617218", \
"0.054351, 0.065595, 0.086262, 0.122541, 0.192373, 0.328458, 0.6115", \
"0.054449, 0.065332, 0.086046, 0.122271, 0.19194, 0.328265, 0.615198", \
"0.054409, 0.064292, 0.08501, 0.123029, 0.191072, 0.325846, 0.617172", \
"0.054009, 0.065126, 0.086078, 0.123111, 0.192514, 0.328797, 0.608338", \
"0.064237, 0.073854, 0.093507, 0.128719, 0.194499, 0.33049, 0.617981", \
"0.081779, 0.091769, 0.110244, 0.145407, 0.209558, 0.340426, 0.616683");
}
}
timing() {
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.146506, 0.161746, 0.188242, 0.230786, 0.301104, 0.425159, 0.666905", \
"0.149519, 0.164812, 0.191354, 0.233997, 0.304429, 0.427962, 0.668781", \
"0.155967, 0.171257, 0.19784, 0.24062, 0.310852, 0.434707, 0.676424", \
"0.168417, 0.183778, 0.210306, 0.252877, 0.323232, 0.446961, 0.687989", \
"0.191012, 0.206368, 0.23294, 0.275384, 0.34558, 0.469681, 0.711529", \
"0.227874, 0.242759, 0.268621, 0.310436, 0.380157, 0.504179, 0.74602", \
"0.27632, 0.291164, 0.317202, 0.35907, 0.428013, 0.550182, 0.791079");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.052516, 0.064175, 0.087737, 0.129862, 0.210657, 0.372911, 0.72559", \
"0.05236, 0.064116, 0.087185, 0.130063, 0.20907, 0.375152, 0.726023", \
"0.052363, 0.06414, 0.087613, 0.129916, 0.210076, 0.372917, 0.725493", \
"0.052481, 0.063774, 0.087293, 0.130039, 0.209752, 0.374615, 0.726518", \
"0.052341, 0.064122, 0.087158, 0.129747, 0.210808, 0.374355, 0.7243", \
"0.050791, 0.062557, 0.085577, 0.128172, 0.210315, 0.375272, 0.722724", \
"0.053865, 0.064954, 0.088205, 0.128435, 0.207486, 0.374216, 0.717868");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.19274, 0.206323, 0.22969, 0.267758, 0.329634, 0.434721, 0.633094", \
"0.19574, 0.209349, 0.23291, 0.270878, 0.333079, 0.438186, 0.637092", \
"0.201745, 0.215289, 0.238834, 0.276853, 0.338857, 0.444115, 0.642993", \
"0.211613, 0.225197, 0.248742, 0.286716, 0.348884, 0.454078, 0.652921", \
"0.224966, 0.238556, 0.262105, 0.300065, 0.362076, 0.467362, 0.666105", \
"0.242391, 0.255698, 0.278777, 0.316428, 0.378095, 0.483523, 0.682356", \
"0.264753, 0.278061, 0.300987, 0.338584, 0.39983, 0.503681, 0.701268");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.059026, 0.069104, 0.088528, 0.1247, 0.1934, 0.327557, 0.617165", \
"0.058406, 0.068423, 0.088509, 0.125312, 0.192361, 0.327764, 0.609304", \
"0.058968, 0.068516, 0.088814, 0.12506, 0.192945, 0.32545, 0.611522", \
"0.058793, 0.069044, 0.088655, 0.125052, 0.192123, 0.328164, 0.613045", \
"0.05846, 0.068987, 0.088444, 0.1249, 0.19166, 0.328661, 0.616518", \
"0.056352, 0.066997, 0.087033, 0.123335, 0.191996, 0.324931, 0.612409", \
"0.057892, 0.068237, 0.088361, 0.12392, 0.190502, 0.324353, 0.615184");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.01146, 0.011249, 0.011086, 0.011013, 0.010991, 0.010981, 0.010964", \
"0.011447, 0.011236, 0.011074, 0.011, 0.010978, 0.010968, 0.010952", \
"0.011436, 0.011223, 0.011059, 0.010986, 0.010965, 0.010955, 0.010939", \
"0.011444, 0.011228, 0.01106, 0.010984, 0.010964, 0.010956, 0.010941", \
"0.011566, 0.011334, 0.011145, 0.011051, 0.011022, 0.011013, 0.010998", \
"0.012064, 0.011782, 0.011525, 0.011372, 0.011302, 0.011271, 0.011244", \
"0.013217, 0.012867, 0.012504, 0.012253, 0.012097, 0.012011, 0.011953");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.006362, 0.006061, 0.005778, 0.005609, 0.005533, 0.005489, 0.005453", \
"0.006348, 0.006048, 0.005764, 0.005595, 0.005518, 0.005476, 0.005439", \
"0.006334, 0.006034, 0.005749, 0.00558, 0.005502, 0.005461, 0.005424", \
"0.006333, 0.006034, 0.005745, 0.005574, 0.005496, 0.005456, 0.005421", \
"0.006426, 0.006119, 0.005818, 0.005635, 0.005553, 0.005514, 0.00548", \
"0.006821, 0.006481, 0.006138, 0.00592, 0.005813, 0.005762, 0.005723", \
"0.007789, 0.007402, 0.006985, 0.006695, 0.006533, 0.006448, 0.006394");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.009571, 0.009372, 0.009222, 0.009157, 0.009142, 0.009141, 0.009133", \
"0.009561, 0.009362, 0.009212, 0.009147, 0.009133, 0.009132, 0.009124", \
"0.009558, 0.009358, 0.009207, 0.009142, 0.009128, 0.009127, 0.00912", \
"0.009593, 0.009389, 0.009232, 0.009164, 0.009149, 0.00915, 0.009144", \
"0.009789, 0.009569, 0.009389, 0.009299, 0.009271, 0.009266, 0.009258", \
"0.010388, 0.010119, 0.009878, 0.009728, 0.009656, 0.009626, 0.009607", \
"0.011771, 0.011423, 0.011056, 0.010799, 0.010637, 0.010545, 0.010489");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.0077, 0.007397, 0.007108, 0.006936, 0.006857, 0.006819, 0.006788", \
"0.007692, 0.007389, 0.0071, 0.006927, 0.006849, 0.00681, 0.00678", \
"0.007687, 0.007385, 0.007095, 0.006921, 0.006843, 0.006805, 0.006775", \
"0.007709, 0.007407, 0.007114, 0.006939, 0.00686, 0.006823, 0.006794", \
"0.007846, 0.007538, 0.007235, 0.007046, 0.006961, 0.00692, 0.00689", \
"0.008317, 0.007973, 0.007628, 0.007398, 0.007281, 0.007226, 0.007189", \
"0.009497, 0.009083, 0.008636, 0.00831, 0.00812, 0.008012, 0.007943");
}
}
}
}

/* --------------- *
* Design : ADDHX4 *
* --------------- */
cell (ADDHX4) {
cell_footprint : ADDH;
area : 20.436300;
cell_leakage_power : 170.013;
pin(A) {
direction : input;
capacitance : 0.00476122;
rise_capacitance : 0.00476011;
fall_capacitance : 0.00476122;
rise_capacitance_range ( 0.00450607, 0.00501414) ;
fall_capacitance_range ( 0.00450742, 0.00501503) ;
}
pin(B) {
direction : input;
capacitance : 0.00711068;
rise_capacitance : 0.00710771;
fall_capacitance : 0.00711068;
rise_capacitance_range ( 0.00655503, 0.00766038) ;
fall_capacitance_range ( 0.0065573, 0.00766407) ;
}
pin(CO) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.573775;
function : "(A B)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.156824, 0.171477, 0.197153, 0.238839, 0.307729, 0.430193, 0.669912", \
"0.15887, 0.173361, 0.19912, 0.240942, 0.30981, 0.432153, 0.671802", \
"0.162616, 0.177135, 0.20287, 0.244465, 0.313574, 0.435834, 0.675365", \
"0.170063, 0.184749, 0.210452, 0.251969, 0.320948, 0.443015, 0.682487", \
"0.186563, 0.201038, 0.226629, 0.268093, 0.336903, 0.459043, 0.698617", \
"0.2161, 0.231032, 0.25716, 0.298938, 0.368056, 0.490632, 0.730127", \
"0.259416, 0.274605, 0.302, 0.346281, 0.418094, 0.542358, 0.781564");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.054178, 0.065246, 0.087275, 0.129218, 0.210017, 0.371604, 0.723711", \
"0.053745, 0.065182, 0.087864, 0.129158, 0.209465, 0.372826, 0.723175", \
"0.053658, 0.065578, 0.088234, 0.128678, 0.208275, 0.373939, 0.721689", \
"0.053569, 0.064987, 0.0877, 0.129088, 0.208951, 0.374168, 0.719225", \
"0.053552, 0.065146, 0.087432, 0.129301, 0.209414, 0.374191, 0.718919", \
"0.060697, 0.070623, 0.092173, 0.132792, 0.21157, 0.375093, 0.716728", \
"0.071585, 0.082384, 0.103767, 0.144147, 0.220197, 0.380285, 0.717447");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.105641, 0.11663, 0.135548, 0.16637, 0.219241, 0.317288, 0.513342", \
"0.108596, 0.119651, 0.138568, 0.169392, 0.222328, 0.320346, 0.51636", \
"0.11489, 0.1259, 0.144802, 0.175786, 0.228755, 0.32678, 0.522414", \
"0.127645, 0.138564, 0.15747, 0.188275, 0.241164, 0.339173, 0.53528", \
"0.154303, 0.164983, 0.1838, 0.21452, 0.267488, 0.365491, 0.561136", \
"0.19651, 0.208318, 0.228019, 0.259818, 0.313171, 0.41076, 0.606327", \
"0.250618, 0.263526, 0.285514, 0.320395, 0.376709, 0.475944, 0.670368");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.036831, 0.045507, 0.063577, 0.097602, 0.16524, 0.305766, 0.603726", \
"0.036536, 0.045786, 0.063574, 0.097659, 0.165148, 0.305907, 0.603902", \
"0.036434, 0.045999, 0.063348, 0.097495, 0.165239, 0.306154, 0.600266", \
"0.036843, 0.045564, 0.063863, 0.097464, 0.165429, 0.305727, 0.603417", \
"0.0376, 0.046536, 0.064628, 0.097853, 0.165012, 0.306416, 0.602402", \
"0.049496, 0.057615, 0.073555, 0.104546, 0.169406, 0.306655, 0.599786", \
"0.068864, 0.076547, 0.092998, 0.121928, 0.182806, 0.314727, 0.607305");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.152315, 0.16694, 0.192735, 0.234333, 0.303351, 0.425703, 0.665637", \
"0.154168, 0.16878, 0.194558, 0.236165, 0.305256, 0.427395, 0.667412", \
"0.158431, 0.172907, 0.198664, 0.240282, 0.309403, 0.431381, 0.671381", \
"0.167444, 0.18198, 0.207663, 0.249258, 0.318531, 0.440616, 0.679974", \
"0.188238, 0.202754, 0.228267, 0.269642, 0.338587, 0.460808, 0.700703", \
"0.226913, 0.24144, 0.2674, 0.308925, 0.37798, 0.500001, 0.739846", \
"0.280494, 0.295684, 0.322832, 0.366834, 0.438143, 0.561888, 0.801387");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.053911, 0.065594, 0.08837, 0.129011, 0.209539, 0.372144, 0.723891", \
"0.054006, 0.065493, 0.08831, 0.128614, 0.208915, 0.373966, 0.723814", \
"0.053497, 0.065261, 0.088081, 0.128731, 0.207887, 0.374702, 0.721665", \
"0.054012, 0.064989, 0.087854, 0.129042, 0.208797, 0.374688, 0.715921", \
"0.054126, 0.065342, 0.088193, 0.129299, 0.209168, 0.374388, 0.721964", \
"0.061848, 0.071528, 0.093445, 0.133549, 0.211102, 0.375474, 0.72364", \
"0.078209, 0.089343, 0.10768, 0.14762, 0.22215, 0.382798, 0.724602");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.101055, 0.111912, 0.130687, 0.161454, 0.214228, 0.312435, 0.5082", \
"0.104139, 0.115006, 0.133747, 0.164444, 0.2172, 0.315286, 0.51085", \
"0.110417, 0.121252, 0.140026, 0.170659, 0.223377, 0.321454, 0.517012", \
"0.123085, 0.133873, 0.152573, 0.183319, 0.236114, 0.334342, 0.530117", \
"0.149317, 0.159912, 0.178392, 0.209004, 0.261656, 0.35979, 0.555422", \
"0.189478, 0.200938, 0.220644, 0.252391, 0.305468, 0.403199, 0.598281", \
"0.239769, 0.252449, 0.274499, 0.309142, 0.365858, 0.464722, 0.659408");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.035719, 0.044833, 0.062419, 0.096716, 0.165571, 0.3068, 0.600442", \
"0.035376, 0.044691, 0.062734, 0.096299, 0.165544, 0.306736, 0.600042", \
"0.035772, 0.044742, 0.062804, 0.096505, 0.165323, 0.30646, 0.599672", \
"0.035649, 0.044808, 0.062528, 0.096707, 0.165668, 0.306836, 0.600454", \
"0.037487, 0.046386, 0.063629, 0.097364, 0.166238, 0.307305, 0.601094", \
"0.049233, 0.058547, 0.074589, 0.105006, 0.170432, 0.308121, 0.601152", \
"0.069349, 0.077373, 0.093692, 0.12443, 0.183898, 0.313408, 0.602635");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.015206, 0.014552, 0.013895, 0.013483, 0.013281, 0.013169, 0.01308", \
"0.015191, 0.014535, 0.013878, 0.013467, 0.013265, 0.013153, 0.013064", \
"0.015167, 0.014512, 0.013855, 0.013442, 0.01324, 0.013129, 0.013041", \
"0.015155, 0.014499, 0.013836, 0.013418, 0.013217, 0.01311, 0.013023", \
"0.01525, 0.014583, 0.013902, 0.013463, 0.013254, 0.013149, 0.013065", \
"0.0158, 0.015079, 0.014323, 0.013812, 0.013553, 0.013422, 0.013326", \
"0.017213, 0.016385, 0.015479, 0.014815, 0.014447, 0.014252, 0.014128");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.019808, 0.019328, 0.01893, 0.018732, 0.018653, 0.018617, 0.018575", \
"0.019792, 0.019313, 0.018914, 0.018717, 0.018639, 0.018603, 0.018561", \
"0.019776, 0.019295, 0.018891, 0.018699, 0.018622, 0.018586, 0.018545", \
"0.019786, 0.0193, 0.018889, 0.018687, 0.018613, 0.018581, 0.018541", \
"0.019965, 0.019451, 0.018995, 0.018758, 0.018669, 0.018632, 0.018591", \
"0.02075, 0.020138, 0.019558, 0.019201, 0.019024, 0.018941, 0.018877", \
"0.022577, 0.021826, 0.021023, 0.020439, 0.02009, 0.01989, 0.019755");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.016629, 0.015972, 0.015311, 0.014889, 0.014681, 0.014573, 0.014498", \
"0.016616, 0.015958, 0.015297, 0.014875, 0.014666, 0.01456, 0.014485", \
"0.016605, 0.015945, 0.015284, 0.01486, 0.014652, 0.014546, 0.014472", \
"0.01662, 0.015958, 0.015291, 0.014864, 0.014655, 0.014554, 0.014481", \
"0.016803, 0.016134, 0.015445, 0.014993, 0.014771, 0.014661, 0.014586", \
"0.017518, 0.016782, 0.016007, 0.015474, 0.015184, 0.015035, 0.014938", \
"0.019335, 0.018465, 0.017485, 0.016752, 0.016308, 0.016054, 0.015899");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.018479, 0.01788, 0.017266, 0.016852, 0.016641, 0.016541, 0.016475", \
"0.018463, 0.017864, 0.017251, 0.016838, 0.016628, 0.016529, 0.016463", \
"0.018455, 0.017853, 0.017238, 0.016826, 0.016618, 0.01652, 0.016455", \
"0.018498, 0.017888, 0.017263, 0.016846, 0.016639, 0.016543, 0.016481", \
"0.018795, 0.018153, 0.017487, 0.017032, 0.0168, 0.016693, 0.016627", \
"0.019702, 0.018995, 0.018209, 0.017658, 0.017341, 0.017179, 0.017085", \
"0.021907, 0.021035, 0.020028, 0.019259, 0.01877, 0.018475, 0.018296");
}
}
}
pin(S) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.561833;
function : "(A^B)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.221495, 0.238226, 0.268346, 0.317106, 0.395516, 0.526905, 0.770624", \
"0.224098, 0.240892, 0.270952, 0.319724, 0.398149, 0.529748, 0.773382", \
"0.229358, 0.246358, 0.276309, 0.324993, 0.403672, 0.53482, 0.779125", \
"0.240085, 0.257075, 0.28695, 0.335652, 0.414268, 0.5454, 0.789772", \
"0.262268, 0.27915, 0.309522, 0.358051, 0.43633, 0.567209, 0.811365", \
"0.305726, 0.322506, 0.352346, 0.400632, 0.478659, 0.61004, 0.853887", \
"0.372813, 0.390096, 0.420637, 0.470631, 0.550622, 0.682362, 0.92603");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.075312, 0.088069, 0.111698, 0.156474, 0.237048, 0.396989, 0.736546", \
"0.07573, 0.088347, 0.11217, 0.156203, 0.237188, 0.397331, 0.735597", \
"0.076067, 0.086535, 0.112935, 0.156506, 0.237697, 0.398623, 0.731485", \
"0.076392, 0.08709, 0.112954, 0.156367, 0.237676, 0.398597, 0.729348", \
"0.075729, 0.086862, 0.112597, 0.156596, 0.23759, 0.398219, 0.737422", \
"0.07671, 0.088754, 0.112122, 0.1572, 0.238373, 0.397627, 0.734094", \
"0.09345, 0.104835, 0.12926, 0.168715, 0.247349, 0.400086, 0.731713");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.235779, 0.251362, 0.278407, 0.322323, 0.392689, 0.507983, 0.714172", \
"0.238853, 0.254493, 0.281285, 0.32551, 0.395702, 0.511483, 0.717505", \
"0.245095, 0.260505, 0.287692, 0.331716, 0.402046, 0.517294, 0.723506", \
"0.257558, 0.272953, 0.300055, 0.343906, 0.414529, 0.530622, 0.736216", \
"0.28391, 0.299332, 0.326507, 0.370357, 0.44071, 0.555925, 0.762171", \
"0.337734, 0.353255, 0.37992, 0.423343, 0.49346, 0.608999, 0.814723", \
"0.431967, 0.447798, 0.475603, 0.519468, 0.589584, 0.705184, 0.910504");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.08399, 0.095682, 0.116745, 0.15501, 0.22531, 0.359958, 0.632021", \
"0.084492, 0.095567, 0.117183, 0.154821, 0.225445, 0.359593, 0.636756", \
"0.084785, 0.095878, 0.116973, 0.155004, 0.225291, 0.359923, 0.632065", \
"0.085515, 0.096112, 0.116849, 0.154832, 0.225435, 0.357714, 0.640319", \
"0.084743, 0.095878, 0.116884, 0.155107, 0.225349, 0.359997, 0.632051", \
"0.085538, 0.096055, 0.117743, 0.155509, 0.226203, 0.35945, 0.639032", \
"0.101869, 0.110143, 0.129857, 0.167459, 0.232285, 0.361852, 0.638626");
}
}
timing() {
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.238639, 0.253829, 0.280561, 0.323635, 0.394017, 0.517846, 0.759324", \
"0.241613, 0.256847, 0.283605, 0.326677, 0.397066, 0.520826, 0.762238", \
"0.247913, 0.263223, 0.289823, 0.332984, 0.403535, 0.527013, 0.767901", \
"0.260691, 0.276113, 0.302618, 0.34565, 0.415957, 0.539881, 0.781379", \
"0.285009, 0.300286, 0.326949, 0.369898, 0.440533, 0.563917, 0.804817", \
"0.321796, 0.337387, 0.363948, 0.406938, 0.47752, 0.601104, 0.842017", \
"0.374298, 0.389669, 0.416281, 0.45952, 0.52981, 0.653946, 0.895464");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.058701, 0.069688, 0.092061, 0.133488, 0.214175, 0.378796, 0.720454", \
"0.058365, 0.06977, 0.091689, 0.133376, 0.214169, 0.378952, 0.718948", \
"0.058372, 0.069281, 0.092063, 0.134409, 0.212335, 0.376901, 0.727624", \
"0.05853, 0.069928, 0.091865, 0.133709, 0.214121, 0.37858, 0.720995", \
"0.058537, 0.069961, 0.092139, 0.1337, 0.213485, 0.378215, 0.725677", \
"0.058887, 0.069655, 0.092228, 0.133629, 0.212295, 0.376621, 0.727625", \
"0.060019, 0.070478, 0.093526, 0.133997, 0.213261, 0.379447, 0.723457");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.250102, 0.263751, 0.287527, 0.326254, 0.389297, 0.495717, 0.695321", \
"0.252721, 0.266391, 0.29021, 0.328794, 0.392025, 0.498682, 0.698127", \
"0.25825, 0.271919, 0.295803, 0.334487, 0.397555, 0.503967, 0.70377", \
"0.268904, 0.28262, 0.306402, 0.345048, 0.408415, 0.514666, 0.714449", \
"0.286948, 0.300487, 0.32416, 0.362897, 0.42631, 0.532496, 0.732274", \
"0.310153, 0.323772, 0.347401, 0.386092, 0.448962, 0.555287, 0.754821", \
"0.337535, 0.351229, 0.375138, 0.413837, 0.477087, 0.583172, 0.782259");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.062507, 0.07265, 0.092776, 0.128744, 0.196324, 0.333264, 0.621501", \
"0.062706, 0.072687, 0.092779, 0.129014, 0.196586, 0.333162, 0.619568", \
"0.062867, 0.072774, 0.092387, 0.128869, 0.196842, 0.332406, 0.615115", \
"0.062932, 0.073131, 0.092594, 0.128866, 0.196811, 0.330799, 0.613805", \
"0.062321, 0.072956, 0.092636, 0.12907, 0.196746, 0.330935, 0.613803", \
"0.062765, 0.072845, 0.092531, 0.129155, 0.197829, 0.33066, 0.618899", \
"0.06368, 0.073809, 0.093156, 0.130412, 0.196668, 0.333237, 0.620265");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.145379, 0.160538, 0.18758, 0.230709, 0.301022, 0.42495, 0.666393", \
"0.147842, 0.16315, 0.190034, 0.233138, 0.303724, 0.427279, 0.667605", \
"0.152794, 0.168094, 0.195001, 0.238121, 0.308436, 0.432277, 0.673757", \
"0.162059, 0.17733, 0.20408, 0.24714, 0.317572, 0.441483, 0.682739", \
"0.18184, 0.196951, 0.223437, 0.266271, 0.336545, 0.460537, 0.702128", \
"0.216269, 0.231406, 0.258452, 0.301432, 0.372051, 0.495587, 0.736492", \
"0.257222, 0.27336, 0.302073, 0.348056, 0.422219, 0.547422, 0.787906");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.057087, 0.069062, 0.091842, 0.132476, 0.213332, 0.374798, 0.728174", \
"0.057374, 0.069308, 0.092078, 0.132708, 0.211465, 0.377066, 0.726833", \
"0.057612, 0.068864, 0.09092, 0.133065, 0.213645, 0.378556, 0.721533", \
"0.056869, 0.069054, 0.091835, 0.132321, 0.212607, 0.37457, 0.727853", \
"0.056367, 0.06893, 0.091801, 0.133087, 0.213587, 0.377417, 0.72562", \
"0.067392, 0.07719, 0.098389, 0.138108, 0.215545, 0.379495, 0.723152", \
"0.08734, 0.097109, 0.117119, 0.156211, 0.22897, 0.38705, 0.727918");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.176008, 0.19169, 0.219089, 0.26305, 0.333866, 0.449282, 0.655196", \
"0.177912, 0.193409, 0.220808, 0.264813, 0.335635, 0.450943, 0.65719", \
"0.1822, 0.197839, 0.225201, 0.269151, 0.340036, 0.455517, 0.661156", \
"0.191966, 0.207446, 0.234808, 0.278749, 0.349552, 0.464888, 0.671058", \
"0.213367, 0.228911, 0.256119, 0.299872, 0.370298, 0.486235, 0.692259", \
"0.255306, 0.270594, 0.297217, 0.340397, 0.410689, 0.526308, 0.732421", \
"0.319697, 0.33553, 0.363276, 0.408024, 0.480332, 0.597453, 0.804278");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.079174, 0.091066, 0.11253, 0.151459, 0.222888, 0.357065, 0.637801", \
"0.079824, 0.090345, 0.112358, 0.150444, 0.222301, 0.358464, 0.631526", \
"0.078915, 0.090712, 0.112223, 0.151348, 0.222718, 0.356324, 0.636841", \
"0.078992, 0.089713, 0.11183, 0.15025, 0.222414, 0.358132, 0.631094", \
"0.078027, 0.088616, 0.110179, 0.150514, 0.221127, 0.357019, 0.638514", \
"0.082103, 0.091776, 0.113941, 0.15138, 0.223246, 0.358669, 0.638319", \
"0.099806, 0.109034, 0.130072, 0.167115, 0.235065, 0.366366, 0.641157");
}
}
timing() {
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.204832, 0.221769, 0.251762, 0.300395, 0.37893, 0.510984, 0.755795", \
"0.207967, 0.224839, 0.254925, 0.303521, 0.38249, 0.513497, 0.758294", \
"0.214366, 0.231207, 0.261197, 0.309895, 0.388716, 0.520004, 0.76458", \
"0.226973, 0.243895, 0.273884, 0.3225, 0.401075, 0.533137, 0.778001", \
"0.251709, 0.268874, 0.298963, 0.347509, 0.426149, 0.557923, 0.802933", \
"0.294398, 0.311405, 0.341962, 0.390763, 0.469082, 0.600528, 0.845576", \
"0.359563, 0.375996, 0.405563, 0.453053, 0.529798, 0.660614, 0.904946");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.074666, 0.086793, 0.111635, 0.155563, 0.236686, 0.39828, 0.735542", \
"0.074519, 0.087323, 0.11128, 0.155299, 0.238095, 0.398362, 0.740008", \
"0.074423, 0.087304, 0.11146, 0.155145, 0.23802, 0.398076, 0.73989", \
"0.074407, 0.086596, 0.111667, 0.155601, 0.236774, 0.398259, 0.735354", \
"0.074578, 0.08715, 0.111341, 0.155577, 0.237391, 0.39853, 0.738854", \
"0.07299, 0.085129, 0.110343, 0.154165, 0.236958, 0.398285, 0.740437", \
"0.073106, 0.085311, 0.108826, 0.151826, 0.234215, 0.396587, 0.74011");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.221718, 0.235427, 0.259271, 0.297932, 0.360722, 0.467263, 0.667086", \
"0.224616, 0.238255, 0.262007, 0.300651, 0.363646, 0.470218, 0.669807", \
"0.230469, 0.244118, 0.268012, 0.306418, 0.369486, 0.475782, 0.675478", \
"0.241577, 0.255253, 0.279091, 0.317733, 0.38057, 0.486805, 0.686235", \
"0.259979, 0.27365, 0.297456, 0.336139, 0.399062, 0.505439, 0.705017", \
"0.282786, 0.296593, 0.320472, 0.359049, 0.422026, 0.528306, 0.728052", \
"0.314297, 0.327713, 0.351324, 0.389231, 0.451385, 0.556687, 0.755793");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.062856, 0.072817, 0.092895, 0.128576, 0.196825, 0.332482, 0.613928", \
"0.062463, 0.073157, 0.092705, 0.12891, 0.196219, 0.333259, 0.619756", \
"0.062962, 0.072911, 0.092783, 0.128774, 0.197302, 0.333011, 0.62166", \
"0.062767, 0.072088, 0.092878, 0.129143, 0.197782, 0.33146, 0.620178", \
"0.062514, 0.072228, 0.092465, 0.128928, 0.19636, 0.333076, 0.621644", \
"0.061828, 0.072045, 0.092079, 0.128587, 0.196637, 0.33284, 0.619628", \
"0.061876, 0.072096, 0.091901, 0.127739, 0.195278, 0.328106, 0.620149");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.019808, 0.019328, 0.01893, 0.018732, 0.018653, 0.018617, 0.018575", \
"0.019792, 0.019313, 0.018914, 0.018717, 0.018639, 0.018603, 0.018561", \
"0.019776, 0.019295, 0.018891, 0.018699, 0.018622, 0.018586, 0.018545", \
"0.019786, 0.0193, 0.018889, 0.018687, 0.018613, 0.018581, 0.018541", \
"0.019965, 0.019451, 0.018995, 0.018758, 0.018669, 0.018632, 0.018591", \
"0.02075, 0.020138, 0.019558, 0.019201, 0.019024, 0.018941, 0.018877", \
"0.022577, 0.021826, 0.021023, 0.020439, 0.02009, 0.01989, 0.019755");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.015206, 0.014552, 0.013895, 0.013483, 0.013281, 0.013169, 0.01308", \
"0.015191, 0.014535, 0.013878, 0.013467, 0.013265, 0.013153, 0.013064", \
"0.015167, 0.014512, 0.013855, 0.013442, 0.01324, 0.013129, 0.013041", \
"0.015155, 0.014499, 0.013836, 0.013418, 0.013217, 0.01311, 0.013023", \
"0.01525, 0.014583, 0.013902, 0.013463, 0.013254, 0.013149, 0.013065", \
"0.0158, 0.015079, 0.014323, 0.013812, 0.013553, 0.013422, 0.013326", \
"0.017213, 0.016385, 0.015479, 0.014815, 0.014447, 0.014252, 0.014128");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.018479, 0.01788, 0.017266, 0.016852, 0.016641, 0.016541, 0.016475", \
"0.018463, 0.017864, 0.017251, 0.016838, 0.016628, 0.016529, 0.016463", \
"0.018455, 0.017853, 0.017238, 0.016826, 0.016618, 0.01652, 0.016455", \
"0.018498, 0.017888, 0.017263, 0.016846, 0.016639, 0.016543, 0.016481", \
"0.018795, 0.018153, 0.017487, 0.017032, 0.0168, 0.016693, 0.016627", \
"0.019702, 0.018995, 0.018209, 0.017658, 0.017341, 0.017179, 0.017085", \
"0.021907, 0.021035, 0.020028, 0.019259, 0.01877, 0.018475, 0.018296");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.016629, 0.015972, 0.015311, 0.014889, 0.014681, 0.014573, 0.014498", \
"0.016616, 0.015958, 0.015297, 0.014875, 0.014666, 0.01456, 0.014485", \
"0.016605, 0.015945, 0.015284, 0.01486, 0.014652, 0.014546, 0.014472", \
"0.01662, 0.015958, 0.015291, 0.014864, 0.014655, 0.014554, 0.014481", \
"0.016803, 0.016134, 0.015445, 0.014993, 0.014771, 0.014661, 0.014586", \
"0.017518, 0.016782, 0.016007, 0.015474, 0.015184, 0.015035, 0.014938", \
"0.019335, 0.018465, 0.017485, 0.016752, 0.016308, 0.016054, 0.015899");
}
}
}
}

/* --------------- *
* Design : ADDHXL *
* --------------- */
cell (ADDHXL) {
cell_footprint : ADDH;
area : 12.110400;
cell_leakage_power : 86.962;
pin(A) {
direction : input;
capacitance : 0.00359264;
rise_capacitance : 0.00359212;
fall_capacitance : 0.00359264;
rise_capacitance_range ( 0.00340575, 0.00377848) ;
fall_capacitance_range ( 0.00340621, 0.00377908) ;
}
pin(B) {
direction : input;
capacitance : 0.00418932;
rise_capacitance : 0.0041881;
fall_capacitance : 0.00418932;
rise_capacitance_range ( 0.00396513, 0.00441108) ;
fall_capacitance_range ( 0.00396613, 0.0044125) ;
}
pin(CO) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.0806225;
function : "(A B)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.092441, 0.102791, 0.121208, 0.152378, 0.208234, 0.315926, 0.533598", \
"0.094097, 0.104436, 0.122892, 0.153866, 0.209949, 0.31775, 0.535593", \
"0.097501, 0.107812, 0.12615, 0.157303, 0.213275, 0.321109, 0.538432", \
"0.104656, 0.114857, 0.133159, 0.164144, 0.220319, 0.32809, 0.545559", \
"0.116358, 0.127069, 0.146003, 0.177544, 0.233869, 0.341729, 0.559476", \
"0.13295, 0.144126, 0.164067, 0.196924, 0.25503, 0.363354, 0.580952", \
"0.155392, 0.167841, 0.189682, 0.225175, 0.285298, 0.395405, 0.614342");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.031467, 0.041038, 0.059491, 0.096318, 0.172392, 0.329313, 0.650311", \
"0.03136, 0.040757, 0.059855, 0.09674, 0.172096, 0.325879, 0.646432", \
"0.031501, 0.041044, 0.059468, 0.096113, 0.171754, 0.328009, 0.650515", \
"0.031689, 0.041056, 0.060136, 0.096865, 0.172449, 0.329053, 0.651145", \
"0.034946, 0.044195, 0.062317, 0.098543, 0.173188, 0.328864, 0.649339", \
"0.039764, 0.049649, 0.068083, 0.10356, 0.176783, 0.33091, 0.651034", \
"0.049273, 0.058659, 0.077565, 0.112455, 0.18443, 0.333765, 0.654392");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.066397, 0.07398, 0.087781, 0.111519, 0.156054, 0.242342, 0.417356", \
"0.069334, 0.07691, 0.090629, 0.114533, 0.158957, 0.245346, 0.419763", \
"0.07561, 0.083149, 0.096798, 0.12068, 0.165166, 0.251558, 0.426385", \
"0.088009, 0.095521, 0.10912, 0.133075, 0.177392, 0.263972, 0.438291", \
"0.107815, 0.11588, 0.130178, 0.15457, 0.199113, 0.285306, 0.460353", \
"0.133188, 0.142224, 0.157892, 0.183652, 0.229277, 0.315935, 0.490653", \
"0.167695, 0.177936, 0.195843, 0.224102, 0.271417, 0.358971, 0.533937");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.02274, 0.030253, 0.045762, 0.077128, 0.139822, 0.268485, 0.530544", \
"0.022629, 0.030384, 0.045605, 0.076922, 0.139728, 0.268822, 0.53738", \
"0.022831, 0.03055, 0.046032, 0.076966, 0.139552, 0.269979, 0.536388", \
"0.023467, 0.030942, 0.046342, 0.076673, 0.139568, 0.269213, 0.537228", \
"0.028289, 0.035224, 0.049549, 0.079346, 0.140687, 0.269529, 0.530127", \
"0.036332, 0.043367, 0.056475, 0.085138, 0.144841, 0.269656, 0.533351", \
"0.04934, 0.056177, 0.069636, 0.095745, 0.152216, 0.274613, 0.53195");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.085764, 0.096099, 0.114435, 0.145594, 0.20166, 0.309375, 0.527274", \
"0.087471, 0.097791, 0.116119, 0.147151, 0.203237, 0.31118, 0.529153", \
"0.091701, 0.101929, 0.120333, 0.151225, 0.207392, 0.315354, 0.533382", \
"0.101121, 0.111306, 0.12946, 0.16047, 0.216511, 0.324372, 0.542444", \
"0.116303, 0.127031, 0.145887, 0.177466, 0.233603, 0.341342, 0.559523", \
"0.136734, 0.147934, 0.167702, 0.200739, 0.258504, 0.367131, 0.585015", \
"0.164957, 0.177319, 0.199008, 0.234039, 0.293933, 0.403554, 0.622177");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.031458, 0.040916, 0.059218, 0.09633, 0.172343, 0.329365, 0.647543", \
"0.031475, 0.040881, 0.059379, 0.096521, 0.172403, 0.325925, 0.64847", \
"0.031132, 0.040509, 0.059679, 0.09657, 0.172386, 0.325873, 0.647533", \
"0.031476, 0.040983, 0.059934, 0.09637, 0.172525, 0.329017, 0.647403", \
"0.03576, 0.044933, 0.063218, 0.098599, 0.173502, 0.32884, 0.643214", \
"0.042178, 0.050938, 0.069114, 0.103869, 0.176967, 0.331031, 0.644847", \
"0.053388, 0.063108, 0.080116, 0.114102, 0.185057, 0.33428, 0.647319");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.060576, 0.068075, 0.081471, 0.105081, 0.149308, 0.235514, 0.410483", \
"0.063601, 0.071013, 0.084402, 0.108021, 0.152282, 0.238488, 0.41346", \
"0.069796, 0.077153, 0.090552, 0.114216, 0.158287, 0.244818, 0.419781", \
"0.081701, 0.089006, 0.102412, 0.125998, 0.170325, 0.25675, 0.431426", \
"0.09871, 0.106743, 0.121049, 0.145518, 0.189819, 0.276026, 0.450469", \
"0.120224, 0.129137, 0.144783, 0.170736, 0.216201, 0.303089, 0.477434", \
"0.146621, 0.157227, 0.175163, 0.204157, 0.252091, 0.339998, 0.515132");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.021477, 0.029075, 0.044719, 0.075662, 0.139488, 0.270059, 0.530189", \
"0.021718, 0.029141, 0.044735, 0.075759, 0.139483, 0.270021, 0.529911", \
"0.021672, 0.029251, 0.044792, 0.075344, 0.139518, 0.267724, 0.534556", \
"0.022744, 0.030051, 0.045509, 0.076173, 0.139265, 0.268039, 0.537277", \
"0.027881, 0.034824, 0.049685, 0.079012, 0.139998, 0.26866, 0.536909", \
"0.035861, 0.043042, 0.057303, 0.085915, 0.144838, 0.271044, 0.531043", \
"0.04949, 0.057525, 0.071741, 0.10034, 0.154937, 0.276735, 0.539013");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.001041, 0.001034, 0.001036, 0.001046, 0.001053, 0.001054, 0.00105", \
"0.001024, 0.001017, 0.001019, 0.001028, 0.001036, 0.001037, 0.001033", \
"0.001006, 0.000998, 0.001, 0.001009, 0.001017, 0.001019, 0.001015", \
"0.001011, 0.001, 0.000999, 0.001007, 0.001016, 0.001019, 0.001016", \
"0.001101, 0.001086, 0.001079, 0.001083, 0.001091, 0.001096, 0.001094", \
"0.001411, 0.001389, 0.001373, 0.00137, 0.001373, 0.001378, 0.001376", \
"0.002212, 0.002175, 0.002143, 0.002124, 0.002119, 0.002117, 0.002114");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.006371, 0.006372, 0.00638, 0.006393, 0.006402, 0.006406, 0.006404", \
"0.006356, 0.006356, 0.006364, 0.006377, 0.006387, 0.006391, 0.006389", \
"0.006343, 0.006342, 0.00635, 0.006363, 0.006373, 0.006378, 0.006376", \
"0.006354, 0.00635, 0.006355, 0.006367, 0.006378, 0.006383, 0.006382", \
"0.00645, 0.006441, 0.006437, 0.006444, 0.006453, 0.006459, 0.006458", \
"0.006774, 0.006755, 0.006737, 0.006734, 0.006736, 0.006739, 0.006737", \
"0.00763, 0.007594, 0.007559, 0.007533, 0.007521, 0.007512, 0.007505");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.002326, 0.002319, 0.002321, 0.002329, 0.002337, 0.002342, 0.002341", \
"0.002311, 0.002304, 0.002305, 0.002313, 0.002322, 0.002327, 0.002326", \
"0.002297, 0.002289, 0.002289, 0.002298, 0.002307, 0.002313, 0.002313", \
"0.002316, 0.002305, 0.002302, 0.002308, 0.002318, 0.002324, 0.002325", \
"0.002442, 0.002426, 0.002416, 0.002418, 0.002425, 0.002431, 0.002431", \
"0.002796, 0.002773, 0.002754, 0.002753, 0.002754, 0.002757, 0.002757", \
"0.003677, 0.003639, 0.003603, 0.003578, 0.003565, 0.003561, 0.003557");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.004771, 0.004772, 0.004778, 0.004789, 0.0048, 0.004807, 0.004808", \
"0.004757, 0.004757, 0.004764, 0.004775, 0.004786, 0.004793, 0.004794", \
"0.004752, 0.004751, 0.004756, 0.004768, 0.00478, 0.004788, 0.004789", \
"0.004789, 0.004784, 0.004788, 0.004799, 0.004811, 0.00482, 0.004822", \
"0.004946, 0.004937, 0.004934, 0.004939, 0.004948, 0.004956, 0.004959", \
"0.00538, 0.005361, 0.005345, 0.005339, 0.00534, 0.005343, 0.005345", \
"0.006427, 0.006388, 0.006354, 0.006325, 0.006305, 0.006295, 0.006289");
}
}
}
pin(S) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.0790936;
function : "(A^B)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.109782, 0.120981, 0.140832, 0.173751, 0.231432, 0.339816, 0.559404", \
"0.112385, 0.123689, 0.143475, 0.176448, 0.234047, 0.342507, 0.561562", \
"0.117647, 0.128921, 0.148687, 0.181483, 0.239219, 0.348071, 0.56527", \
"0.128103, 0.139303, 0.159015, 0.191791, 0.249451, 0.358241, 0.576985", \
"0.147356, 0.158606, 0.178321, 0.211167, 0.268946, 0.377352, 0.596564", \
"0.172631, 0.184951, 0.205863, 0.240367, 0.299038, 0.40775, 0.626285", \
"0.201557, 0.214893, 0.238376, 0.275577, 0.337251, 0.447338, 0.66464");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.035834, 0.045555, 0.06421, 0.101256, 0.175367, 0.331208, 0.652683", \
"0.03548, 0.045316, 0.064419, 0.100875, 0.17525, 0.331762, 0.653323", \
"0.035674, 0.045694, 0.064821, 0.100825, 0.176378, 0.329218, 0.650236", \
"0.035994, 0.045935, 0.064972, 0.101407, 0.176362, 0.32972, 0.649099", \
"0.03797, 0.04711, 0.065942, 0.102391, 0.175043, 0.331774, 0.652888", \
"0.044742, 0.054939, 0.07276, 0.107663, 0.179775, 0.331096, 0.651398", \
"0.056729, 0.064852, 0.085028, 0.118781, 0.188915, 0.334085, 0.651983");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.109955, 0.120109, 0.138136, 0.167395, 0.216931, 0.305867, 0.480969", \
"0.112914, 0.123147, 0.141201, 0.170409, 0.219839, 0.30889, 0.484282", \
"0.119167, 0.129321, 0.147426, 0.176681, 0.226011, 0.315142, 0.490694", \
"0.131866, 0.142029, 0.160005, 0.189243, 0.238536, 0.327701, 0.503323", \
"0.157394, 0.167642, 0.185341, 0.214505, 0.263891, 0.352998, 0.528516", \
"0.195418, 0.206287, 0.225521, 0.256032, 0.306084, 0.395394, 0.570476", \
"0.246496, 0.258422, 0.279105, 0.312941, 0.366669, 0.458704, 0.633056");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.036408, 0.045271, 0.061516, 0.092607, 0.152877, 0.275788, 0.540761", \
"0.036191, 0.04523, 0.061436, 0.092655, 0.153161, 0.275224, 0.541861", \
"0.036514, 0.044913, 0.0615, 0.092595, 0.153363, 0.276814, 0.542109", \
"0.036423, 0.04521, 0.06184, 0.092739, 0.153496, 0.277654, 0.540861", \
"0.038011, 0.046266, 0.063308, 0.0938, 0.153941, 0.277137, 0.541516", \
"0.046582, 0.055275, 0.072049, 0.099859, 0.158221, 0.280648, 0.53464", \
"0.061179, 0.070105, 0.085789, 0.114757, 0.168755, 0.286306, 0.544807");
}
}
timing() {
related_pin : "A";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.151798, 0.162778, 0.18211, 0.214431, 0.271387, 0.380129, 0.599061", \
"0.154685, 0.165684, 0.185171, 0.217523, 0.274482, 0.383413, 0.60178", \
"0.160984, 0.172018, 0.191333, 0.223575, 0.280769, 0.389335, 0.609034", \
"0.173131, 0.184204, 0.203481, 0.235747, 0.292948, 0.401843, 0.620484", \
"0.19336, 0.204388, 0.223665, 0.255954, 0.313, 0.421646, 0.640873", \
"0.221539, 0.232619, 0.252035, 0.284468, 0.341609, 0.450483, 0.667834", \
"0.263078, 0.274191, 0.293916, 0.326406, 0.383935, 0.492667, 0.710593");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.03492, 0.04423, 0.062778, 0.099237, 0.175285, 0.329994, 0.652664", \
"0.034626, 0.044089, 0.062956, 0.09908, 0.175431, 0.33041, 0.646562", \
"0.034735, 0.044146, 0.063169, 0.099777, 0.17387, 0.332121, 0.653546", \
"0.034846, 0.044505, 0.06301, 0.100007, 0.174897, 0.331393, 0.647696", \
"0.034827, 0.044464, 0.062931, 0.099485, 0.175005, 0.330923, 0.653642", \
"0.035397, 0.045136, 0.063533, 0.0996, 0.175836, 0.328125, 0.649451", \
"0.036425, 0.046625, 0.065407, 0.101543, 0.17538, 0.331574, 0.652591");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.154825, 0.164555, 0.181879, 0.210544, 0.259018, 0.347532, 0.523414", \
"0.157487, 0.16718, 0.184463, 0.213111, 0.261688, 0.350354, 0.526077", \
"0.162909, 0.172621, 0.189921, 0.218551, 0.267133, 0.355595, 0.530413", \
"0.172474, 0.182154, 0.199537, 0.228079, 0.276563, 0.365046, 0.54067", \
"0.18601, 0.195776, 0.213096, 0.241598, 0.290141, 0.378801, 0.554668", \
"0.201791, 0.211647, 0.228994, 0.257445, 0.306254, 0.394837, 0.57063", \
"0.219136, 0.229019, 0.246576, 0.275453, 0.324323, 0.412951, 0.587896");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.034392, 0.042668, 0.059155, 0.090501, 0.151295, 0.278514, 0.5379", \
"0.034184, 0.043033, 0.059344, 0.090114, 0.151464, 0.27447, 0.542041", \
"0.033986, 0.042481, 0.059579, 0.090388, 0.150329, 0.276142, 0.539212", \
"0.034354, 0.042993, 0.059182, 0.090276, 0.151073, 0.278131, 0.534147", \
"0.034502, 0.043132, 0.059736, 0.090576, 0.151503, 0.278192, 0.537917", \
"0.034917, 0.043381, 0.059791, 0.090343, 0.150975, 0.278098, 0.536336", \
"0.036349, 0.044814, 0.060934, 0.091675, 0.151677, 0.275655, 0.533896");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.076031, 0.086831, 0.10593, 0.137765, 0.194779, 0.303251, 0.521871", \
"0.078272, 0.089005, 0.108063, 0.139951, 0.197008, 0.305668, 0.525119", \
"0.082932, 0.09359, 0.112533, 0.144381, 0.201484, 0.309881, 0.529215", \
"0.091995, 0.102515, 0.121227, 0.153123, 0.209999, 0.318983, 0.536587", \
"0.103901, 0.114922, 0.134467, 0.166942, 0.22428, 0.333128, 0.550838", \
"0.118039, 0.129619, 0.150205, 0.183907, 0.243302, 0.352371, 0.570391", \
"0.133706, 0.14655, 0.169082, 0.205653, 0.26696, 0.378022, 0.597338");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.031361, 0.041232, 0.060694, 0.098114, 0.174279, 0.330088, 0.650629", \
"0.030919, 0.040883, 0.060648, 0.098373, 0.173407, 0.332055, 0.654277", \
"0.031056, 0.041144, 0.060636, 0.098116, 0.173935, 0.330958, 0.652539", \
"0.031451, 0.041422, 0.06043, 0.09759, 0.175111, 0.327888, 0.646269", \
"0.036008, 0.045365, 0.064618, 0.100343, 0.175944, 0.327879, 0.647082", \
"0.042365, 0.052026, 0.070901, 0.106297, 0.178886, 0.330337, 0.653658", \
"0.054789, 0.064858, 0.083955, 0.118133, 0.189363, 0.336954, 0.656666");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.070122, 0.079137, 0.095418, 0.122937, 0.170962, 0.259814, 0.435369", \
"0.072381, 0.081354, 0.097587, 0.125111, 0.173096, 0.261938, 0.437608", \
"0.077867, 0.086784, 0.102814, 0.130175, 0.178346, 0.267006, 0.442831", \
"0.089264, 0.097914, 0.113892, 0.141111, 0.189182, 0.277895, 0.45287", \
"0.107183, 0.116247, 0.132628, 0.16042, 0.208702, 0.29733, 0.472987", \
"0.132522, 0.142232, 0.159392, 0.188132, 0.237998, 0.327678, 0.502494", \
"0.170115, 0.180906, 0.199964, 0.230908, 0.282886, 0.375267, 0.552452");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.026729, 0.035663, 0.053532, 0.085431, 0.149744, 0.274066, 0.540931", \
"0.026579, 0.035505, 0.053428, 0.085458, 0.149655, 0.27461, 0.541013", \
"0.026482, 0.035541, 0.052936, 0.085873, 0.149504, 0.276724, 0.538989", \
"0.026795, 0.035902, 0.053424, 0.085701, 0.14919, 0.274807, 0.539547", \
"0.031126, 0.039357, 0.056498, 0.088444, 0.149974, 0.27752, 0.53512", \
"0.038289, 0.045892, 0.062639, 0.093509, 0.15528, 0.278953, 0.541754", \
"0.051249, 0.060218, 0.076233, 0.106359, 0.165705, 0.285703, 0.546924");
}
}
timing() {
related_pin : "B";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.11136, 0.122432, 0.142081, 0.174985, 0.232626, 0.341491, 0.558392", \
"0.114276, 0.125372, 0.144985, 0.177863, 0.23551, 0.34439, 0.561236", \
"0.12058, 0.131651, 0.151223, 0.184106, 0.241806, 0.350556, 0.568781", \
"0.132961, 0.144037, 0.163704, 0.196498, 0.254246, 0.362677, 0.581881", \
"0.155685, 0.166814, 0.186459, 0.219256, 0.276977, 0.385441, 0.604842", \
"0.189299, 0.200048, 0.219279, 0.251602, 0.308559, 0.417553, 0.634506", \
"0.233075, 0.243893, 0.263355, 0.296361, 0.353608, 0.461464, 0.678321");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.035523, 0.04515, 0.064552, 0.100766, 0.176364, 0.328164, 0.649887", \
"0.035516, 0.045134, 0.064536, 0.100705, 0.176433, 0.328277, 0.649691", \
"0.035068, 0.045201, 0.064479, 0.101232, 0.175417, 0.331213, 0.644914", \
"0.035225, 0.045213, 0.064268, 0.101528, 0.174719, 0.331863, 0.64995", \
"0.034708, 0.044724, 0.064235, 0.101491, 0.174682, 0.331892, 0.650606", \
"0.034947, 0.044272, 0.06371, 0.100277, 0.175797, 0.32849, 0.647632", \
"0.036811, 0.046689, 0.065628, 0.102661, 0.176329, 0.32714, 0.643082");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.13796, 0.147675, 0.164929, 0.19338, 0.241913, 0.330661, 0.506682", \
"0.140582, 0.150269, 0.167576, 0.196063, 0.244705, 0.333303, 0.508564", \
"0.145725, 0.155444, 0.172684, 0.201191, 0.24983, 0.33845, 0.513894", \
"0.154637, 0.164347, 0.181615, 0.210105, 0.258659, 0.347389, 0.523356", \
"0.167151, 0.176928, 0.194114, 0.2226, 0.271233, 0.359931, 0.535737", \
"0.184405, 0.193987, 0.210987, 0.239213, 0.287183, 0.376187, 0.551609", \
"0.202902, 0.21266, 0.229877, 0.258274, 0.306989, 0.395516, 0.569569");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.03402, 0.042669, 0.059573, 0.090483, 0.151583, 0.277738, 0.54085", \
"0.034265, 0.042473, 0.059526, 0.090136, 0.150794, 0.275506, 0.540493", \
"0.034198, 0.042958, 0.059349, 0.090074, 0.150953, 0.275076, 0.541183", \
"0.03419, 0.042964, 0.059355, 0.09027, 0.151622, 0.277075, 0.540594", \
"0.034281, 0.042476, 0.059368, 0.090299, 0.151555, 0.275587, 0.541506", \
"0.033335, 0.042255, 0.05847, 0.089264, 0.151022, 0.27494, 0.541596", \
"0.035526, 0.043391, 0.060474, 0.091449, 0.152041, 0.27412, 0.538051");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.006371, 0.006372, 0.00638, 0.006393, 0.006402, 0.006406, 0.006404", \
"0.006356, 0.006356, 0.006364, 0.006377, 0.006387, 0.006391, 0.006389", \
"0.006343, 0.006342, 0.00635, 0.006363, 0.006373, 0.006378, 0.006376", \
"0.006354, 0.00635, 0.006355, 0.006367, 0.006378, 0.006383, 0.006382", \
"0.00645, 0.006441, 0.006437, 0.006444, 0.006453, 0.006459, 0.006458", \
"0.006774, 0.006755, 0.006737, 0.006734, 0.006736, 0.006739, 0.006737", \
"0.00763, 0.007594, 0.007559, 0.007533, 0.007521, 0.007512, 0.007505");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.001041, 0.001034, 0.001036, 0.001046, 0.001053, 0.001054, 0.00105", \
"0.001024, 0.001017, 0.001019, 0.001028, 0.001036, 0.001037, 0.001033", \
"0.001006, 0.000998, 0.001, 0.001009, 0.001017, 0.001019, 0.001015", \
"0.001011, 0.001, 0.000999, 0.001007, 0.001016, 0.001019, 0.001016", \
"0.001101, 0.001086, 0.001079, 0.001083, 0.001091, 0.001096, 0.001094", \
"0.001411, 0.001389, 0.001373, 0.00137, 0.001373, 0.001378, 0.001376", \
"0.002212, 0.002175, 0.002143, 0.002124, 0.002119, 0.002117, 0.002114");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.004771, 0.004772, 0.004778, 0.004789, 0.0048, 0.004807, 0.004808", \
"0.004757, 0.004757, 0.004764, 0.004775, 0.004786, 0.004793, 0.004794", \
"0.004752, 0.004751, 0.004756, 0.004768, 0.00478, 0.004788, 0.004789", \
"0.004789, 0.004784, 0.004788, 0.004799, 0.004811, 0.00482, 0.004822", \
"0.004946, 0.004937, 0.004934, 0.004939, 0.004948, 0.004956, 0.004959", \
"0.00538, 0.005361, 0.005345, 0.005339, 0.00534, 0.005343, 0.005345", \
"0.006427, 0.006388, 0.006354, 0.006325, 0.006305, 0.006295, 0.006289");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.002326, 0.002319, 0.002321, 0.002329, 0.002337, 0.002342, 0.002341", \
"0.002311, 0.002304, 0.002305, 0.002313, 0.002322, 0.002327, 0.002326", \
"0.002297, 0.002289, 0.002289, 0.002298, 0.002307, 0.002313, 0.002313", \
"0.002316, 0.002305, 0.002302, 0.002308, 0.002318, 0.002324, 0.002325", \
"0.002442, 0.002426, 0.002416, 0.002418, 0.002425, 0.002431, 0.002431", \
"0.002796, 0.002773, 0.002754, 0.002753, 0.002754, 0.002757, 0.002757", \
"0.003677, 0.003639, 0.003603, 0.003578, 0.003565, 0.003561, 0.003557");
}
}
}
}

/* --------------- *
* Design : AND2X1 *
* --------------- */
cell (AND2X1) {
cell_footprint : AND2;
area : 4.541400;
cell_leakage_power : 27.1711;
pin(A) {
direction : input;
capacitance : 0.00178399;
rise_capacitance : 0.00178357;
fall_capacitance : 0.00178399;
}
pin(B) {
direction : input;
capacitance : 0.00171964;
rise_capacitance : 0.00171891;
fall_capacitance : 0.00171964;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.146182;
function : "(A B)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.109403, 0.121917, 0.143855, 0.1797, 0.242446, 0.361723, 0.602117", \
"0.111091, 0.12358, 0.145422, 0.181274, 0.244102, 0.363382, 0.603895", \
"0.11447, 0.126991, 0.148864, 0.184755, 0.247702, 0.366902, 0.60805", \
"0.121806, 0.134133, 0.155944, 0.19182, 0.25477, 0.374135, 0.61443", \
"0.136045, 0.148597, 0.17031, 0.206274, 0.269373, 0.388444, 0.630113", \
"0.155335, 0.168644, 0.191973, 0.229745, 0.29416, 0.413522, 0.654009", \
"0.182885, 0.197102, 0.221796, 0.262017, 0.328929, 0.449972, 0.688732");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.037314, 0.048067, 0.069496, 0.109876, 0.192493, 0.361658, 0.708864", \
"0.036635, 0.048005, 0.0694, 0.109944, 0.192436, 0.362201, 0.710052", \
"0.037354, 0.047719, 0.069525, 0.10953, 0.19088, 0.364175, 0.718265", \
"0.037083, 0.047629, 0.069633, 0.109569, 0.191612, 0.363752, 0.717982", \
"0.040169, 0.050558, 0.070876, 0.110616, 0.192262, 0.362926, 0.716262", \
"0.045469, 0.055796, 0.077902, 0.116509, 0.197022, 0.363609, 0.7137", \
"0.055593, 0.066859, 0.087852, 0.12699, 0.204787, 0.367095, 0.716533");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.077895, 0.087182, 0.103505, 0.131025, 0.18133, 0.278718, 0.475242", \
"0.080937, 0.090174, 0.106485, 0.133984, 0.184288, 0.281724, 0.47708", \
"0.087147, 0.096427, 0.112692, 0.140289, 0.190322, 0.287651, 0.484194", \
"0.099918, 0.109052, 0.125186, 0.152791, 0.202878, 0.300411, 0.495716", \
"0.123119, 0.132589, 0.149057, 0.176719, 0.226877, 0.324148, 0.520307", \
"0.153942, 0.164375, 0.182473, 0.211853, 0.262856, 0.359937, 0.55547", \
"0.194467, 0.206162, 0.226648, 0.258821, 0.311605, 0.409384, 0.604133");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.026525, 0.03528, 0.052382, 0.086805, 0.156878, 0.30195, 0.597574", \
"0.026886, 0.035039, 0.052552, 0.086765, 0.15612, 0.302775, 0.595587", \
"0.026536, 0.035463, 0.052511, 0.086105, 0.157727, 0.299854, 0.601759", \
"0.026714, 0.035592, 0.052933, 0.086328, 0.158275, 0.302331, 0.596398", \
"0.030896, 0.039091, 0.056103, 0.088266, 0.157115, 0.303947, 0.59457", \
"0.040243, 0.048578, 0.06528, 0.095936, 0.162479, 0.301999, 0.599701", \
"0.055279, 0.062971, 0.079554, 0.10996, 0.170698, 0.306046, 0.601013");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.103889, 0.116405, 0.138298, 0.174252, 0.237143, 0.356019, 0.597675", \
"0.105609, 0.118117, 0.139976, 0.176008, 0.238903, 0.3578, 0.599856", \
"0.109767, 0.122215, 0.144054, 0.180019, 0.243034, 0.362174, 0.603796", \
"0.119059, 0.131413, 0.153145, 0.189055, 0.252, 0.37144, 0.611572", \
"0.137791, 0.150223, 0.172018, 0.207899, 0.270757, 0.390138, 0.629977", \
"0.163355, 0.17648, 0.199449, 0.237061, 0.301211, 0.420022, 0.660012", \
"0.198622, 0.212412, 0.237485, 0.277304, 0.343649, 0.464731, 0.704065");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.037131, 0.048153, 0.06955, 0.109237, 0.191423, 0.363828, 0.717265", \
"0.037129, 0.048233, 0.069293, 0.108992, 0.190959, 0.36359, 0.714846", \
"0.03683, 0.048299, 0.068897, 0.108692, 0.19095, 0.364136, 0.717878", \
"0.037052, 0.047514, 0.069714, 0.109511, 0.191863, 0.363361, 0.717717", \
"0.040087, 0.05058, 0.07078, 0.110034, 0.193203, 0.361607, 0.710646", \
"0.047215, 0.057937, 0.078242, 0.117554, 0.1957, 0.364183, 0.716431", \
"0.061333, 0.071231, 0.091954, 0.127445, 0.205598, 0.366904, 0.713401");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.07239, 0.081525, 0.097621, 0.125107, 0.175134, 0.271941, 0.467984", \
"0.075357, 0.084519, 0.10061, 0.128114, 0.178125, 0.274964, 0.47215", \
"0.081707, 0.090819, 0.106921, 0.134301, 0.184159, 0.281697, 0.477337", \
"0.094245, 0.103261, 0.119151, 0.146348, 0.196558, 0.293938, 0.4927", \
"0.116084, 0.125477, 0.141916, 0.169504, 0.219587, 0.31607, 0.511373", \
"0.143722, 0.154355, 0.172176, 0.201617, 0.252966, 0.349221, 0.546206", \
"0.179383, 0.190873, 0.210824, 0.243427, 0.298278, 0.396605, 0.590625");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.025337, 0.034215, 0.051799, 0.085855, 0.156484, 0.301362, 0.601219", \
"0.025321, 0.034229, 0.051813, 0.085953, 0.156439, 0.301244, 0.601912", \
"0.025403, 0.034393, 0.051669, 0.085659, 0.157188, 0.303359, 0.603235", \
"0.025827, 0.034682, 0.05175, 0.086276, 0.155828, 0.303498, 0.59963", \
"0.030712, 0.038958, 0.055138, 0.087844, 0.158153, 0.301164, 0.602843", \
"0.039963, 0.048019, 0.063939, 0.095768, 0.162544, 0.302996, 0.601765", \
"0.055673, 0.063104, 0.080334, 0.110911, 0.172241, 0.307741, 0.601718");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.005428, 0.0054, 0.005398, 0.005414, 0.005425, 0.005426, 0.005413", \
"0.005404, 0.005378, 0.005376, 0.005391, 0.005404, 0.005406, 0.005393", \
"0.005377, 0.005346, 0.005342, 0.005358, 0.005374, 0.005377, 0.005367", \
"0.005367, 0.005332, 0.005322, 0.005334, 0.00535, 0.005356, 0.005347", \
"0.005457, 0.005407, 0.005376, 0.005373, 0.005382, 0.005388, 0.005381", \
"0.005746, 0.005668, 0.005616, 0.005581, 0.005573, 0.005574, 0.005565", \
"0.00656, 0.00643, 0.006304, 0.00622, 0.006171, 0.006147, 0.00613");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.002948, 0.002951, 0.002972, 0.002994, 0.003008, 0.003016, 0.003018", \
"0.002934, 0.002938, 0.002957, 0.00298, 0.002995, 0.003003, 0.003006", \
"0.002918, 0.002918, 0.002937, 0.002961, 0.002978, 0.002988, 0.002992", \
"0.002935, 0.002927, 0.002936, 0.002956, 0.002978, 0.002987, 0.002991", \
"0.003075, 0.003044, 0.003034, 0.003033, 0.00304, 0.003047, 0.00305", \
"0.003494, 0.00342, 0.003371, 0.003318, 0.003302, 0.003292, 0.003287", \
"0.004502, 0.004372, 0.004238, 0.004131, 0.004043, 0.003986, 0.00395");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.00401, 0.003983, 0.003981, 0.003999, 0.004015, 0.004021, 0.004013", \
"0.003996, 0.003968, 0.003965, 0.003982, 0.003999, 0.004006, 0.003998", \
"0.00398, 0.00395, 0.003945, 0.003962, 0.003981, 0.003989, 0.003983", \
"0.004006, 0.003969, 0.003956, 0.003965, 0.003982, 0.003992, 0.003988", \
"0.004158, 0.004102, 0.00406, 0.004051, 0.004059, 0.004067, 0.004063", \
"0.00459, 0.004494, 0.004409, 0.00436, 0.004339, 0.004334, 0.004325", \
"0.005543, 0.005392, 0.005236, 0.005131, 0.005068, 0.005035, 0.005011");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.004018, 0.004029, 0.004059, 0.004087, 0.004106, 0.004116, 0.004121", \
"0.004005, 0.004015, 0.004044, 0.004074, 0.004094, 0.004105, 0.004109", \
"0.003991, 0.003999, 0.004025, 0.004056, 0.004078, 0.004091, 0.004096", \
"0.004016, 0.004009, 0.004035, 0.004056, 0.004077, 0.004089, 0.004095", \
"0.00415, 0.004123, 0.004107, 0.00412, 0.004133, 0.004147, 0.004153", \
"0.004569, 0.0045, 0.004441, 0.004405, 0.004393, 0.004389, 0.004388", \
"0.00557, 0.005434, 0.005351, 0.005234, 0.005135, 0.005094, 0.005074");
}
}
}
}

/* --------------- *
* Design : AND2X2 *
* --------------- */
cell (AND2X2) {
cell_footprint : AND2;
area : 5.298300;
cell_leakage_power : 37.7748;
pin(A) {
direction : input;
capacitance : 0.00178498;
rise_capacitance : 0.00178479;
fall_capacitance : 0.00178498;
}
pin(B) {
direction : input;
capacitance : 0.00167615;
rise_capacitance : 0.00167538;
fall_capacitance : 0.00167615;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.287453;
function : "(A B)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.14906, 0.163541, 0.189016, 0.230075, 0.298104, 0.42031, 0.659151", \
"0.150817, 0.165305, 0.190748, 0.23192, 0.300152, 0.421745, 0.662208", \
"0.154344, 0.168807, 0.194321, 0.235497, 0.303647, 0.425415, 0.665895", \
"0.161507, 0.175957, 0.20143, 0.242508, 0.310587, 0.432781, 0.673257", \
"0.177504, 0.191859, 0.217152, 0.258034, 0.326108, 0.447852, 0.686982", \
"0.204328, 0.219112, 0.245176, 0.28684, 0.355353, 0.477301, 0.71757", \
"0.241411, 0.256927, 0.284219, 0.3285, 0.40011, 0.523408, 0.763426");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.050525, 0.06192, 0.084916, 0.124954, 0.207148, 0.370004, 0.720165", \
"0.051112, 0.062878, 0.084905, 0.126563, 0.204761, 0.373617, 0.719371", \
"0.051385, 0.062792, 0.08458, 0.126733, 0.204833, 0.373283, 0.720333", \
"0.051061, 0.062502, 0.085205, 0.12518, 0.206969, 0.371123, 0.723021", \
"0.051011, 0.062766, 0.085032, 0.126287, 0.206575, 0.371573, 0.719728", \
"0.057852, 0.068751, 0.090871, 0.130773, 0.208936, 0.371798, 0.723227", \
"0.069363, 0.080936, 0.103024, 0.144239, 0.218856, 0.377341, 0.721177");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.102708, 0.113659, 0.132509, 0.163372, 0.216003, 0.31385, 0.510662", \
"0.105694, 0.116586, 0.135434, 0.166287, 0.219005, 0.317298, 0.513805", \
"0.111949, 0.122825, 0.141679, 0.172525, 0.22528, 0.323392, 0.520659", \
"0.124589, 0.135497, 0.154255, 0.185146, 0.237747, 0.335799, 0.532233", \
"0.1512, 0.161953, 0.180498, 0.210806, 0.263383, 0.361305, 0.557779", \
"0.192732, 0.204577, 0.224324, 0.255886, 0.308864, 0.406473, 0.601807", \
"0.247019, 0.259589, 0.281692, 0.316552, 0.37281, 0.471278, 0.665718");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.035075, 0.044712, 0.062139, 0.096426, 0.163361, 0.307621, 0.597186", \
"0.035454, 0.044486, 0.062207, 0.096185, 0.165065, 0.30414, 0.604227", \
"0.035141, 0.044468, 0.062213, 0.096268, 0.165076, 0.307029, 0.604151", \
"0.035267, 0.044494, 0.062116, 0.095717, 0.164519, 0.307494, 0.595313", \
"0.036591, 0.045674, 0.06345, 0.097268, 0.163967, 0.307477, 0.596162", \
"0.04876, 0.057131, 0.072856, 0.104754, 0.16813, 0.307135, 0.603176", \
"0.066958, 0.07551, 0.091865, 0.123155, 0.184329, 0.312994, 0.598273");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.143161, 0.157561, 0.183029, 0.224078, 0.292371, 0.413863, 0.653676", \
"0.144875, 0.159405, 0.184762, 0.225871, 0.293932, 0.416179, 0.656285", \
"0.148949, 0.163559, 0.188872, 0.230168, 0.298167, 0.420254, 0.660769", \
"0.157817, 0.172347, 0.197686, 0.238754, 0.306898, 0.429016, 0.668685", \
"0.178332, 0.192707, 0.217827, 0.258836, 0.32671, 0.448286, 0.687902", \
"0.213921, 0.228469, 0.254393, 0.295406, 0.364085, 0.485804, 0.72574", \
"0.262264, 0.277437, 0.304225, 0.348414, 0.419248, 0.543043, 0.78203");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.050727, 0.062265, 0.084836, 0.125963, 0.206175, 0.373193, 0.716395", \
"0.050597, 0.061781, 0.085503, 0.125309, 0.207042, 0.369649, 0.722701", \
"0.051377, 0.062256, 0.084993, 0.126472, 0.20641, 0.371567, 0.722927", \
"0.051033, 0.061712, 0.085283, 0.125258, 0.206863, 0.370478, 0.722332", \
"0.051233, 0.062625, 0.085984, 0.126361, 0.205291, 0.372506, 0.717154", \
"0.059644, 0.070191, 0.091782, 0.131653, 0.208636, 0.370025, 0.723056", \
"0.076893, 0.086687, 0.106935, 0.146382, 0.22129, 0.37758, 0.722236");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.097037, 0.107793, 0.126471, 0.157038, 0.2097, 0.307865, 0.504871", \
"0.100082, 0.110853, 0.129468, 0.160104, 0.212657, 0.310935, 0.506773", \
"0.106332, 0.117113, 0.135762, 0.166356, 0.21903, 0.3169, 0.514653", \
"0.119097, 0.129787, 0.148334, 0.178823, 0.231453, 0.329606, 0.527032", \
"0.145376, 0.155817, 0.174189, 0.204511, 0.256608, 0.354608, 0.550285", \
"0.184844, 0.196297, 0.215763, 0.247532, 0.300689, 0.398484, 0.592889", \
"0.234322, 0.246706, 0.268958, 0.303601, 0.360174, 0.460008, 0.654061");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.03446, 0.043665, 0.060916, 0.09497, 0.163987, 0.304262, 0.602939", \
"0.03408, 0.043192, 0.060926, 0.095001, 0.163431, 0.303958, 0.599129", \
"0.034093, 0.043143, 0.061224, 0.095333, 0.162796, 0.307495, 0.604203", \
"0.03419, 0.043274, 0.061233, 0.09549, 0.163689, 0.305517, 0.604846", \
"0.036089, 0.044818, 0.062571, 0.095589, 0.16509, 0.304343, 0.596718", \
"0.048137, 0.05659, 0.073283, 0.10391, 0.168336, 0.306337, 0.596176", \
"0.066845, 0.075133, 0.091596, 0.122055, 0.182736, 0.315361, 0.602267");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.014276, 0.014003, 0.013795, 0.013716, 0.013691, 0.013676, 0.013646", \
"0.014262, 0.014004, 0.013788, 0.013696, 0.013671, 0.013657, 0.013629", \
"0.014239, 0.013964, 0.01375, 0.013661, 0.013639, 0.013629, 0.013603", \
"0.014212, 0.013928, 0.013711, 0.01362, 0.013601, 0.013597, 0.013575", \
"0.014311, 0.014023, 0.013784, 0.013663, 0.013623, 0.01361, 0.013587", \
"0.01476, 0.01441, 0.01409, 0.013891, 0.0138, 0.01376, 0.013725", \
"0.015795, 0.015347, 0.01489, 0.014591, 0.014384, 0.014282, 0.01421");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.001356, 0.001236, 0.001181, 0.001183, 0.001197, 0.001208, 0.001214", \
"0.001345, 0.001219, 0.001164, 0.001168, 0.001185, 0.001198, 0.001205", \
"0.001333, 0.001214, 0.001147, 0.001151, 0.00117, 0.001185, 0.001193", \
"0.00135, 0.001224, 0.001141, 0.00114, 0.00116, 0.001179, 0.001189", \
"0.001535, 0.001381, 0.001276, 0.001251, 0.001235, 0.001234, 0.001234", \
"0.002238, 0.001987, 0.001748, 0.001606, 0.001509, 0.001462, 0.001438", \
"0.003779, 0.003392, 0.002954, 0.002633, 0.002334, 0.002189, 0.002082");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.012889, 0.012612, 0.012409, 0.012318, 0.012295, 0.012286, 0.012262", \
"0.012872, 0.012599, 0.012392, 0.012304, 0.012283, 0.012275, 0.01225", \
"0.012859, 0.012586, 0.012372, 0.012282, 0.012262, 0.012256, 0.012233", \
"0.012856, 0.012574, 0.012354, 0.01226, 0.012241, 0.01224, 0.012224", \
"0.013036, 0.012745, 0.012496, 0.012363, 0.012312, 0.012296, 0.012275", \
"0.013662, 0.013295, 0.012932, 0.012703, 0.012585, 0.012528, 0.012488", \
"0.015035, 0.014535, 0.013998, 0.013603, 0.01335, 0.013203, 0.013113");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.002366, 0.002265, 0.002227, 0.002242, 0.002267, 0.002283, 0.002291", \
"0.002362, 0.002257, 0.002211, 0.002229, 0.002257, 0.002274, 0.002284", \
"0.002349, 0.002243, 0.002193, 0.002211, 0.002242, 0.002261, 0.002271", \
"0.002371, 0.002253, 0.002198, 0.002204, 0.002232, 0.002255, 0.002266", \
"0.002568, 0.00242, 0.002313, 0.00228, 0.002304, 0.002308, 0.002311", \
"0.00328, 0.003027, 0.002792, 0.002638, 0.002567, 0.002528, 0.002509", \
"0.004832, 0.004447, 0.004, 0.003655, 0.003405, 0.003216, 0.003117");
}
}
}
}

/* --------------- *
* Design : AND2X4 *
* --------------- */
cell (AND2X4) {
cell_footprint : AND2;
area : 8.325900;
cell_leakage_power : 69.9325;
pin(A) {
direction : input;
capacitance : 0.00281769;
rise_capacitance : 0.00281704;
fall_capacitance : 0.00281769;
}
pin(B) {
direction : input;
capacitance : 0.00280032;
rise_capacitance : 0.00279923;
fall_capacitance : 0.00280032;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.571129;
function : "(A B)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.156619, 0.171184, 0.196903, 0.23876, 0.307934, 0.430536, 0.671033", \
"0.1587, 0.173297, 0.199122, 0.240778, 0.309821, 0.432515, 0.672278", \
"0.162384, 0.17701, 0.202749, 0.244602, 0.313886, 0.436452, 0.677436", \
"0.170203, 0.18474, 0.210425, 0.252462, 0.321594, 0.443921, 0.684192", \
"0.187094, 0.201627, 0.227163, 0.268826, 0.337941, 0.460103, 0.700654", \
"0.217, 0.231748, 0.257929, 0.299823, 0.369044, 0.491649, 0.731721", \
"0.260352, 0.275527, 0.303045, 0.347233, 0.41943, 0.543552, 0.783184");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.055088, 0.067107, 0.08974, 0.130029, 0.210865, 0.377423, 0.71794", \
"0.055155, 0.06664, 0.089479, 0.12954, 0.211531, 0.376183, 0.723644", \
"0.055466, 0.066079, 0.08957, 0.13018, 0.21026, 0.377174, 0.724419", \
"0.055462, 0.066991, 0.089248, 0.131049, 0.210601, 0.376868, 0.721395", \
"0.054902, 0.066857, 0.089384, 0.130103, 0.209529, 0.376939, 0.717908", \
"0.062452, 0.072003, 0.093863, 0.13385, 0.213041, 0.378042, 0.721112", \
"0.0739, 0.08472, 0.107016, 0.147312, 0.221902, 0.379618, 0.726037");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.105432, 0.116397, 0.135489, 0.166621, 0.219891, 0.318456, 0.514446", \
"0.108559, 0.119588, 0.138522, 0.169755, 0.222931, 0.321565, 0.518656", \
"0.114861, 0.125787, 0.14486, 0.176016, 0.229279, 0.327807, 0.525406", \
"0.127662, 0.138601, 0.157654, 0.188862, 0.241942, 0.340499, 0.53717", \
"0.154396, 0.165126, 0.183941, 0.214541, 0.267563, 0.365952, 0.561974", \
"0.197368, 0.208849, 0.228674, 0.260285, 0.313744, 0.411626, 0.608617", \
"0.252159, 0.264463, 0.286354, 0.321512, 0.377967, 0.476628, 0.671848");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.037665, 0.046736, 0.064671, 0.098625, 0.167191, 0.308175, 0.603082", \
"0.037814, 0.046865, 0.064323, 0.098302, 0.167372, 0.306121, 0.607645", \
"0.038142, 0.046754, 0.064632, 0.098606, 0.167269, 0.30847, 0.607259", \
"0.037677, 0.046503, 0.064518, 0.098918, 0.165582, 0.307673, 0.606069", \
"0.038742, 0.047645, 0.065469, 0.09954, 0.165962, 0.308532, 0.603083", \
"0.051036, 0.058626, 0.075032, 0.107327, 0.171731, 0.311345, 0.604351", \
"0.07052, 0.07836, 0.09411, 0.126039, 0.186939, 0.315835, 0.606886");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.152018, 0.166454, 0.192508, 0.234144, 0.30314, 0.426106, 0.665748", \
"0.153949, 0.168439, 0.194243, 0.236256, 0.305246, 0.428071, 0.668743", \
"0.158196, 0.172759, 0.19844, 0.24051, 0.309357, 0.432297, 0.672479", \
"0.167353, 0.181776, 0.20766, 0.24959, 0.318546, 0.441261, 0.682195", \
"0.188207, 0.202569, 0.228192, 0.269801, 0.338512, 0.46139, 0.702062", \
"0.227187, 0.241655, 0.267457, 0.309111, 0.378095, 0.500779, 0.741296", \
"0.281403, 0.29638, 0.323204, 0.367634, 0.439056, 0.563008, 0.802715");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.055721, 0.066894, 0.08921, 0.129707, 0.211555, 0.374008, 0.725696", \
"0.055641, 0.06621, 0.089706, 0.130748, 0.210852, 0.37403, 0.726649", \
"0.055292, 0.066688, 0.089343, 0.130743, 0.211371, 0.373181, 0.725894", \
"0.055274, 0.066688, 0.089546, 0.130608, 0.210721, 0.376419, 0.724991", \
"0.055336, 0.067096, 0.088306, 0.131529, 0.211716, 0.374147, 0.726485", \
"0.063152, 0.073186, 0.095608, 0.135321, 0.213533, 0.377794, 0.72201", \
"0.081847, 0.091184, 0.111353, 0.150696, 0.225604, 0.383933, 0.721135");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.100695, 0.111514, 0.130331, 0.161273, 0.214393, 0.312815, 0.509999", \
"0.103793, 0.114585, 0.133445, 0.164411, 0.21753, 0.31579, 0.512935", \
"0.110075, 0.120895, 0.139842, 0.170853, 0.223889, 0.321954, 0.519514", \
"0.122789, 0.133538, 0.152383, 0.183252, 0.23626, 0.334436, 0.5305", \
"0.149218, 0.159823, 0.178311, 0.208955, 0.261489, 0.359845, 0.555909", \
"0.190112, 0.201462, 0.221023, 0.252629, 0.306054, 0.404427, 0.600536", \
"0.240294, 0.252775, 0.274827, 0.309556, 0.366466, 0.466151, 0.660767");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.03645, 0.045872, 0.063146, 0.096745, 0.165103, 0.308351, 0.603182", \
"0.036466, 0.045686, 0.063169, 0.096782, 0.165209, 0.309433, 0.605902", \
"0.036324, 0.04554, 0.063416, 0.097839, 0.166458, 0.310075, 0.604571", \
"0.03658, 0.044966, 0.063744, 0.09748, 0.165319, 0.309831, 0.598213", \
"0.037981, 0.046779, 0.06414, 0.098308, 0.166985, 0.30601, 0.600097", \
"0.050513, 0.058825, 0.074619, 0.106083, 0.169657, 0.311176, 0.607829", \
"0.070685, 0.078052, 0.094432, 0.124581, 0.185489, 0.317999, 0.602884");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.030629, 0.029987, 0.029461, 0.029219, 0.029146, 0.029116, 0.029059", \
"0.030604, 0.029967, 0.029438, 0.029195, 0.029124, 0.029094, 0.029037", \
"0.030561, 0.029919, 0.029385, 0.029142, 0.029074, 0.029048, 0.028997", \
"0.030513, 0.029858, 0.029323, 0.029075, 0.029011, 0.028995, 0.028951", \
"0.030677, 0.030024, 0.029452, 0.029159, 0.029051, 0.029016, 0.028966", \
"0.031413, 0.030651, 0.029954, 0.029514, 0.029314, 0.029234, 0.029171", \
"0.033508, 0.032553, 0.031494, 0.030758, 0.030324, 0.030092, 0.029958");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.000679, 0.000402, 0.000238, 0.000227, 0.00026, 0.000287, 0.000303", \
"0.000664, 0.000385, 0.000218, 0.00021, 0.000244, 0.000273, 0.000289", \
"0.000635, 0.000363, 0.000192, 0.000183, 0.000222, 0.000255, 0.000273", \
"0.000688, 0.000393, 0.000205, 0.000178, 0.000212, 0.000249, 0.000271", \
"0.001038, 0.000693, 0.00045, 0.0004, 0.000358, 0.000355, 0.000356", \
"0.002416, 0.001875, 0.001357, 0.001059, 0.000852, 0.000753, 0.000704", \
"0.005391, 0.004576, 0.003653, 0.002953, 0.002326, 0.002028, 0.00181");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.02853, 0.027885, 0.027365, 0.027124, 0.027053, 0.027027, 0.026976", \
"0.028512, 0.027864, 0.027348, 0.027103, 0.02703, 0.027005, 0.026956", \
"0.028479, 0.027841, 0.02731, 0.027065, 0.026998, 0.026976, 0.026929", \
"0.028467, 0.027811, 0.027278, 0.027024, 0.026955, 0.026944, 0.026907", \
"0.028761, 0.0281, 0.027512, 0.02719, 0.027068, 0.027029, 0.026985", \
"0.029904, 0.029113, 0.028309, 0.027802, 0.027535, 0.027413, 0.027333", \
"0.032556, 0.031495, 0.030327, 0.029452, 0.028898, 0.028581, 0.028393");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.002135, 0.001891, 0.001774, 0.001792, 0.001842, 0.001875, 0.001893", \
"0.002134, 0.001867, 0.001758, 0.001777, 0.001829, 0.001863, 0.001882", \
"0.002116, 0.001859, 0.001731, 0.001749, 0.001802, 0.00184, 0.001863", \
"0.002156, 0.001887, 0.001738, 0.00173, 0.001785, 0.001832, 0.001858", \
"0.002523, 0.002194, 0.00195, 0.001876, 0.00192, 0.001926, 0.001932", \
"0.003922, 0.003372, 0.002867, 0.002523, 0.002387, 0.002301, 0.002262", \
"0.006909, 0.006101, 0.005144, 0.004405, 0.003886, 0.003543, 0.003294");
}
}
}
}

/* --------------- *
* Design : AND2X6 *
* --------------- */
cell (AND2X6) {
cell_footprint : AND2;
area : 11.353500;
cell_leakage_power : 110.974;
pin(A) {
direction : input;
capacitance : 0.00567086;
rise_capacitance : 0.00567025;
fall_capacitance : 0.00567086;
}
pin(B) {
direction : input;
capacitance : 0.00536469;
rise_capacitance : 0.005362;
fall_capacitance : 0.00536469;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.867135;
function : "(A B)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.131077, 0.144767, 0.168881, 0.207973, 0.273618, 0.39449, 0.634645", \
"0.133025, 0.146741, 0.170942, 0.209735, 0.275672, 0.396344, 0.637103", \
"0.136877, 0.150573, 0.174622, 0.21368, 0.279571, 0.400313, 0.639736", \
"0.144606, 0.158275, 0.182266, 0.221331, 0.287199, 0.407919, 0.648464", \
"0.161351, 0.1749, 0.198696, 0.237408, 0.303235, 0.424064, 0.663873", \
"0.187416, 0.201452, 0.226512, 0.266161, 0.332996, 0.453378, 0.693464", \
"0.225248, 0.239922, 0.265912, 0.308194, 0.377488, 0.499725, 0.738362");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.046641, 0.057577, 0.078668, 0.120272, 0.201289, 0.366866, 0.721012", \
"0.045853, 0.057055, 0.079274, 0.119839, 0.200918, 0.369205, 0.722038", \
"0.046076, 0.057633, 0.078808, 0.120091, 0.201025, 0.366711, 0.717868", \
"0.045755, 0.057451, 0.079366, 0.1198, 0.201234, 0.367563, 0.721221", \
"0.046585, 0.057498, 0.079447, 0.120174, 0.201487, 0.365521, 0.719543", \
"0.0536, 0.064599, 0.085853, 0.124859, 0.202922, 0.366515, 0.721251", \
"0.064538, 0.075408, 0.097848, 0.137235, 0.212047, 0.374838, 0.722786");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.089978, 0.100277, 0.118122, 0.14747, 0.199228, 0.29717, 0.495271", \
"0.093033, 0.103348, 0.121151, 0.150568, 0.202312, 0.300433, 0.498074", \
"0.099451, 0.109676, 0.1274, 0.15692, 0.208507, 0.306758, 0.504654", \
"0.112266, 0.122432, 0.140137, 0.169471, 0.221284, 0.31953, 0.516296", \
"0.138112, 0.148132, 0.165637, 0.194664, 0.246366, 0.344564, 0.540804", \
"0.174926, 0.18611, 0.204956, 0.235578, 0.287593, 0.38503, 0.58179", \
"0.222182, 0.234074, 0.255577, 0.28848, 0.34359, 0.441762, 0.63751");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.031473, 0.040882, 0.058436, 0.092628, 0.161024, 0.307711, 0.602934", \
"0.031435, 0.040622, 0.058527, 0.092405, 0.161383, 0.307882, 0.600287", \
"0.031967, 0.04091, 0.058398, 0.091684, 0.162438, 0.305736, 0.606967", \
"0.031612, 0.04058, 0.058597, 0.092808, 0.162089, 0.304084, 0.604897", \
"0.034363, 0.043043, 0.05969, 0.093786, 0.162856, 0.305134, 0.604009", \
"0.046472, 0.054465, 0.070481, 0.10168, 0.166179, 0.308185, 0.603762", \
"0.063792, 0.072061, 0.087636, 0.118541, 0.178961, 0.313238, 0.605526");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.126473, 0.140158, 0.164411, 0.203287, 0.26927, 0.389539, 0.629567", \
"0.128398, 0.14211, 0.166137, 0.205242, 0.270894, 0.391834, 0.632018", \
"0.132713, 0.146295, 0.17052, 0.209552, 0.275415, 0.395852, 0.635377", \
"0.142055, 0.155743, 0.179675, 0.218658, 0.284555, 0.40496, 0.645097", \
"0.162894, 0.176394, 0.200157, 0.238866, 0.304783, 0.425159, 0.664976", \
"0.197006, 0.210862, 0.235457, 0.275026, 0.341619, 0.461791, 0.700902", \
"0.243635, 0.258271, 0.283845, 0.326123, 0.394649, 0.516376, 0.755514");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.046239, 0.057537, 0.079577, 0.119889, 0.199596, 0.369944, 0.71786", \
"0.046361, 0.057706, 0.078624, 0.120145, 0.201196, 0.366767, 0.720545", \
"0.046107, 0.057589, 0.079539, 0.119934, 0.199487, 0.369388, 0.714946", \
"0.045748, 0.057026, 0.078617, 0.119539, 0.199812, 0.369591, 0.719393", \
"0.046388, 0.058075, 0.079914, 0.120739, 0.200259, 0.369556, 0.717371", \
"0.055827, 0.066395, 0.087282, 0.126535, 0.203748, 0.367986, 0.718732", \
"0.072828, 0.082141, 0.102562, 0.140312, 0.215014, 0.373503, 0.716528");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.085484, 0.095575, 0.113217, 0.142562, 0.194117, 0.292458, 0.489295", \
"0.088596, 0.098699, 0.116324, 0.145651, 0.197147, 0.295247, 0.493459", \
"0.094866, 0.105058, 0.122697, 0.152061, 0.203517, 0.301754, 0.498713", \
"0.107633, 0.117632, 0.135142, 0.164397, 0.215919, 0.313901, 0.512585", \
"0.132793, 0.142733, 0.160115, 0.18901, 0.240779, 0.338837, 0.536207", \
"0.167164, 0.178038, 0.196826, 0.227559, 0.279831, 0.377908, 0.573057", \
"0.209376, 0.221629, 0.242701, 0.276216, 0.331476, 0.430919, 0.625547");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.030574, 0.039499, 0.057527, 0.090803, 0.162267, 0.306373, 0.606992", \
"0.030915, 0.039791, 0.057598, 0.090807, 0.16117, 0.305143, 0.598751", \
"0.03066, 0.040044, 0.057113, 0.091544, 0.162209, 0.30471, 0.60215", \
"0.030683, 0.039889, 0.057737, 0.091113, 0.160035, 0.307675, 0.607276", \
"0.034545, 0.042746, 0.059232, 0.093188, 0.162407, 0.3059, 0.607384", \
"0.046036, 0.054209, 0.070309, 0.101639, 0.167035, 0.3063, 0.604141", \
"0.064088, 0.072306, 0.088053, 0.117991, 0.180525, 0.31304, 0.606905");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.0411, 0.040504, 0.040141, 0.040071, 0.040106, 0.040127, 0.040078", \
"0.041041, 0.040449, 0.040083, 0.040011, 0.040051, 0.040075, 0.040028", \
"0.04093, 0.040343, 0.039978, 0.039907, 0.039958, 0.039991, 0.03995", \
"0.040882, 0.040281, 0.03988, 0.039798, 0.039857, 0.039904, 0.039874", \
"0.041257, 0.040606, 0.040128, 0.039945, 0.039942, 0.039967, 0.039934", \
"0.042553, 0.041704, 0.040989, 0.040615, 0.040485, 0.040463, 0.040424", \
"0.046048, 0.044878, 0.043682, 0.042911, 0.042474, 0.042262, 0.042141");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.004437, 0.004256, 0.00425, 0.004356, 0.004463, 0.004531, 0.004571", \
"0.004402, 0.004224, 0.004205, 0.004315, 0.004427, 0.004499, 0.004539", \
"0.004374, 0.004181, 0.004149, 0.004264, 0.004379, 0.00446, 0.004505", \
"0.004509, 0.004274, 0.004202, 0.00427, 0.004381, 0.004467, 0.004515", \
"0.005131, 0.004803, 0.00457, 0.004564, 0.004597, 0.00465, 0.004685", \
"0.007291, 0.006629, 0.006038, 0.005719, 0.00553, 0.005455, 0.005424", \
"0.011991, 0.010912, 0.009892, 0.00894, 0.008298, 0.007877, 0.007632");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.036936, 0.03635, 0.035985, 0.035915, 0.035959, 0.035988, 0.035945", \
"0.036894, 0.036306, 0.035941, 0.035866, 0.035908, 0.03594, 0.035901", \
"0.036846, 0.036236, 0.035868, 0.035791, 0.035842, 0.035882, 0.035849", \
"0.03688, 0.036272, 0.035862, 0.035759, 0.035805, 0.035856, 0.035834", \
"0.037463, 0.036803, 0.036327, 0.036097, 0.036058, 0.036072, 0.036042", \
"0.03953, 0.038588, 0.037724, 0.037215, 0.036973, 0.036887, 0.036819", \
"0.043808, 0.042462, 0.041092, 0.040105, 0.039516, 0.0392, 0.039018");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.007431, 0.00729, 0.007321, 0.00746, 0.007577, 0.007649, 0.007687", \
"0.007398, 0.007235, 0.007283, 0.007425, 0.007546, 0.007619, 0.007656", \
"0.007356, 0.007191, 0.007228, 0.007365, 0.007496, 0.00758, 0.007621", \
"0.00749, 0.007296, 0.007261, 0.007363, 0.007486, 0.007574, 0.00762", \
"0.008131, 0.007804, 0.007617, 0.007616, 0.007668, 0.00773, 0.007767", \
"0.010275, 0.009619, 0.009045, 0.008729, 0.008558, 0.008491, 0.008481", \
"0.015042, 0.013973, 0.012809, 0.011902, 0.0113, 0.010813, 0.01063");
}
}
}
}

/* --------------- *
* Design : AND2X8 *
* --------------- */
cell (AND2X8) {
cell_footprint : AND2;
area : 13.624200;
cell_leakage_power : 137.291;
pin(A) {
direction : input;
capacitance : 0.0056441;
rise_capacitance : 0.00564347;
fall_capacitance : 0.0056441;
}
pin(B) {
direction : input;
capacitance : 0.00536893;
rise_capacitance : 0.00536646;
fall_capacitance : 0.00536893;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 1.14511;
function : "(A B)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.154637, 0.169079, 0.19468, 0.236326, 0.305136, 0.427936, 0.66743", \
"0.15666, 0.171171, 0.19684, 0.238345, 0.307133, 0.430011, 0.670025", \
"0.16044, 0.174883, 0.200554, 0.242328, 0.311252, 0.433697, 0.674701", \
"0.168199, 0.182681, 0.208256, 0.249942, 0.31882, 0.441513, 0.682496", \
"0.185129, 0.19952, 0.225026, 0.26648, 0.335068, 0.457859, 0.698683", \
"0.214848, 0.229524, 0.255564, 0.297512, 0.36623, 0.488917, 0.729523", \
"0.257914, 0.273017, 0.300394, 0.344401, 0.416355, 0.540027, 0.78003");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.055577, 0.065463, 0.088727, 0.128919, 0.211374, 0.374664, 0.724331", \
"0.055083, 0.066257, 0.089329, 0.129618, 0.211299, 0.373551, 0.726476", \
"0.055807, 0.066795, 0.088526, 0.130863, 0.208794, 0.377078, 0.724835", \
"0.05546, 0.066079, 0.089259, 0.12963, 0.211013, 0.376549, 0.72524", \
"0.055467, 0.065703, 0.089795, 0.130921, 0.211369, 0.373979, 0.726919", \
"0.062337, 0.071914, 0.094137, 0.13335, 0.212992, 0.377998, 0.720626", \
"0.073956, 0.084637, 0.106823, 0.147049, 0.221737, 0.380431, 0.727931");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.104453, 0.115434, 0.134415, 0.16545, 0.218615, 0.31738, 0.515843", \
"0.107521, 0.118475, 0.137436, 0.168594, 0.221626, 0.32027, 0.518626", \
"0.113847, 0.124712, 0.143683, 0.174761, 0.228023, 0.326764, 0.525282", \
"0.12667, 0.137485, 0.156485, 0.187649, 0.240776, 0.339619, 0.537436", \
"0.153425, 0.164087, 0.182716, 0.213287, 0.266336, 0.364988, 0.562154", \
"0.196081, 0.207524, 0.227241, 0.258809, 0.312143, 0.410409, 0.607542", \
"0.250538, 0.262758, 0.285071, 0.319564, 0.375967, 0.474758, 0.670397");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.037696, 0.046604, 0.064527, 0.097878, 0.167568, 0.310787, 0.608152", \
"0.037732, 0.046841, 0.064154, 0.098707, 0.166461, 0.311439, 0.604951", \
"0.037213, 0.046543, 0.064339, 0.098536, 0.167667, 0.310886, 0.608275", \
"0.037518, 0.04668, 0.064055, 0.098805, 0.165658, 0.30763, 0.6095", \
"0.038283, 0.047628, 0.065335, 0.09955, 0.166111, 0.310221, 0.602359", \
"0.051038, 0.059317, 0.075061, 0.107107, 0.171363, 0.308177, 0.609888", \
"0.070511, 0.078291, 0.094555, 0.125929, 0.187046, 0.315557, 0.603759");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.150052, 0.164481, 0.190264, 0.23176, 0.300635, 0.423422, 0.663365", \
"0.151919, 0.166329, 0.192059, 0.233695, 0.302871, 0.425067, 0.666026", \
"0.156266, 0.170682, 0.196264, 0.238026, 0.306932, 0.429481, 0.670482", \
"0.165438, 0.179768, 0.205552, 0.247216, 0.316007, 0.438511, 0.679503", \
"0.186395, 0.200741, 0.226079, 0.267475, 0.335986, 0.45874, 0.699622", \
"0.225042, 0.239418, 0.265101, 0.306482, 0.375364, 0.497965, 0.738634", \
"0.27883, 0.293718, 0.320396, 0.364628, 0.435795, 0.559512, 0.799398");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.055538, 0.065755, 0.089324, 0.129759, 0.211003, 0.373232, 0.726283", \
"0.055869, 0.066648, 0.088894, 0.130381, 0.209049, 0.377491, 0.722657", \
"0.055118, 0.066844, 0.088511, 0.130828, 0.20911, 0.376598, 0.725609", \
"0.055173, 0.066542, 0.089205, 0.13038, 0.210124, 0.376969, 0.724094", \
"0.054861, 0.066827, 0.088216, 0.131045, 0.211469, 0.375289, 0.726865", \
"0.063436, 0.073201, 0.095404, 0.134548, 0.213379, 0.377759, 0.724805", \
"0.081814, 0.091111, 0.111902, 0.150336, 0.22526, 0.382888, 0.721135");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.100057, 0.110864, 0.129676, 0.160672, 0.213722, 0.312293, 0.510091", \
"0.103199, 0.113962, 0.132788, 0.163704, 0.216829, 0.315746, 0.51361", \
"0.109487, 0.120246, 0.13918, 0.169954, 0.223212, 0.321726, 0.52019", \
"0.12216, 0.132915, 0.151683, 0.182544, 0.235599, 0.334117, 0.532776", \
"0.148603, 0.159084, 0.177576, 0.208179, 0.26084, 0.359454, 0.556509", \
"0.189221, 0.200517, 0.220018, 0.251825, 0.305094, 0.403797, 0.600151", \
"0.239234, 0.251625, 0.273593, 0.30823, 0.3651, 0.464944, 0.659578");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.036634, 0.045888, 0.062942, 0.097945, 0.167096, 0.310981, 0.607617", \
"0.036492, 0.045902, 0.063133, 0.097297, 0.166814, 0.30741, 0.606639", \
"0.036433, 0.045599, 0.063666, 0.097004, 0.167077, 0.311081, 0.608112", \
"0.036317, 0.045415, 0.063804, 0.097691, 0.165659, 0.311005, 0.610094", \
"0.038395, 0.04659, 0.064613, 0.098521, 0.167654, 0.307128, 0.603246", \
"0.050677, 0.058938, 0.074869, 0.105893, 0.170407, 0.311194, 0.607757", \
"0.070942, 0.078192, 0.094442, 0.124573, 0.186089, 0.319233, 0.60356");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.059637, 0.05837, 0.057389, 0.056954, 0.056838, 0.056794, 0.056696", \
"0.059586, 0.058347, 0.057339, 0.056897, 0.056784, 0.056745, 0.05665", \
"0.059492, 0.058258, 0.057247, 0.056798, 0.056689, 0.056658, 0.056572", \
"0.059404, 0.058142, 0.057113, 0.056668, 0.056566, 0.056556, 0.056486", \
"0.05974, 0.058464, 0.057374, 0.056814, 0.056637, 0.056594, 0.056513", \
"0.061237, 0.059742, 0.058387, 0.057552, 0.057182, 0.057045, 0.056936", \
"0.065423, 0.063539, 0.061462, 0.060034, 0.0592, 0.058766, 0.058521");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.001798, 0.001251, 0.000988, 0.00099, 0.001074, 0.001145, 0.001185", \
"0.001767, 0.001222, 0.000955, 0.000962, 0.001042, 0.00111, 0.001152", \
"0.001734, 0.0012, 0.000889, 0.000897, 0.000994, 0.001077, 0.001123", \
"0.001832, 0.001243, 0.000921, 0.00089, 0.000978, 0.001066, 0.00112", \
"0.002533, 0.001875, 0.001413, 0.001323, 0.001262, 0.001274, 0.001291", \
"0.005289, 0.00422, 0.003208, 0.002633, 0.002245, 0.002069, 0.00199", \
"0.011196, 0.00958, 0.007922, 0.00639, 0.005174, 0.004608, 0.0042");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.055481, 0.054219, 0.053234, 0.052791, 0.052672, 0.052634, 0.052544", \
"0.055445, 0.054198, 0.053175, 0.052727, 0.052616, 0.05258, 0.052499", \
"0.055378, 0.05414, 0.053121, 0.052667, 0.052553, 0.052526, 0.052447", \
"0.055358, 0.054081, 0.053061, 0.052592, 0.05248, 0.052473, 0.052411", \
"0.05598, 0.054685, 0.053551, 0.052939, 0.052717, 0.052654, 0.052576", \
"0.058259, 0.056703, 0.055132, 0.05415, 0.053646, 0.053422, 0.053278", \
"0.063539, 0.061438, 0.059148, 0.057428, 0.056359, 0.055754, 0.0554");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.004747, 0.004256, 0.004054, 0.004104, 0.004208, 0.004278, 0.004313", \
"0.004699, 0.00423, 0.00402, 0.004065, 0.004174, 0.004252, 0.004294", \
"0.004693, 0.004199, 0.003959, 0.004007, 0.004125, 0.004207, 0.004258", \
"0.004782, 0.004258, 0.003979, 0.00398, 0.004095, 0.004193, 0.004248", \
"0.005513, 0.004868, 0.004398, 0.004265, 0.00436, 0.004381, 0.004399", \
"0.008297, 0.007213, 0.006217, 0.005566, 0.005291, 0.005132, 0.005063", \
"0.014231, 0.012625, 0.010733, 0.009283, 0.008272, 0.007609, 0.007207");
}
}
}
}

/* --------------- *
* Design : AND2XL *
* --------------- */
cell (AND2XL) {
cell_footprint : AND2;
area : 4.541400;
cell_leakage_power : 24.6633;
pin(A) {
direction : input;
capacitance : 0.00180698;
rise_capacitance : 0.00180662;
fall_capacitance : 0.00180698;
}
pin(B) {
direction : input;
capacitance : 0.00168637;
rise_capacitance : 0.00168556;
fall_capacitance : 0.00168637;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.0788807;
function : "(A B)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.092493, 0.102748, 0.121049, 0.151996, 0.20797, 0.316, 0.536033", \
"0.094115, 0.104346, 0.122649, 0.153579, 0.209362, 0.317655, 0.537144", \
"0.097501, 0.107697, 0.12592, 0.156727, 0.212874, 0.320803, 0.541615", \
"0.104666, 0.114796, 0.132945, 0.163811, 0.219647, 0.327874, 0.546065", \
"0.116275, 0.1269, 0.145646, 0.176991, 0.233315, 0.341602, 0.560755", \
"0.132317, 0.143525, 0.163492, 0.196414, 0.254183, 0.362799, 0.582251", \
"0.154419, 0.166503, 0.188402, 0.224013, 0.284341, 0.393964, 0.612989");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.031775, 0.041519, 0.059906, 0.097256, 0.172745, 0.331526, 0.652172", \
"0.03202, 0.041271, 0.06021, 0.096483, 0.174041, 0.330668, 0.655211", \
"0.031948, 0.040813, 0.060172, 0.097346, 0.172216, 0.331114, 0.647702", \
"0.031899, 0.041875, 0.060317, 0.096719, 0.173922, 0.327666, 0.653738", \
"0.035477, 0.045013, 0.063389, 0.099193, 0.174708, 0.330335, 0.654658", \
"0.040529, 0.049462, 0.068564, 0.104239, 0.178133, 0.331684, 0.653211", \
"0.050176, 0.060053, 0.078466, 0.11433, 0.18455, 0.335093, 0.654845");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.066483, 0.074023, 0.087625, 0.111356, 0.15558, 0.242224, 0.417728", \
"0.069436, 0.076979, 0.090614, 0.11431, 0.15848, 0.245529, 0.419502", \
"0.075732, 0.083213, 0.096805, 0.120518, 0.16491, 0.25117, 0.426939", \
"0.087975, 0.095393, 0.108905, 0.132557, 0.177004, 0.264061, 0.438643", \
"0.107509, 0.115534, 0.129745, 0.154034, 0.198475, 0.285152, 0.460189", \
"0.132837, 0.141725, 0.157191, 0.182914, 0.228283, 0.3149, 0.489645", \
"0.166505, 0.176928, 0.194402, 0.222627, 0.269892, 0.357162, 0.532213");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.023047, 0.030549, 0.046065, 0.07705, 0.141295, 0.271538, 0.536545", \
"0.022958, 0.030517, 0.04589, 0.076736, 0.141463, 0.270019, 0.535896", \
"0.023242, 0.030665, 0.045834, 0.076922, 0.140469, 0.27009, 0.538001", \
"0.023785, 0.031423, 0.046708, 0.077264, 0.141604, 0.271864, 0.529927", \
"0.028796, 0.035666, 0.050739, 0.079765, 0.141301, 0.27249, 0.532672", \
"0.036474, 0.043466, 0.058376, 0.086597, 0.14581, 0.273612, 0.530979", \
"0.049468, 0.056078, 0.070554, 0.098322, 0.153473, 0.275372, 0.533772");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.086747, 0.097012, 0.115302, 0.146302, 0.202309, 0.310485, 0.528297", \
"0.088485, 0.098706, 0.116966, 0.147936, 0.20414, 0.312279, 0.530396", \
"0.09272, 0.102927, 0.12116, 0.152183, 0.208278, 0.316732, 0.537361", \
"0.102096, 0.112132, 0.130253, 0.161208, 0.217296, 0.325762, 0.546843", \
"0.117571, 0.128103, 0.146929, 0.178378, 0.234424, 0.342564, 0.560171", \
"0.138252, 0.149252, 0.169088, 0.201745, 0.259299, 0.367891, 0.584959", \
"0.167598, 0.1795, 0.200813, 0.236113, 0.295751, 0.405085, 0.623623");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.031913, 0.041433, 0.059808, 0.097068, 0.173812, 0.327687, 0.653871", \
"0.031805, 0.041427, 0.059918, 0.097233, 0.172914, 0.327658, 0.65373", \
"0.031531, 0.041415, 0.059962, 0.096885, 0.173625, 0.331459, 0.653449", \
"0.032089, 0.041581, 0.060488, 0.097169, 0.173789, 0.33131, 0.651454", \
"0.036069, 0.045198, 0.063224, 0.098741, 0.174314, 0.329267, 0.652412", \
"0.042513, 0.051363, 0.069917, 0.104762, 0.178814, 0.331924, 0.648097", \
"0.054479, 0.06394, 0.081468, 0.115559, 0.185787, 0.334797, 0.655143");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.060841, 0.068241, 0.081777, 0.105315, 0.1496, 0.236235, 0.411245", \
"0.063757, 0.071251, 0.084758, 0.108169, 0.152539, 0.238831, 0.414523", \
"0.070039, 0.077407, 0.09081, 0.114453, 0.158591, 0.245659, 0.423474", \
"0.08195, 0.089285, 0.102662, 0.12624, 0.170554, 0.256959, 0.433539", \
"0.099321, 0.107242, 0.121517, 0.145854, 0.190366, 0.276715, 0.454642", \
"0.121059, 0.129825, 0.145668, 0.171524, 0.217092, 0.30425, 0.47887", \
"0.148423, 0.158536, 0.176524, 0.205254, 0.253723, 0.34171, 0.516044");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.022114, 0.029685, 0.045132, 0.075665, 0.139318, 0.270876, 0.538954", \
"0.022033, 0.029715, 0.045186, 0.076381, 0.140304, 0.267903, 0.540301", \
"0.021976, 0.029826, 0.045251, 0.0761, 0.141137, 0.271767, 0.537498", \
"0.023082, 0.0306, 0.045585, 0.07675, 0.14024, 0.268487, 0.540052", \
"0.028286, 0.035472, 0.049811, 0.079456, 0.140769, 0.271271, 0.533569", \
"0.0366, 0.043704, 0.057845, 0.08651, 0.145128, 0.273787, 0.538569", \
"0.050157, 0.057838, 0.072075, 0.099936, 0.156113, 0.277695, 0.534678");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.002482, 0.002485, 0.0025, 0.002514, 0.002519, 0.002515, 0.002504", \
"0.002459, 0.002462, 0.002475, 0.002489, 0.002495, 0.002492, 0.002482", \
"0.002431, 0.002432, 0.002443, 0.002458, 0.002466, 0.002464, 0.002455", \
"0.002425, 0.002422, 0.002427, 0.002439, 0.002449, 0.00245, 0.002442", \
"0.002498, 0.002487, 0.002478, 0.002484, 0.002493, 0.002496, 0.00249", \
"0.00276, 0.002737, 0.002716, 0.002709, 0.002713, 0.002715, 0.00271", \
"0.00349, 0.003444, 0.003399, 0.003369, 0.003352, 0.003344, 0.003335");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003291, 0.003301, 0.003315, 0.003325, 0.003328, 0.00333, 0.003329", \
"0.003275, 0.003285, 0.003298, 0.003309, 0.003314, 0.003315, 0.003314", \
"0.003257, 0.003266, 0.003279, 0.003292, 0.003299, 0.003301, 0.003301", \
"0.003273, 0.003273, 0.003284, 0.003294, 0.003301, 0.003305, 0.003305", \
"0.003379, 0.003371, 0.00337, 0.003371, 0.003376, 0.003379, 0.003379", \
"0.00373, 0.003702, 0.003683, 0.00366, 0.003654, 0.003648, 0.003644", \
"0.004606, 0.004553, 0.004497, 0.004446, 0.004404, 0.004375, 0.004355");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.001116, 0.00112, 0.001135, 0.001152, 0.001164, 0.001169, 0.001164", \
"0.001101, 0.001104, 0.001118, 0.001135, 0.001148, 0.001153, 0.001148", \
"0.001088, 0.00109, 0.001101, 0.001119, 0.001134, 0.00114, 0.001137", \
"0.001116, 0.001112, 0.001117, 0.00113, 0.001144, 0.001151, 0.00115", \
"0.001252, 0.001236, 0.001226, 0.001229, 0.001238, 0.001246, 0.001245", \
"0.001612, 0.00158, 0.001554, 0.001546, 0.001541, 0.001543, 0.00154", \
"0.002463, 0.002404, 0.002361, 0.002316, 0.002287, 0.002269, 0.002258");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.004298, 0.004313, 0.004333, 0.004349, 0.00436, 0.004365, 0.004367", \
"0.004282, 0.004297, 0.004317, 0.004335, 0.004346, 0.004352, 0.004354", \
"0.004269, 0.004281, 0.0043, 0.004318, 0.004331, 0.004338, 0.004341", \
"0.004289, 0.004289, 0.004307, 0.004322, 0.004335, 0.004343, 0.004346", \
"0.0044, 0.004

393, 0.004394, 0.004402, 0.004411, 0.00442, 0.004424", \


"0.004757, 0.004734, 0.004719, 0.004708, 0.004708, 0.004711, 0.004711", \
"0.00565, 0.005597, 0.00555, 0.005499, 0.005457, 0.005436, 0.005428");
}
}
}
}

/* --------------- *
* Design : AND3X1 *
* --------------- */
cell (AND3X1) {
cell_footprint : AND3;
area : 6.055200;
cell_leakage_power : 26.4212;
pin(A) {
direction : input;
capacitance : 0.00177799;
rise_capacitance : 0.00177768;
fall_capacitance : 0.00177799;
}
pin(B) {
direction : input;
capacitance : 0.00178482;
rise_capacitance : 0.00178415;
fall_capacitance : 0.00178482;
}
pin(C) {
direction : input;
capacitance : 0.0016713;
rise_capacitance : 0.00167052;
fall_capacitance : 0.0016713;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.143084;
function : "((A B) C)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.168447, 0.18317, 0.209184, 0.250817, 0.320132, 0.443146, 0.683312", \
"0.16985, 0.184496, 0.210359, 0.252042, 0.321523, 0.443896, 0.684251", \
"0.171801, 0.186382, 0.212151, 0.254107, 0.323275, 0.446292, 0.686304", \
"0.175826, 0.190502, 0.216413, 0.258028, 0.327476, 0.449931, 0.690249", \
"0.185619, 0.200222, 0.225826, 0.267509, 0.336535, 0.45959, 0.699964", \
"0.202699, 0.217663, 0.244003, 0.286855, 0.356692, 0.479945, 0.720411", \
"0.230258, 0.24595, 0.273897, 0.31857, 0.39141, 0.517, 0.757253");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.051698, 0.064067, 0.086188, 0.127056, 0.208877, 0.370017, 0.723279", \
"0.051557, 0.063547, 0.086622, 0.128493, 0.206587, 0.374553, 0.713157", \
"0.051443, 0.063639, 0.086459, 0.128372, 0.208773, 0.3709, 0.722898", \
"0.051406, 0.062603, 0.086008, 0.12735, 0.207496, 0.37422, 0.71468", \
"0.05161, 0.063544, 0.087171, 0.128775, 0.209072, 0.370597, 0.72266", \
"0.058278, 0.06958, 0.091203, 0.132096, 0.211208, 0.374266, 0.719185", \
"0.066079, 0.078091, 0.101633, 0.142986, 0.2197, 0.381191, 0.725149");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.088023, 0.097835, 0.115044, 0.143668, 0.19464, 0.292388, 0.487852", \
"0.091015, 0.100843, 0.117955, 0.146555, 0.197533, 0.294934, 0.492056", \
"0.097247, 0.107042, 0.124245, 0.15288, 0.203736, 0.301152, 0.498286", \
"0.110013, 0.11956, 0.136658, 0.165231, 0.216014, 0.313569, 0.510706", \
"0.134928, 0.144593, 0.161608, 0.190044, 0.240904, 0.338387, 0.535345", \
"0.168984, 0.179753, 0.198436, 0.228635, 0.280322, 0.377728, 0.573184", \
"0.211626, 0.224089, 0.244891, 0.277762, 0.332334, 0.430102, 0.625185");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.029298, 0.038183, 0.055759, 0.088922, 0.159799, 0.301776, 0.601565", \
"0.029486, 0.038467, 0.055343, 0.089769, 0.158738, 0.304796, 0.59836", \
"0.02928, 0.038205, 0.055712, 0.089373, 0.158873, 0.304765, 0.598498", \
"0.029318, 0.038061, 0.05589, 0.08906, 0.159588, 0.30379, 0.600487", \
"0.032199, 0.040729, 0.057314, 0.090357, 0.160342, 0.303454, 0.600422", \
"0.042322, 0.050571, 0.067609, 0.09855, 0.16386, 0.302475, 0.602361", \
"0.05728, 0.065168, 0.082432, 0.113452, 0.174546, 0.308508, 0.601801");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.163776, 0.178428, 0.204218, 0.246107, 0.315595, 0.43801, 0.678445", \
"0.165045, 0.179673, 0.205439, 0.247424, 0.316613, 0.439351, 0.678859", \
"0.167766, 0.182355, 0.20814, 0.250108, 0.319383, 0.441988, 0.681661", \
"0.173836, 0.188429, 0.214321, 0.256078, 0.325487, 0.447922, 0.687856", \
"0.188726, 0.20336, 0.229045, 0.270645, 0.339646, 0.462714, 0.703314", \
"0.215654, 0.230675, 0.257449, 0.299637, 0.369394, 0.492292, 0.73227", \
"0.257327, 0.27286, 0.300414, 0.34509, 0.417847, 0.543081, 0.783255");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.050886, 0.062884, 0.086912, 0.128415, 0.206772, 0.374648, 0.714457", \
"0.050617, 0.063669, 0.086371, 0.1287, 0.207989, 0.373091, 0.720361", \
"0.051127, 0.063533, 0.086413, 0.128337, 0.207453, 0.373163, 0.720575", \
"0.050921, 0.062833, 0.086531, 0.12769, 0.206758, 0.373316, 0.719811", \
"0.051577, 0.063489, 0.086948, 0.128737, 0.209047, 0.373064, 0.720109", \
"0.057235, 0.068378, 0.091775, 0.132322, 0.210975, 0.375292, 0.71869", \
"0.066924, 0.078819, 0.102012, 0.143315, 0.219987, 0.378952, 0.723161");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.084013, 0.093704, 0.110599, 0.138866, 0.189641, 0.287044, 0.483737", \
"0.087117, 0.096747, 0.11376, 0.14201, 0.192661, 0.290256, 0.485807", \
"0.093371, 0.102986, 0.119894, 0.148165, 0.198992, 0.296561, 0.491942", \
"0.106021, 0.115552, 0.1323, 0.16062, 0.211348, 0.308797, 0.505517", \
"0.13018, 0.139862, 0.15676, 0.184809, 0.235427, 0.33286, 0.528815", \
"0.161799, 0.172671, 0.191159, 0.221243, 0.272994, 0.37003, 0.56498", \
"0.199838, 0.211781, 0.233003, 0.266016, 0.320472, 0.417956, 0.613058");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.028359, 0.037364, 0.054281, 0.088779, 0.157662, 0.304293, 0.59811", \
"0.028514, 0.037173, 0.054577, 0.088235, 0.159159, 0.300586, 0.600307", \
"0.028261, 0.037171, 0.054544, 0.088785, 0.15907, 0.301112, 0.599921", \
"0.028234, 0.037292, 0.054347, 0.088325, 0.157541, 0.304335, 0.598172", \
"0.031726, 0.040164, 0.056833, 0.089913, 0.15967, 0.300428, 0.600787", \
"0.041813, 0.050905, 0.067117, 0.09788, 0.164103, 0.303646, 0.600812", \
"0.056398, 0.066099, 0.082679, 0.114629, 0.175534, 0.30766, 0.601451");
}
}
timing() {
related_pin : "C";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.151187, 0.165864, 0.191689, 0.233558, 0.302778, 0.425554, 0.665042", \
"0.152318, 0.167132, 0.192882, 0.234702, 0.303933, 0.426964, 0.666806", \
"0.15538, 0.169996, 0.195917, 0.237569, 0.306853, 0.429952, 0.670451", \
"0.16282, 0.177403, 0.203115, 0.244959, 0.314252, 0.437194, 0.677848", \
"0.181404, 0.195934, 0.221481, 0.263023, 0.332069, 0.455122, 0.695379", \
"0.214463, 0.22945, 0.255631, 0.297926, 0.36764, 0.490742, 0.731051", \
"0.263245, 0.279028, 0.306139, 0.35066, 0.422778, 0.547793, 0.787502");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.051732, 0.062786, 0.086333, 0.128552, 0.208002, 0.372839, 0.720767", \
"0.0516, 0.063512, 0.086672, 0.127677, 0.208742, 0.370455, 0.722581", \
"0.050429, 0.062941, 0.086459, 0.127438, 0.208773, 0.371395, 0.722479", \
"0.051121, 0.063488, 0.086411, 0.127527, 0.208673, 0.37366, 0.719153", \
"0.051193, 0.06363, 0.086786, 0.128429, 0.209134, 0.370166, 0.723229", \
"0.058255, 0.069045, 0.091946, 0.131915, 0.211452, 0.37429, 0.716379", \
"0.070835, 0.080077, 0.10323, 0.144468, 0.219957, 0.378404, 0.718163");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.077772, 0.087318, 0.103934, 0.132175, 0.182468, 0.279753, 0.476805", \
"0.080939, 0.090409, 0.107056, 0.13531, 0.185721, 0.283182, 0.479749", \
"0.087381, 0.096845, 0.113497, 0.14161, 0.191986, 0.289277, 0.486438", \
"0.100074, 0.109417, 0.125916, 0.153818, 0.204432, 0.301356, 0.500077", \
"0.122946, 0.132594, 0.149349, 0.17748, 0.228005, 0.324947, 0.523558", \
"0.151614, 0.162398, 0.180842, 0.210945, 0.262734, 0.359299, 0.556373", \
"0.18416, 0.196458, 0.21765, 0.251034, 0.306014, 0.404568, 0.598646");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.027037, 0.035678, 0.053606, 0.08716, 0.158465, 0.300888, 0.597198", \
"0.027215, 0.035963, 0.053679, 0.086955, 0.157087, 0.303103, 0.602807", \
"0.027388, 0.036036, 0.053542, 0.087037, 0.158503, 0.300619, 0.598257", \
"0.027385, 0.036345, 0.05368, 0.088122, 0.15764, 0.302869, 0.593401", \
"0.031588, 0.039914, 0.056724, 0.089619, 0.158196, 0.303648, 0.597857", \
"0.041713, 0.049222, 0.065909, 0.097286, 0.163979, 0.304941, 0.600269", \
"0.057588, 0.065744, 0.082839, 0.11345, 0.176002, 0.308456, 0.60072");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.006299, 0.006194, 0.00611, 0.006075, 0.006066, 0.006058, 0.00604", \
"0.006279, 0.006174, 0.006091, 0.006058, 0.006048, 0.006039, 0.006021", \
"0.006249, 0.006143, 0.006058, 0.006022, 0.006013, 0.006006, 0.00599", \
"0.00621, 0.006103, 0.006018, 0.005981, 0.005973, 0.005969, 0.005955", \
"0.006239, 0.006128, 0.006034, 0.005984, 0.005968, 0.005962, 0.005949", \
"0.006482, 0.006347, 0.006217, 0.006136, 0.0061, 0.006083, 0.006066", \
"0.007154, 0.006983, 0.006797, 0.006667, 0.006587, 0.006547, 0.006518");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.003086, 0.003076, 0.003087, 0.003107, 0.003122, 0.003131, 0.003135", \
"0.003074, 0.003064, 0.003075, 0.003095, 0.003111, 0.00312, 0.003124", \
"0.003058, 0.003046, 0.003056, 0.003077, 0.003095, 0.003106, 0.00311", \
"0.003068, 0.003054, 0.003056, 0.003072, 0.003089, 0.003101, 0.003107", \
"0.003182, 0.003148, 0.003123, 0.003127, 0.003135, 0.003143, 0.003148", \
"0.003573, 0.003494, 0.003436, 0.003374, 0.00335, 0.003337, 0.00333", \
"0.004522, 0.00439, 0.004253, 0.004121, 0.004018, 0.003949, 0.003907");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.006079, 0.005973, 0.005898, 0.005862, 0.005853, 0.005848, 0.005834", \
"0.006062, 0.005963, 0.005878, 0.005842, 0.005834, 0.00583, 0.005816", \
"0.006036, 0.005931, 0.005847, 0.005811, 0.005804, 0.005802, 0.005789", \
"0.006008, 0.005901, 0.005815, 0.005778, 0.005772, 0.005772, 0.005762", \
"0.00606, 0.00595, 0.005855, 0.005804, 0.005787, 0.005782, 0.005771", \
"0.006335, 0.006197, 0.006067, 0.005982, 0.005941, 0.005923, 0.005907", \
"0.007012, 0.006839, 0.006676, 0.006527, 0.006435, 0.006387, 0.006355");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.002981, 0.002978, 0.002998, 0.003023, 0.003043, 0.003056, 0.003061", \
"0.002969, 0.002969, 0.002987, 0.003013, 0.003033, 0.003046, 0.003052", \
"0.002958, 0.002951, 0.002969, 0.002996, 0.003019, 0.003033, 0.00304", \
"0.002978, 0.002968, 0.002973, 0.002994, 0.003015, 0.003029, 0.003037", \
"0.003089, 0.003057, 0.003041, 0.003046, 0.003061, 0.003073, 0.00308", \
"0.003478, 0.003418, 0.003344, 0.003285, 0.003264, 0.003263, 0.00326", \
"0.004415, 0.004284, 0.004136, 0.004009, 0.003916, 0.003857, 0.00382");
}
}
internal_power() {
related_pin : "C";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.004699, 0.00459, 0.004513, 0.004475, 0.004466, 0.004463, 0.004451", \
"0.004683, 0.004578, 0.004494, 0.004458, 0.004451, 0.004448, 0.004437", \
"0.004666, 0.00456, 0.004475, 0.004439, 0.004431, 0.00443, 0.00442", \
"0.004666, 0.004556, 0.004471, 0.004431, 0.004424, 0.004424, 0.004416", \
"0.004766, 0.004653, 0.004554, 0.0045, 0.004481, 0.004477, 0.004467", \
"0.005141, 0.004997, 0.00486, 0.004763, 0.004713, 0.004691, 0.004674", \
"0.006032, 0.005821, 0.005618, 0.005464, 0.005354, 0.005294, 0.00525");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.003991, 0.003995, 0.00402, 0.004049, 0.004069, 0.004081, 0.004086", \
"0.003985, 0.003988, 0.004013, 0.004043, 0.004064, 0.004076, 0.004081", \
"0.003976, 0.003979, 0.004001, 0.004031, 0.004054, 0.004067, 0.004073", \
"0.003993, 0.003997, 0.004008, 0.004031, 0.004054, 0.004068, 0.004075", \
"0.004142, 0.004109, 0.004088, 0.004084, 0.004099, 0.004112, 0.004119", \
"0.004508, 0.004434, 0.00437, 0.004323, 0.004309, 0.004304, 0.004303", \
"0.005459, 0.005326, 0.005172, 0.005057, 0.004962, 0.004911, 0.004876");
}
}
}
}

/* --------------- *
* Design : AND3X2 *
* --------------- */
cell (AND3X2) {
cell_footprint : AND3;
area : 6.812100;
cell_leakage_power : 37.9144;
pin(A) {
direction : input;
capacitance : 0.00182509;
rise_capacitance : 0.00182488;
fall_capacitance : 0.00182509;
}
pin(B) {
direction : input;
capacitance : 0.00185315;
rise_capacitance : 0.00185234;
fall_capacitance : 0.00185315;
}
pin(C) {
direction : input;
capacitance : 0.00171209;
rise_capacitance : 0.0017113;
fall_capacitance : 0.00171209;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.28114;
function : "((A B) C)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.228229, 0.244714, 0.274121, 0.321973, 0.399581, 0.529551, 0.772347", \
"0.229529, 0.246087, 0.275474, 0.323323, 0.400828, 0.530914, 0.773577", \
"0.23174, 0.248304, 0.277746, 0.325602, 0.402767, 0.533, 0.776251", \
"0.23579, 0.252228, 0.281687, 0.329638, 0.406738, 0.537109, 0.780154", \
"0.244929, 0.261406, 0.291144, 0.338545, 0.415733, 0.545974, 0.789159", \
"0.266977, 0.283285, 0.312305, 0.359956, 0.43709, 0.567235, 0.810336", \
"0.300538, 0.317547, 0.348193, 0.397624, 0.477987, 0.609362, 0.852922");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.072339, 0.084652, 0.107901, 0.153128, 0.23345, 0.390479, 0.732711", \
"0.072257, 0.083612, 0.107971, 0.153349, 0.233117, 0.392203, 0.73458", \
"0.072062, 0.084499, 0.107611, 0.152878, 0.233809, 0.393276, 0.726546", \
"0.072707, 0.084477, 0.107382, 0.152453, 0.233733, 0.393781, 0.7318", \
"0.071463, 0.083538, 0.108566, 0.151764, 0.233405, 0.393995, 0.732322", \
"0.075749, 0.087264, 0.110415, 0.153249, 0.233456, 0.392013, 0.731294", \
"0.085214, 0.09655, 0.121557, 0.164415, 0.24269, 0.397611, 0.729737");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.112892, 0.124284, 0.143865, 0.175764, 0.229493, 0.328387, 0.525666", \
"0.115879, 0.127262, 0.14685, 0.178761, 0.23253, 0.331376, 0.5286", \
"0.122105, 0.133594, 0.153069, 0.184998, 0.238844, 0.337428, 0.534335", \
"0.134668, 0.14606, 0.165659, 0.197563, 0.251381, 0.350057, 0.546574", \
"0.161197, 0.172374, 0.191807, 0.223375, 0.276963, 0.375521, 0.571323", \
"0.205714, 0.21758, 0.237842, 0.270281, 0.324247, 0.422551, 0.618157", \
"0.261846, 0.275407, 0.297783, 0.333337, 0.39105, 0.490559, 0.685468");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.037448, 0.046816, 0.065341, 0.098823, 0.166722, 0.308604, 0.605139", \
"0.037777, 0.047281, 0.065353, 0.098911, 0.166519, 0.308981, 0.602127", \
"0.037794, 0.047131, 0.065326, 0.098784, 0.166033, 0.30941, 0.597886", \
"0.037722, 0.047449, 0.064819, 0.098832, 0.165797, 0.306637, 0.605075", \
"0.038184, 0.047764, 0.066316, 0.099524, 0.166106, 0.307783, 0.602478", \
"0.049548, 0.058079, 0.074759, 0.10573, 0.170911, 0.307563, 0.60256", \
"0.067554, 0.077479, 0.09504, 0.12505, 0.184593, 0.315733, 0.601472");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.223422, 0.240054, 0.26954, 0.317227, 0.39449, 0.524763, 0.767001", \
"0.224951, 0.241511, 0.270924, 0.318627, 0.395843, 0.525992, 0.76825", \
"0.227734, 0.244198, 0.273516, 0.321334, 0.398792, 0.528676, 0.771735", \
"0.233716, 0.250259, 0.27982, 0.327388, 0.404693, 0.53461, 0.777454", \
"0.248315, 0.264764, 0.294093, 0.341902, 0.419055, 0.549343, 0.792561", \
"0.280217, 0.29667, 0.325328, 0.373235, 0.450221, 0.580133, 0.822641", \
"0.332134, 0.349059, 0.378687, 0.428683, 0.507753, 0.638922, 0.882281");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.072279, 0.084664, 0.109239, 0.153398, 0.233694, 0.394121, 0.735522", \
"0.07216, 0.084501, 0.108708, 0.153172, 0.233625, 0.394128, 0.735049", \
"0.071894, 0.084136, 0.10878, 0.151987, 0.233083, 0.39315, 0.733166", \
"0.07113, 0.083524, 0.109038, 0.152848, 0.233189, 0.393373, 0.736241", \
"0.071305, 0.083228, 0.108651, 0.152187, 0.233249, 0.393883, 0.731311", \
"0.075195, 0.085474, 0.10975, 0.15307, 0.234289, 0.393536, 0.735", \
"0.085539, 0.097709, 0.121361, 0.16465, 0.243439, 0.400118, 0.736263");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.108667, 0.119977, 0.139487, 0.171034, 0.224547, 0.323073, 0.51947", \
"0.111789, 0.123045, 0.142477, 0.174228, 0.227522, 0.326126, 0.523351", \
"0.117978, 0.129319, 0.148848, 0.180335, 0.233877, 0.332238, 0.52953", \
"0.130649, 0.14195, 0.161287, 0.192984, 0.246496, 0.345027, 0.54223", \
"0.157157, 0.16827, 0.187337, 0.218607, 0.271974, 0.370412, 0.567036", \
"0.199765, 0.211769, 0.231799, 0.264119, 0.318118, 0.416141, 0.612333", \
"0.252175, 0.265589, 0.287713, 0.323736, 0.381056, 0.480687, 0.675017");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.036866, 0.046043, 0.064532, 0.09781, 0.166416, 0.305673, 0.604229", \
"0.036635, 0.046437, 0.063588, 0.098246, 0.166207, 0.308148, 0.604141", \
"0.03682, 0.04639, 0.063409, 0.097934, 0.166103, 0.308633, 0.60175", \
"0.036636, 0.045894, 0.064185, 0.097839, 0.166557, 0.307276, 0.60459", \
"0.037461, 0.046596, 0.064922, 0.09842, 0.166314, 0.304384, 0.604815", \
"0.049233, 0.056955, 0.074198, 0.106276, 0.170648, 0.30978, 0.596769", \
"0.067878, 0.076207, 0.093533, 0.125618, 0.186787, 0.315726, 0.604311");
}
}
timing() {
related_pin : "C";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.211412, 0.227986, 0.257314, 0.305144, 0.382536, 0.512678, 0.755796", \
"0.212722, 0.229189, 0.258517, 0.306325, 0.383737, 0.513822, 0.756319", \
"0.215645, 0.232073, 0.2614, 0.309354, 0.386651, 0.516922, 0.759493", \
"0.222712, 0.239225, 0.268519, 0.316292, 0.393681, 0.523844, 0.766678", \
"0.239924, 0.256448, 0.285893, 0.333487, 0.410748, 0.540583, 0.783821", \
"0.279034, 0.295227, 0.324103, 0.371723, 0.44876, 0.578717, 0.821114", \
"0.340817, 0.357343, 0.386999, 0.436431, 0.515422, 0.646408, 0.889311");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.071694, 0.083628, 0.108066, 0.153227, 0.233214, 0.394062, 0.73334", \
"0.071843, 0.084092, 0.108744, 0.151933, 0.232851, 0.392024, 0.735099", \
"0.072724, 0.084599, 0.108551, 0.152052, 0.232159, 0.390722, 0.734308", \
"0.071694, 0.083994, 0.108524, 0.151832, 0.232498, 0.391293, 0.7335", \
"0.071608, 0.083383, 0.108272, 0.152119, 0.23346, 0.394101, 0.734847", \
"0.074393, 0.085655, 0.109708, 0.153997, 0.235016, 0.394894, 0.734986", \
"0.090331, 0.100513, 0.123602, 0.165567, 0.24344, 0.400885, 0.737813");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.102723, 0.113811, 0.133063, 0.164421, 0.217811, 0.316275, 0.512086", \
"0.105871, 0.116941, 0.136138, 0.167598, 0.220925, 0.31925, 0.514846", \
"0.112257, 0.123337, 0.142577, 0.173858, 0.2272, 0.325514, 0.521055", \
"0.124946, 0.135958, 0.155215, 0.186427, 0.239844, 0.33783, 0.534895", \
"0.151146, 0.162053, 0.180908, 0.211933, 0.265149, 0.363489, 0.560405", \
"0.191713, 0.203665, 0.223787, 0.256005, 0.309764, 0.408009, 0.604197", \
"0.240339, 0.25323, 0.275595, 0.311493, 0.369243, 0.469392, 0.664008");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.03572, 0.044967, 0.062833, 0.096789, 0.165659, 0.304288, 0.59604", \
"0.035396, 0.04481, 0.062712, 0.096619, 0.164595, 0.307882, 0.596362", \
"0.035789, 0.044958, 0.062864, 0.097163, 0.165208, 0.308204, 0.598273", \
"0.034996, 0.044344, 0.063232, 0.097101, 0.164292, 0.307706, 0.603313", \
"0.036531, 0.045941, 0.063618, 0.098215, 0.166281, 0.304821, 0.604256", \
"0.048294, 0.057302, 0.073531, 0.104837, 0.170949, 0.306204, 0.604254", \
"0.067889, 0.075838, 0.092661, 0.124064, 0.185583, 0.313661, 0.604898");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.015958, 0.015481, 0.014993, 0.014639, 0.014456, 0.014361, 0.014285", \
"0.015952, 0.015483, 0.014972, 0.014623, 0.014441, 0.014347, 0.014271", \
"0.0159, 0.015434, 0.014943, 0.014592, 0.014411, 0.014317, 0.014244", \
"0.015863, 0.015396, 0.014885, 0.014536, 0.014358, 0.014269, 0.014203", \
"0.015851, 0.015379, 0.014867, 0.014512, 0.014329, 0.014241, 0.014178", \
"0.016162, 0.015664, 0.015109, 0.014701, 0.014471, 0.014351, 0.014269", \
"0.017049, 0.016499, 0.015832, 0.015307, 0.01499, 0.01479, 0.014666");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.00156, 0.00141, 0.001319, 0.001296, 0.001299, 0.001302, 0.001304", \
"0.001553, 0.001408, 0.001309, 0.001287, 0.00129, 0.001294, 0.001296", \
"0.001539, 0.001394, 0.001292, 0.00127, 0.001275, 0.001282, 0.001284", \
"0.001541, 0.001386, 0.001286, 0.001257, 0.001265, 0.001274, 0.001278", \
"0.001729, 0.001557, 0.001419, 0.001347, 0.001322, 0.001317, 0.001314", \
"0.002359, 0.002108, 0.001887, 0.001689, 0.001578, 0.001517, 0.001483", \
"0.003867, 0.00352, 0.003062, 0.00267, 0.002378, 0.002181, 0.002059");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.015746, 0.01528, 0.014773, 0.014426, 0.014247, 0.014158, 0.014086", \
"0.015729, 0.015263, 0.014756, 0.01441, 0.014231, 0.014143, 0.014072", \
"0.015698, 0.015231, 0.014725, 0.014379, 0.014203, 0.014116, 0.014048", \
"0.015661, 0.015191, 0.014682, 0.014333, 0.014158, 0.014076, 0.014013", \
"0.015669, 0.015198, 0.014684, 0.014329, 0.014146, 0.01406, 0.014001", \
"0.015981, 0.015496, 0.014944, 0.014541, 0.014307, 0.014184, 0.014105", \
"0.017034, 0.016476, 0.015782, 0.015224, 0.014861, 0.014644, 0.014512");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.001416, 0.001278, 0.001206, 0.001197, 0.001211, 0.001223, 0.00123", \
"0.001403, 0.001273, 0.001199, 0.001192, 0.001205, 0.001215, 0.001222", \
"0.001396, 0.001259, 0.001183, 0.001173, 0.001189, 0.001203, 0.001211", \
"0.001408, 0.001268, 0.001177, 0.00116, 0.001178, 0.001196, 0.001206", \
"0.001571, 0.001421, 0.001331, 0.001263, 0.001242, 0.001241, 0.001241", \
"0.002245, 0.002034, 0.00177, 0.001578, 0.001482, 0.001432, 0.001407", \
"0.003741, 0.00336, 0.00291, 0.00257, 0.002246, 0.002087, 0.001969");
}
}
internal_power() {
related_pin : "C";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.014364, 0.013896, 0.013384, 0.013037, 0.012859, 0.012774, 0.012707", \
"0.014348, 0.013882, 0.013375, 0.013029, 0.012851, 0.012763, 0.012697", \
"0.014327, 0.01386, 0.013353, 0.013007, 0.012831, 0.012744, 0.01268", \
"0.01431, 0.013841, 0.013331, 0.012984, 0.012806, 0.012723, 0.012663", \
"0.014376, 0.013904, 0.013392, 0.013033, 0.012839, 0.012749, 0.012689", \
"0.014784, 0.014296, 0.013742, 0.013328, 0.013082, 0.012947, 0.012863", \
"0.016113, 0.015533, 0.014816, 0.014216, 0.0138, 0.013563, 0.013406");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.002387, 0.002269, 0.002209, 0.002213, 0.002234, 0.002249, 0.002257", \
"0.002388, 0.002269, 0.002204, 0.00221, 0.002232, 0.002246, 0.002254", \
"0.002378, 0.002257, 0.002196, 0.002199, 0.002223, 0.00224, 0.002248", \
"0.002401, 0.002273, 0.002199, 0.002195, 0.002218, 0.002237, 0.002246", \
"0.002609, 0.00245, 0.002335, 0.002283, 0.002275, 0.00228, 0.002284", \
"0.003263, 0.003006, 0.002762, 0.002604, 0.002521, 0.002474, 0.002448", \
"0.004755, 0.004361, 0.003916, 0.003553, 0.003285, 0.003104, 0.002995");
}
}
}
}

/* --------------- *
* Design : AND3X4 *
* --------------- */
cell (AND3X4) {
cell_footprint : AND3;
area : 9.082800;
cell_leakage_power : 70.356;
pin(A) {
direction : input;
capacitance : 0.00284373;
rise_capacitance : 0.0028433;
fall_capacitance : 0.00284373;
}
pin(B) {
direction : input;
capacitance : 0.00281859;
rise_capacitance : 0.00281743;
fall_capacitance : 0.00281859;
}
pin(C) {
direction : input;
capacitance : 0.00282321;
rise_capacitance : 0.00282175;
fall_capacitance : 0.00282321;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.553912;
function : "((A B) C)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.238204, 0.254595, 0.283788, 0.332329, 0.410473, 0.541854, 0.785006", \
"0.239943, 0.256323, 0.285822, 0.334097, 0.412277, 0.543501, 0.787052", \
"0.242572, 0.258868, 0.288368, 0.336872, 0.414973, 0.54642, 0.790084", \
"0.247433, 0.263723, 0.293073, 0.341438, 0.419823, 0.551158, 0.794745", \
"0.257564, 0.274058, 0.303464, 0.351653, 0.429823, 0.561229, 0.805509", \
"0.28151, 0.297696, 0.326731, 0.374733, 0.45298, 0.584271, 0.8284", \
"0.321212, 0.337927, 0.368094, 0.418361, 0.49831, 0.630544, 0.874442");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.078779, 0.090816, 0.114757, 0.157884, 0.239295, 0.398494, 0.740595", \
"0.078777, 0.09067, 0.114615, 0.15931, 0.240048, 0.400251, 0.740663", \
"0.079429, 0.090868, 0.11442, 0.157595, 0.239582, 0.396721, 0.73868", \
"0.07906, 0.090301, 0.114757, 0.158105, 0.238975, 0.398231, 0.740641", \
"0.078789, 0.090591, 0.114333, 0.158139, 0.23959, 0.399578, 0.732665", \
"0.080553, 0.091996, 0.115442, 0.158261, 0.240286, 0.400731, 0.737815", \
"0.091209, 0.102093, 0.127223, 0.170169, 0.248315, 0.402188, 0.736265");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.114936, 0.126302, 0.145939, 0.178095, 0.232179, 0.331356, 0.52891", \
"0.117947, 0.129308, 0.148944, 0.181092, 0.235115, 0.334398, 0.532141", \
"0.124256, 0.135663, 0.155265, 0.187428, 0.241565, 0.340397, 0.537538", \
"0.136938, 0.1483, 0.167971, 0.200065, 0.254199, 0.353136, 0.549552", \
"0.163569, 0.174682, 0.19418, 0.225946, 0.279889, 0.378758, 0.575232", \
"0.209017, 0.22075, 0.240863, 0.273255, 0.327404, 0.425935, 0.622559", \
"0.266004, 0.279118, 0.301407, 0.33685, 0.394628, 0.494226, 0.68982");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.040097, 0.048926, 0.067395, 0.100928, 0.168721, 0.311538, 0.603214", \
"0.03981, 0.048839, 0.067411, 0.100856, 0.168882, 0.310974, 0.606547", \
"0.04016, 0.049475, 0.067449, 0.100916, 0.168468, 0.311636, 0.599499", \
"0.040009, 0.0496, 0.066826, 0.101028, 0.168243, 0.309929, 0.604289", \
"0.040137, 0.049716, 0.06837, 0.101618, 0.168265, 0.309896, 0.6038", \
"0.051952, 0.060226, 0.076642, 0.107924, 0.172973, 0.312471, 0.599937", \
"0.072044, 0.08036, 0.097989, 0.127258, 0.187, 0.316144, 0.607227");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.233835, 0.250135, 0.279599, 0.32782, 0.406278, 0.537199, 0.781152", \
"0.23541, 0.251805, 0.281241, 0.329383, 0.407872, 0.539181, 0.782373", \
"0.238683, 0.254987, 0.284379, 0.332692, 0.411154, 0.542248, 0.785764", \
"0.245372, 0.261708, 0.291093, 0.33938, 0.417806, 0.548803, 0.792752", \
"0.260734, 0.277305, 0.306583, 0.354821, 0.432972, 0.564336, 0.808536", \
"0.294728, 0.310944, 0.340036, 0.387866, 0.466074, 0.597319, 0.84067", \
"0.351991, 0.368595, 0.398606, 0.448089, 0.527906, 0.659622, 0.90334");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.079251, 0.089505, 0.113578, 0.158724, 0.24004, 0.399901, 0.740372", \
"0.080002, 0.089765, 0.113502, 0.158071, 0.239357, 0.397723, 0.74006", \
"0.079171, 0.090276, 0.114866, 0.157964, 0.239658, 0.400226, 0.740713", \
"0.078467, 0.090255, 0.11451, 0.158104, 0.239471, 0.39951, 0.740484", \
"0.078769, 0.090365, 0.114473, 0.158309, 0.239727, 0.40003, 0.737591", \
"0.080084, 0.090628, 0.114925, 0.159474, 0.239927, 0.399688, 0.739521", \
"0.092682, 0.103594, 0.126509, 0.169459, 0.247273, 0.404908, 0.741973");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.110764, 0.121995, 0.141486, 0.173268, 0.227119, 0.325971, 0.523709", \
"0.113872, 0.125087, 0.144659, 0.176424, 0.230328, 0.329137, 0.526299", \
"0.120152, 0.131476, 0.150972, 0.182767, 0.236604, 0.335459, 0.533011", \
"0.132949, 0.144141, 0.163576, 0.195469, 0.249336, 0.3481, 0.545744", \
"0.159521, 0.17059, 0.189726, 0.221136, 0.274857, 0.37364, 0.570886", \
"0.203134, 0.21479, 0.234895, 0.267265, 0.321201, 0.419402, 0.615494", \
"0.256365, 0.269543, 0.291422, 0.327355, 0.384701, 0.484605, 0.678959");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.039041, 0.048558, 0.065586, 0.100013, 0.167759, 0.310891, 0.607657", \
"0.03927, 0.048491, 0.065655, 0.099928, 0.166803, 0.308049, 0.601702", \
"0.038913, 0.048447, 0.065411, 0.100014, 0.168276, 0.308676, 0.6043", \
"0.03848, 0.04816, 0.066111, 0.099968, 0.168711, 0.310648, 0.605811", \
"0.039483, 0.048808, 0.066944, 0.100362, 0.168727, 0.307493, 0.607699", \
"0.051629, 0.059001, 0.075972, 0.107927, 0.172414, 0.310819, 0.604448", \
"0.07193, 0.079429, 0.095969, 0.128266, 0.189193, 0.317141, 0.602255");
}
}
timing() {
related_pin : "C";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.224653, 0.240863, 0.270538, 0.318828, 0.397186, 0.52856, 0.772739", \
"0.226011, 0.242324, 0.271741, 0.320035, 0.39846, 0.529476, 0.773516", \
"0.229068, 0.245401, 0.274842, 0.323075, 0.401502, 0.532889, 0.776062", \
"0.236315, 0.25261, 0.281952, 0.330305, 0.408635, 0.540068, 0.783457", \
"0.253953, 0.27029, 0.299551, 0.348157, 0.426338, 0.557135, 0.801451", \
"0.294294, 0.310345, 0.339474, 0.387637, 0.46586, 0.596597, 0.840585", \
"0.362003, 0.378236, 0.40782, 0.457271, 0.536712, 0.669197, 0.912103");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.07795, 0.09088, 0.115295, 0.159336, 0.239552, 0.399363, 0.73163", \
"0.079009, 0.090249, 0.114814, 0.158211, 0.239602, 0.399531, 0.740318", \
"0.079383, 0.09071, 0.114101, 0.158022, 0.238729, 0.397633, 0.740095", \
"0.079045, 0.090339, 0.114779, 0.158035, 0.238547, 0.397455, 0.740407", \
"0.079311, 0.090217, 0.114224, 0.158303, 0.239181, 0.400223, 0.739818", \
"0.080155, 0.091324, 0.114918, 0.159293, 0.240686, 0.400851, 0.73834", \
"0.096616, 0.107153, 0.130126, 0.171173, 0.24911, 0.402574, 0.735232");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.106059, 0.11715, 0.136487, 0.167957, 0.221866, 0.320651, 0.516557", \
"0.109246, 0.120417, 0.139615, 0.171237, 0.224985, 0.323703, 0.519883", \
"0.115632, 0.126693, 0.146025, 0.177607, 0.23133, 0.329987, 0.525999", \
"0.128342, 0.139449, 0.158767, 0.190179, 0.243966, 0.342226, 0.539648", \
"0.154676, 0.16555, 0.1845, 0.215835, 0.269203, 0.36799, 0.56387", \
"0.196629, 0.20822, 0.228294, 0.260432, 0.314166, 0.412975, 0.609828", \
"0.246003, 0.258771, 0.280891, 0.316355, 0.374459, 0.474672, 0.668987");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.03812, 0.047037, 0.065189, 0.099474, 0.167886, 0.306628, 0.600838", \
"0.037655, 0.047094, 0.064739, 0.098648, 0.166831, 0.309923, 0.598184", \
"0.038175, 0.046927, 0.065139, 0.099024, 0.167612, 0.310528, 0.60008", \
"0.037587, 0.046401, 0.065309, 0.098704, 0.166415, 0.310109, 0.605761", \
"0.038656, 0.047658, 0.065952, 0.099746, 0.168024, 0.306514, 0.599365", \
"0.050977, 0.05955, 0.075673, 0.107152, 0.172148, 0.31158, 0.607879", \
"0.07165, 0.079416, 0.095543, 0.126442, 0.188002, 0.315778, 0.609208");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.033953, 0.032937, 0.031846, 0.031002, 0.030557, 0.030326, 0.030167", \
"0.033954, 0.032937, 0.031776, 0.03095, 0.030517, 0.030302, 0.030142", \
"0.033911, 0.032869, 0.031752, 0.030913, 0.030475, 0.030254, 0.030101", \
"0.033827, 0.032805, 0.03164, 0.030813, 0.030387, 0.03018, 0.030036", \
"0.0338, 0.032774, 0.031602, 0.030762, 0.030324, 0.030121, 0.029986", \
"0.034285, 0.033239, 0.032006, 0.031077, 0.030557, 0.030295, 0.030126", \
"0.035974, 0.034809, 0.033356, 0.032205, 0.031458, 0.031031, 0.030773");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.001073, 0.000734, 0.00052, 0.000464, 0.000472, 0.000488, 0.000496", \
"0.001054, 0.000717, 0.0005, 0.000444, 0.000453, 0.000469, 0.000479", \
"0.001042, 0.000715, 0.000481, 0.000425, 0.000437, 0.000454, 0.000464", \
"0.001057, 0.00071, 0.000478, 0.000404, 0.00042, 0.000443, 0.000458", \
"0.001417, 0.001042, 0.000735, 0.000579, 0.000528, 0.000523, 0.000525", \
"0.002647, 0.002122, 0.001658, 0.001243, 0.001022, 0.000893, 0.000826", \
"0.00569, 0.004879, 0.003891, 0.003064, 0.002462, 0.002063, 0.001821");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.033679, 0.032631, 0.031466, 0.030697, 0.030254, 0.03003, 0.029877", \
"0.033655, 0.032607, 0.031446, 0.030658, 0.030219, 0.03, 0.029852", \
"0.033599, 0.032581, 0.03142, 0.030594, 0.030167, 0.029965, 0.029815", \
"0.033535, 0.032514, 0.031345, 0.030519, 0.030094, 0.029896, 0.029758", \
"0.033541, 0.032515, 0.031345, 0.030502, 0.030059, 0.029858, 0.029729", \
"0.034086, 0.033046, 0.031819, 0.030886, 0.030349, 0.030072, 0.029903", \
"0.035969, 0.034797, 0.033318, 0.032074, 0.031283, 0.030828, 0.030559");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.00073, 0.000436, 0.000257, 0.000224, 0.000246, 0.000271, 0.000284", \
"0.000718, 0.000418, 0.000234, 0.000204, 0.000234, 0.000259, 0.000277", \
"0.000707, 0.000396, 0.000212, 0.000181, 0.00021, 0.000241, 0.000261", \
"0.00074, 0.000425, 0.000208, 0.000162, 0.000197, 0.000234, 0.000256", \
"0.001055, 0.000725, 0.000516, 0.000366, 0.000322, 0.000319, 0.000321", \
"0.002376, 0.001925, 0.001377, 0.000967, 0.000763, 0.000661, 0.000611", \
"0.0053, 0.004498, 0.00354, 0.002818, 0.002147, 0.001828, 0.00159");
}
}
internal_power() {
related_pin : "C";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.031585, 0.030564, 0.029397, 0.028566, 0.028137, 0.027932, 0.027787", \
"0.031558, 0.03054, 0.02938, 0.028553, 0.028123, 0.027913, 0.027768", \
"0.031516, 0.030499, 0.029338, 0.028515, 0.028086, 0.027877, 0.027735", \
"0.03148, 0.030457, 0.02929, 0.028462, 0.028032, 0.027832, 0.027699", \
"0.031578, 0.030543, 0.02937, 0.02852, 0.028068, 0.027858, 0.027727", \
"0.032271, 0.031224, 0.03, 0.029063, 0.028501, 0.028202, 0.02802", \
"0.034795, 0.033568, 0.032013, 0.030687, 0.029781, 0.029286, 0.028952");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.002184, 0.001908, 0.001759, 0.001757, 0.001799, 0.001829, 0.001846", \
"0.002191, 0.001916, 0.001754, 0.001754, 0.001795, 0.001825, 0.001843", \
"0.002168, 0.0019, 0.001735, 0.001734, 0.00178, 0.001815, 0.001833", \
"0.002209, 0.00192, 0.001739, 0.001723, 0.001769, 0.001807, 0.001828", \
"0.002611, 0.002263, 0.002001, 0.001888, 0.00187, 0.001881, 0.00189", \
"0.003884, 0.003338, 0.002816, 0.002482, 0.002316, 0.002219, 0.002168", \
"0.006786, 0.005974, 0.005023, 0.004242, 0.00369, 0.003326, 0.003107");
}
}
}
}

/* --------------- *
* Design : AND3X6 *
* --------------- */
cell (AND3X6) {
cell_footprint : AND3;
area : 13.624200;
cell_leakage_power : 111.664;
pin(A) {
direction : input;
capacitance : 0.00589246;
rise_capacitance : 0.0058914;
fall_capacitance : 0.00589246;
}
pin(B) {
direction : input;
capacitance : 0.00559071;
rise_capacitance : 0.0055885;
fall_capacitance : 0.00559071;
}
pin(C) {
direction : input;
capacitance : 0.0053494;
rise_capacitance : 0.00534636;
fall_capacitance : 0.0053494;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.848555;
function : "((A B) C)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.197429, 0.213004, 0.240535, 0.285729, 0.359139, 0.485072, 0.726016", \
"0.199095, 0.21457, 0.242161, 0.287356, 0.360665, 0.486661, 0.727682", \
"0.201741, 0.217226, 0.244855, 0.290069, 0.363429, 0.489536, 0.730759", \
"0.206491, 0.221979, 0.249878, 0.294586, 0.368033, 0.494092, 0.735504", \
"0.217052, 0.23246, 0.260079, 0.305134, 0.378413, 0.504593, 0.746323", \
"0.23932, 0.254961, 0.282561, 0.327453, 0.400854, 0.527161, 0.768834", \
"0.274516, 0.290651, 0.31945, 0.366325, 0.442875, 0.570531, 0.812454");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.064539, 0.075469, 0.099712, 0.142965, 0.223332, 0.384209, 0.730528", \
"0.064796, 0.075308, 0.099878, 0.142373, 0.223354, 0.384219, 0.730242", \
"0.064858, 0.076389, 0.099691, 0.142475, 0.222912, 0.383175, 0.731254", \
"0.063866, 0.075236, 0.099584, 0.14198, 0.222082, 0.385539, 0.72966", \
"0.064408, 0.075786, 0.099803, 0.14076, 0.222424, 0.382623, 0.729503", \
"0.069209, 0.079515, 0.102285, 0.143154, 0.22332, 0.387015, 0.725313", \
"0.078329, 0.08999, 0.11212, 0.154562, 0.232069, 0.391643, 0.727644");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.098486, 0.109024, 0.127373, 0.157643, 0.21008, 0.308821, 0.506287", \
"0.101515, 0.112064, 0.130412, 0.160713, 0.213112, 0.311619, 0.509967", \
"0.107786, 0.118391, 0.136845, 0.166949, 0.21936, 0.31786, 0.516216", \
"0.120514, 0.131044, 0.149432, 0.179674, 0.231977, 0.3305, 0.528786", \
"0.146654, 0.156954, 0.175069, 0.20494, 0.257252, 0.355695, 0.553986", \
"0.186323, 0.197637, 0.216885, 0.248151, 0.300825, 0.39884, 0.595538", \
"0.235029, 0.247853, 0.26915, 0.303153, 0.358949, 0.457775, 0.653485");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.034074, 0.042957, 0.06049, 0.094524, 0.164323, 0.304471, 0.607485", \
"0.033988, 0.042958, 0.060386, 0.094466, 0.164229, 0.308043, 0.604941", \
"0.03412, 0.043301, 0.060527, 0.094828, 0.164291, 0.308091, 0.605398", \
"0.03395, 0.043057, 0.060745, 0.094215, 0.164415, 0.307652, 0.605762", \
"0.035718, 0.044153, 0.061875, 0.095392, 0.164614, 0.308323, 0.605445", \
"0.047323, 0.05617, 0.072015, 0.102521, 0.16724, 0.307672, 0.604675", \
"0.065423, 0.073643, 0.090728, 0.120005, 0.181153, 0.311989, 0.605858");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.192492, 0.208027, 0.235733, 0.280584, 0.353971, 0.480478, 0.721911", \
"0.194127, 0.209697, 0.237385, 0.28219, 0.355668, 0.481991, 0.723726", \
"0.197237, 0.212756, 0.240661, 0.285348, 0.358897, 0.485093, 0.726792", \
"0.203956, 0.219364, 0.247002, 0.292166, 0.365421, 0.491735, 0.733534", \
"0.219677, 0.235299, 0.262811, 0.307775, 0.380821, 0.507041, 0.748117", \
"0.252055, 0.267595, 0.295343, 0.339928, 0.413249, 0.539276, 0.780596", \
"0.303114, 0.319044, 0.347812, 0.394888, 0.470749, 0.598133, 0.838703");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.064119, 0.075892, 0.099679, 0.141654, 0.22221, 0.386205, 0.724698", \
"0.064252, 0.076036, 0.099469, 0.141412, 0.221485, 0.385596, 0.722807", \
"0.06368, 0.075483, 0.099478, 0.141603, 0.221063, 0.386041, 0.723888", \
"0.064447, 0.076297, 0.099647, 0.142956, 0.221326, 0.385803, 0.72165", \
"0.064396, 0.075929, 0.099349, 0.143098, 0.223019, 0.383151, 0.730947", \
"0.068407, 0.079922, 0.103182, 0.144168, 0.223035, 0.386424, 0.730132", \
"0.080362, 0.091216, 0.114151, 0.157208, 0.232688, 0.389838, 0.732088");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.094222, 0.104692, 0.12286, 0.152906, 0.205046, 0.303437, 0.500524", \
"0.097367, 0.107828, 0.126111, 0.156056, 0.208159, 0.306575, 0.503957", \
"0.103748, 0.114168, 0.132434, 0.162298, 0.214535, 0.31297, 0.509764", \
"0.116523, 0.126949, 0.145077, 0.174932, 0.226978, 0.325302, 0.523556", \
"0.142391, 0.152639, 0.17041, 0.200171, 0.252055, 0.350233, 0.548496", \
"0.17967, 0.191069, 0.210181, 0.241387, 0.293925, 0.391877, 0.589205", \
"0.224479, 0.236719, 0.258487, 0.292663, 0.348258, 0.446532, 0.641788");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.033, 0.042084, 0.059584, 0.093239, 0.162615, 0.306699, 0.59682", \
"0.032906, 0.042139, 0.059586, 0.093252, 0.163373, 0.30389, 0.606806", \
"0.033202, 0.041977, 0.059784, 0.093939, 0.161999, 0.306192, 0.599789", \
"0.03293, 0.042023, 0.059896, 0.093143, 0.163465, 0.307314, 0.606218", \
"0.03506, 0.043756, 0.06091, 0.093958, 0.16373, 0.307967, 0.605232", \
"0.046696, 0.0544, 0.071371, 0.1025, 0.168268, 0.308096, 0.605098", \
"0.065455, 0.073398, 0.08985, 0.120898, 0.182462, 0.312844, 0.6003");
}
}
timing() {
related_pin : "C";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.182171, 0.197847, 0.225618, 0.270339, 0.343858, 0.470113, 0.711824", \
"0.183549, 0.19903, 0.226666, 0.271659, 0.344996, 0.471241, 0.712674", \
"0.186767, 0.20225, 0.229861, 0.275011, 0.348176, 0.474519, 0.715938", \
"0.194141, 0.209516, 0.237342, 0.282326, 0.355663, 0.482015, 0.723828", \
"0.21279, 0.228243, 0.255703, 0.300558, 0.373806, 0.499934, 0.74132", \
"0.251925, 0.267163, 0.294503, 0.339278, 0.412469, 0.538641, 0.780346", \
"0.311125, 0.326733, 0.354938, 0.40116, 0.476639, 0.604231, 0.845506");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.064485, 0.076279, 0.099323, 0.141444, 0.22108, 0.385868, 0.722486", \
"0.064024, 0.075983, 0.099924, 0.140962, 0.222709, 0.385836, 0.728788", \
"0.063884, 0.075771, 0.099861, 0.140803, 0.222758, 0.385972, 0.728388", \
"0.063788, 0.075995, 0.098522, 0.142978, 0.221436, 0.38345, 0.727523", \
"0.064333, 0.076315, 0.099418, 0.142076, 0.222985, 0.381817, 0.730822", \
"0.068592, 0.080397, 0.103119, 0.143615, 0.223494, 0.386374, 0.725367", \
"0.084518, 0.094563, 0.115657, 0.156531, 0.23372, 0.388951, 0.726997");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.08907, 0.099386, 0.117388, 0.147094, 0.199095, 0.297403, 0.494307", \
"0.092255, 0.102556, 0.120555, 0.150293, 0.202264, 0.300572, 0.497442", \
"0.098661, 0.109013, 0.127025, 0.156666, 0.20865, 0.306888, 0.505248", \
"0.111468, 0.121697, 0.139578, 0.169259, 0.221146, 0.319013, 0.515965", \
"0.13687, 0.14695, 0.164657, 0.194108, 0.245715, 0.343911, 0.54124", \
"0.171547, 0.18271, 0.201828, 0.232913, 0.285618, 0.383657, 0.57983", \
"0.211868, 0.223898, 0.245745, 0.279835, 0.33584, 0.43465, 0.630718");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.0317, 0.040801, 0.058561, 0.092621, 0.161135, 0.305601, 0.600715", \
"0.031517, 0.0406, 0.058641, 0.092466, 0.161146, 0.305921, 0.600893", \
"0.03146, 0.040892, 0.05846, 0.093102, 0.163096, 0.304118, 0.601626", \
"0.031656, 0.040639, 0.058716, 0.092401, 0.160974, 0.307364, 0.60384", \
"0.035004, 0.043646, 0.060351, 0.093771, 0.163591, 0.304303, 0.598005", \
"0.046521, 0.054875, 0.07131, 0.102099, 0.167933, 0.30522, 0.597689", \
"0.065566, 0.073177, 0.089575, 0.119695, 0.182338, 0.313863, 0.604626");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.045308, 0.044163, 0.043108, 0.042456, 0.042185, 0.042063, 0.04194", \
"0.045308, 0.044108, 0.043063, 0.042411, 0.042137, 0.042014, 0.041892", \
"0.045187, 0.044049, 0.042942, 0.042293, 0.042026, 0.041915, 0.041801", \
"0.045054, 0.043896, 0.042778, 0.042134, 0.041886, 0.041786, 0.041683", \
"0.045105, 0.04394, 0.042794, 0.042124, 0.041835, 0.041725, 0.041626", \
"0.046118, 0.044842, 0.043536, 0.042679, 0.042253, 0.042068, 0.041937", \
"0.048917, 0.047457, 0.04581, 0.044594, 0.043886, 0.04351, 0.04329");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.005007, 0.004732, 0.004657, 0.004723, 0.004813, 0.004877, 0.004915", \
"0.004971, 0.004692, 0.004615, 0.004683, 0.004777, 0.004847, 0.004883", \
"0.004925, 0.004659, 0.004569, 0.004631, 0.004731, 0.004806, 0.004847", \
"0.005003, 0.004708, 0.004576, 0.004623, 0.004716, 0.004794, 0.004839", \
"0.005603, 0.005265, 0.004975, 0.004883, 0.004899, 0.004945, 0.004979", \
"0.007633, 0.00707, 0.006411, 0.005966, 0.005735, 0.005627, 0.005582", \
"0.012204, 0.01126, 0.010029, 0.00904, 0.008316, 0.007829, 0.007549");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.044698, 0.043553, 0.042445, 0.041805, 0.041541, 0.041427, 0.041308", \
"0.044648, 0.043499, 0.042386, 0.041745, 0.041487, 0.041378, 0.041262", \
"0.044563, 0.043408, 0.042295, 0.041651, 0.041397, 0.041292, 0.041184", \
"0.044458, 0.043305, 0.042183, 0.041534, 0.041275, 0.041182, 0.041088", \
"0.044595, 0.043437, 0.042291, 0.041591, 0.041296, 0.041183, 0.041087", \
"0.045681, 0.044423, 0.043212, 0.042311, 0.041843, 0.041628, 0.041482", \
"0.048735, 0.047251, 0.045564, 0.044447, 0.043599, 0.043148, 0.042891");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.004427, 0.004191, 0.004162, 0.004258, 0.004365, 0.004439, 0.004478", \
"0.004398, 0.004164, 0.004136, 0.004233, 0.00434, 0.004416, 0.004456", \
"0.004354, 0.004142, 0.004084, 0.004181, 0.004303, 0.004384, 0.004427", \
"0.00446, 0.004212, 0.004111, 0.004177, 0.004288, 0.004373, 0.00442", \
"0.005051, 0.004694, 0.004521, 0.004441, 0.004468, 0.004518, 0.004552", \
"0.007126, 0.006562, 0.005925, 0.005471, 0.005273, 0.005187, 0.005154", \
"0.011669, 0.01058, 0.009383, 0.008524, 0.00772, 0.007355, 0.007083");
}
}
internal_power() {
related_pin : "C";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.040543, 0.039393, 0.03828, 0.037635, 0.037376, 0.037268, 0.037157", \
"0.040489, 0.03934, 0.038229, 0.037588, 0.03733, 0.037222, 0.037114", \
"0.040422, 0.03927, 0.038157, 0.037515, 0.03726, 0.037158, 0.037055", \
"0.040399, 0.039231, 0.038105, 0.037454, 0.037188, 0.037095, 0.037008", \
"0.040806, 0.039641, 0.038479, 0.037754, 0.03741, 0.037266, 0.037156", \
"0.042224, 0.040943, 0.039602, 0.038653, 0.038155, 0.037919, 0.03777", \
"0.046436, 0.044838, 0.042968, 0.041521, 0.040535, 0.040002, 0.039661");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.007281, 0.007093, 0.007117, 0.00724, 0.007355, 0.007427, 0.007463", \
"0.007268, 0.007097, 0.007098, 0.007225, 0.007343, 0.007416, 0.007452", \
"0.007243, 0.00706, 0.007061, 0.007184, 0.007311, 0.007391, 0.007431", \
"0.007371, 0.007153, 0.007095, 0.007185, 0.007304, 0.007387, 0.00743", \
"0.007964, 0.007622, 0.007398, 0.007352, 0.007481, 0.00753, 0.007568", \
"0.010026, 0.009355, 0.008759, 0.008375, 0.008247, 0.008171, 0.008139", \
"0.014578, 0.0135, 0.012308, 0.011365, 0.010725, 0.010305, 0.010063");
}
}
}
}

/* --------------- *
* Design : AND3X8 *
* --------------- */
cell (AND3X8) {
cell_footprint : AND3;
area : 15.894900;
cell_leakage_power : 138.057;
pin(A) {
direction : input;
capacitance : 0.00589042;
rise_capacitance : 0.00588979;
fall_capacitance : 0.00589042;
}
pin(B) {
direction : input;
capacitance : 0.00557952;
rise_capacitance : 0.00557685;
fall_capacitance : 0.00557952;
}
pin(C) {
direction : input;
capacitance : 0.00531327;
rise_capacitance : 0.00531013;
fall_capacitance : 0.00531327;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 1.11731;
function : "((A B) C)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.231748, 0.247811, 0.276942, 0.324764, 0.402227, 0.532943, 0.776578", \
"0.233437, 0.249582, 0.278744, 0.326438, 0.403838, 0.534207, 0.777358", \
"0.236046, 0.252284, 0.281376, 0.329187, 0.406906, 0.536859, 0.781244", \
"0.24096, 0.256894, 0.286201, 0.334076, 0.411419, 0.54204, 0.785756", \
"0.251272, 0.267339, 0.296392, 0.344176, 0.421665, 0.552189, 0.796099", \
"0.27505, 0.290899, 0.319542, 0.367138, 0.444434, 0.575075, 0.818973", \
"0.31427, 0.330768, 0.360573, 0.409697, 0.489612, 0.620792, 0.864316");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.077628, 0.088929, 0.112159, 0.157532, 0.238152, 0.395326, 0.736312", \
"0.077543, 0.089243, 0.112968, 0.157497, 0.238139, 0.398937, 0.740146", \
"0.077715, 0.087943, 0.112535, 0.157671, 0.237464, 0.399097, 0.731691", \
"0.078498, 0.089496, 0.111792, 0.15703, 0.238298, 0.398787, 0.738067", \
"0.07725, 0.088627, 0.11332, 0.156588, 0.236446, 0.398308, 0.735449", \
"0.080109, 0.092055, 0.113936, 0.157902, 0.238503, 0.39922, 0.733664", \
"0.090736, 0.101588, 0.126106, 0.168414, 0.24475, 0.403935, 0.742194");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.112609, 0.123877, 0.143328, 0.175145, 0.229224, 0.328524, 0.525836", \
"0.115649, 0.126885, 0.146331, 0.17822, 0.232083, 0.331515, 0.528895", \
"0.121939, 0.13315, 0.152574, 0.184443, 0.238498, 0.337696, 0.534665", \
"0.134617, 0.145826, 0.165278, 0.197105, 0.251113, 0.350408, 0.547928", \
"0.161251, 0.172183, 0.191462, 0.222971, 0.276702, 0.375818, 0.573151", \
"0.206057, 0.217786, 0.237612, 0.269876, 0.323776, 0.422507, 0.620222", \
"0.262138, 0.275152, 0.297149, 0.332311, 0.389747, 0.489187, 0.685582");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.03985, 0.048632, 0.066885, 0.100952, 0.169583, 0.308226, 0.605604", \
"0.039915, 0.049021, 0.066436, 0.100794, 0.169265, 0.310801, 0.609271", \
"0.039742, 0.04896, 0.066726, 0.100664, 0.168127, 0.311078, 0.608221", \
"0.03954, 0.048988, 0.06635, 0.100297, 0.168447, 0.309118, 0.609945", \
"0.040202, 0.049405, 0.067599, 0.100928, 0.168109, 0.311389, 0.605691", \
"0.051847, 0.059245, 0.07613, 0.107194, 0.172888, 0.313572, 0.602872", \
"0.071919, 0.080701, 0.097526, 0.126576, 0.187086, 0.319854, 0.611292");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.226751, 0.242879, 0.271957, 0.319779, 0.397142, 0.527873, 0.771582", \
"0.228407, 0.244403, 0.273595, 0.321515, 0.398827, 0.529505, 0.773295", \
"0.231669, 0.24774, 0.276796, 0.324617, 0.402219, 0.532621, 0.776018", \
"0.238405, 0.254578, 0.283613, 0.331333, 0.408934, 0.53949, 0.782721", \
"0.253926, 0.269987, 0.299046, 0.346837, 0.424194, 0.554795, 0.798567", \
"0.288061, 0.303968, 0.332795, 0.380119, 0.45746, 0.587862, 0.831376", \
"0.344311, 0.36063, 0.390296, 0.439353, 0.519139, 0.649899, 0.893567");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.077558, 0.089523, 0.112044, 0.157274, 0.238344, 0.396363, 0.735855", \
"0.07877, 0.089682, 0.11232, 0.15657, 0.238324, 0.397591, 0.734767", \
"0.078523, 0.089484, 0.113498, 0.156252, 0.237234, 0.397535, 0.741521", \
"0.077458, 0.088991, 0.113183, 0.156101, 0.237023, 0.396109, 0.739619", \
"0.077613, 0.088992, 0.113477, 0.156018, 0.237982, 0.398854, 0.738194", \
"0.080643, 0.09021, 0.113395, 0.157683, 0.238246, 0.396277, 0.737939", \
"0.093239, 0.103765, 0.126464, 0.167726, 0.245918, 0.404757, 0.7427");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.108328, 0.119432, 0.138734, 0.17041, 0.22385, 0.32296, 0.521612", \
"0.111451, 0.122539, 0.14192, 0.173409, 0.22705, 0.326252, 0.523964", \
"0.117756, 0.128975, 0.148231, 0.179917, 0.233452, 0.332391, 0.530601", \
"0.130498, 0.141688, 0.160868, 0.192413, 0.246149, 0.345161, 0.543635", \
"0.157107, 0.168029, 0.186909, 0.218228, 0.271699, 0.370698, 0.568177", \
"0.200079, 0.21178, 0.231512, 0.263627, 0.317806, 0.416177, 0.614015", \
"0.252532, 0.265543, 0.287754, 0.322801, 0.379857, 0.479447, 0.675163");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.038638, 0.048065, 0.065054, 0.099754, 0.167819, 0.311899, 0.609308", \
"0.03876, 0.048039, 0.065232, 0.099619, 0.168709, 0.307861, 0.602542", \
"0.038496, 0.047576, 0.065237, 0.099758, 0.168416, 0.311918, 0.604371", \
"0.038258, 0.047307, 0.065701, 0.099874, 0.168718, 0.311581, 0.608046", \
"0.039241, 0.048432, 0.066578, 0.100141, 0.168508, 0.308452, 0.609175", \
"0.051624, 0.058917, 0.075706, 0.107561, 0.172695, 0.312159, 0.609438", \
"0.071876, 0.07936, 0.096276, 0.127845, 0.188947, 0.320225, 0.611514");
}
}
timing() {
related_pin : "C";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.21678, 0.23291, 0.262007, 0.309748, 0.38739, 0.517623, 0.761165", \
"0.21797, 0.234119, 0.263121, 0.311204, 0.388524, 0.519142, 0.763041", \
"0.221156, 0.237395, 0.266516, 0.314425, 0.391735, 0.522345, 0.766269", \
"0.22865, 0.244741, 0.273752, 0.321547, 0.399036, 0.529732, 0.773157", \
"0.246472, 0.262809, 0.291622, 0.339538, 0.417012, 0.546804, 0.790614", \
"0.286912, 0.302721, 0.331385, 0.378824, 0.456168, 0.586161, 0.830128", \
"0.353613, 0.369565, 0.398673, 0.447723, 0.526354, 0.657271, 0.900014");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.077435, 0.089014, 0.113188, 0.156885, 0.237823, 0.397842, 0.741336", \
"0.078095, 0.089465, 0.113161, 0.156359, 0.238278, 0.398279, 0.732907", \
"0.077581, 0.088765, 0.111784, 0.156427, 0.23832, 0.398484, 0.731185", \
"0.077604, 0.088958, 0.113374, 0.156264, 0.23665, 0.395849, 0.738774", \
"0.077937, 0.089137, 0.113022, 0.156234, 0.237585, 0.398425, 0.741024", \
"0.080291, 0.090661, 0.114258, 0.158184, 0.239405, 0.399156, 0.737469", \
"0.096731, 0.10616, 0.128802, 0.169487, 0.247086, 0.403816, 0.740697");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.103249, 0.114213, 0.133353, 0.164754, 0.218234, 0.317301, 0.515461", \
"0.106468, 0.117415, 0.136565, 0.167936, 0.22145, 0.320478, 0.518703", \
"0.112864, 0.123828, 0.142961, 0.174427, 0.227859, 0.326891, 0.524734", \
"0.125588, 0.136544, 0.155639, 0.186831, 0.24039, 0.33897, 0.536854", \
"0.152021, 0.162719, 0.181337, 0.212275, 0.265709, 0.364373, 0.56181", \
"0.193012, 0.204466, 0.224369, 0.256345, 0.310064, 0.408626, 0.604898", \
"0.241374, 0.253966, 0.275894, 0.311475, 0.368837, 0.469175, 0.664749");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.037651, 0.04651, 0.064639, 0.098499, 0.167668, 0.308448, 0.60952", \
"0.037614, 0.046524, 0.064499, 0.098566, 0.167725, 0.308864, 0.60942", \
"0.03692, 0.04617, 0.063834, 0.098729, 0.167718, 0.307858, 0.607715", \
"0.036917, 0.046267, 0.064728, 0.099019, 0.166679, 0.311816, 0.606174", \
"0.038469, 0.047199, 0.065147, 0.099741, 0.168346, 0.310468, 0.605076", \
"0.050968, 0.05941, 0.075395, 0.106591, 0.172798, 0.310156, 0.604479", \
"0.071788, 0.079364, 0.095256, 0.125994, 0.188301, 0.317572, 0.607147");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.06577, 0.063874, 0.061613, 0.060054, 0.059271, 0.058898, 0.058628", \
"0.065764, 0.063772, 0.061539, 0.059998, 0.059225, 0.058862, 0.058585", \
"0.065699, 0.063694, 0.061437, 0.059887, 0.059119, 0.05875, 0.0585", \
"0.065517, 0.063519, 0.061267, 0.059715, 0.058954, 0.058611, 0.058372", \
"0.065491, 0.063482, 0.061214, 0.059646, 0.058861, 0.058514, 0.058285", \
"0.066471, 0.06441, 0.062018, 0.060253, 0.059304, 0.058846, 0.058558", \
"0.069914, 0.067617, 0.064758, 0.062467, 0.061117, 0.060336, 0.05987");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.002386, 0.001757, 0.001386, 0.001316, 0.001357, 0.001403, 0.001431", \
"0.002354, 0.00173, 0.001355, 0.00128, 0.001324, 0.001373, 0.001402", \
"0.002331, 0.001709, 0.001293, 0.001228, 0.001284, 0.001342, 0.001379", \
"0.002372, 0.001711, 0.001293, 0.001185, 0.001249, 0.001321, 0.001366", \
"0.003111, 0.002387, 0.001811, 0.001535, 0.001467, 0.001481, 0.001502", \
"0.005607, 0.004721, 0.003616, 0.002834, 0.002412, 0.002205, 0.002106", \
"0.011629, 0.009987, 0.008066, 0.006454, 0.005298, 0.004543, 0.004096");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.065133, 0.063147, 0.060986, 0.059425, 0.058639, 0.058263, 0.057999", \
"0.065152, 0.063095, 0.060936, 0.05937, 0.058588, 0.058214, 0.057956", \
"0.065031, 0.063038, 0.060805, 0.059265, 0.058503, 0.058147, 0.057889", \
"0.064911, 0.06291, 0.060664, 0.059118, 0.058355, 0.058016, 0.057778", \
"0.064962, 0.06295, 0.060684, 0.059108, 0.058315, 0.057965, 0.057736", \
"0.066172, 0.064087, 0.061693, 0.059922, 0.05892, 0.058414, 0.058102", \
"0.069657, 0.067352, 0.064472, 0.062168, 0.060899, 0.060003, 0.059472");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.001742, 0.001183, 0.000869, 0.000844, 0.000908, 0.000966, 0.001003", \
"0.001722, 0.001158, 0.000834, 0.000805, 0.000878, 0.000943, 0.000986", \
"0.001708, 0.001106, 0.000789, 0.00076, 0.000836, 0.000909, 0.000957", \
"0.001793, 0.001196, 0.000805, 0.000729, 0.000818, 0.000901, 0.000951", \
"0.00245, 0.001794, 0.001396, 0.001127, 0.001061, 0.001071, 0.001087", \
"0.005087, 0.004193, 0.00309, 0.002324, 0.001942, 0.001759, 0.001676", \
"0.010901, 0.009303, 0.007584, 0.00599, 0.004691, 0.004086, 0.003638");
}
}
internal_power() {
related_pin : "C";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.061004, 0.05901, 0.056771, 0.05523, 0.054459, 0.0541, 0.053845", \
"0.060955, 0.058971, 0.056744, 0.055182, 0.054407, 0.054044, 0.053798", \
"0.060884, 0.058893, 0.056661, 0.055113, 0.05434, 0.053983, 0.053741", \
"0.060826, 0.058822, 0.056574, 0.055028, 0.054256, 0.053915, 0.053684", \
"0.06106, 0.059047, 0.056793, 0.055193, 0.054362, 0.053994, 0.053763", \
"0.062506, 0.060446, 0.058036, 0.056267, 0.05522, 0.054678, 0.054356", \
"0.067606, 0.065168, 0.062097, 0.059527, 0.057788, 0.056842, 0.056229");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.004549, 0.004033, 0.00378, 0.003809, 0.00391, 0.00398, 0.004022", \
"0.004542, 0.004028, 0.003771, 0.003799, 0.003902, 0.003975, 0.004017", \
"0.004549, 0.004024, 0.00375, 0.003771, 0.003876, 0.003958, 0.004001", \
"0.004632, 0.004078, 0.003767, 0.003752, 0.003858, 0.003946, 0.003995", \
"0.005311, 0.004654, 0.004271, 0.004083, 0.004066, 0.004103, 0.004129", \
"0.007998, 0.006922, 0.0059, 0.005262, 0.004954, 0.004782, 0.004696", \
"0.013783, 0.012163, 0.010281, 0.008773, 0.0077, 0.007001, 0.00659");
}
}
}
}

/* --------------- *
* Design : AND3XL *
* --------------- */
cell (AND3XL) {
cell_footprint : AND3;
area : 6.055200;
cell_leakage_power : 25.3324;
pin(A) {
direction : input;
capacitance : 0.00174562;
rise_capacitance : 0.0017453;
fall_capacitance : 0.00174562;
}
pin(B) {
direction : input;
capacitance : 0.00171781;
rise_capacitance : 0.00171722;
fall_capacitance : 0.00171781;
}
pin(C) {
direction : input;
capacitance : 0.001704;
rise_capacitance : 0.00170324;
fall_capacitance : 0.001704;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.0791453;
function : "((A B) C)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.143594, 0.155859, 0.177741, 0.213766, 0.274492, 0.38461, 0.602026", \
"0.144735, 0.156995, 0.17885, 0.214717, 0.275383, 0.385713, 0.604137", \
"0.146695, 0.159089, 0.18087, 0.216788, 0.277483, 0.387796, 0.606231", \
"0.150987, 0.163185, 0.18502, 0.220944, 0.281659, 0.391703, 0.610292", \
"0.160548, 0.17273, 0.194406, 0.230296, 0.291105, 0.401171, 0.618672", \
"0.174426, 0.187322, 0.21021, 0.247629, 0.309642, 0.420623, 0.638991", \
"0.198924, 0.212547, 0.236524, 0.276193, 0.341266, 0.454564, 0.673175");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.043365, 0.053777, 0.074416, 0.111354, 0.184601, 0.33488, 0.654541", \
"0.043241, 0.053655, 0.074314, 0.111486, 0.185328, 0.335326, 0.656821", \
"0.043462, 0.053966, 0.074271, 0.111264, 0.185216, 0.335181, 0.656607", \
"0.042624, 0.053705, 0.074139, 0.111132, 0.184716, 0.337343, 0.654445", \
"0.044308, 0.054419, 0.074618, 0.111753, 0.184607, 0.336202, 0.652171", \
"0.049637, 0.060483, 0.080563, 0.117323, 0.189509, 0.338157, 0.65522", \
"0.057226, 0.069061, 0.089279, 0.125747, 0.198205, 0.343287, 0.655576");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.076468, 0.084552, 0.098997, 0.123762, 0.168783, 0.255943, 0.430146", \
"0.07941, 0.0875, 0.102015, 0.126722, 0.171802, 0.258811, 0.434083", \
"0.085643, 0.093754, 0.108182, 0.13296, 0.177931, 0.264659, 0.440601", \
"0.09822, 0.106233, 0.120512, 0.145177, 0.190277, 0.277322, 0.452563", \
"0.120483, 0.129029, 0.14359, 0.168516, 0.213603, 0.300557, 0.475713", \
"0.149285, 0.158455, 0.174826, 0.201453, 0.247732, 0.33442, 0.508696", \
"0.184778, 0.195337, 0.213719, 0.243098, 0.291733, 0.37905, 0.553995");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.026034, 0.033729, 0.048946, 0.079569, 0.143166, 0.270829, 0.537678", \
"0.025628, 0.033128, 0.049069, 0.079674, 0.141794, 0.273101, 0.532222", \
"0.025622, 0.033771, 0.049018, 0.079265, 0.142377, 0.272069, 0.536595", \
"0.026012, 0.033868, 0.049298, 0.07991, 0.142057, 0.273076, 0.531816", \
"0.030089, 0.037398, 0.05201, 0.081177, 0.143744, 0.269801, 0.538915", \
"0.038775, 0.045794, 0.059943, 0.088331, 0.146561, 0.272775, 0.539052", \
"0.052111, 0.059332, 0.07459, 0.10238, 0.157086, 0.276392, 0.537018");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.138021, 0.150265, 0.172175, 0.208057, 0.269106, 0.378999, 0.59754", \
"0.139203, 0.151443, 0.173342, 0.209226, 0.270258, 0.380166, 0.598271", \
"0.141783, 0.154001, 0.176004, 0.21179, 0.272784, 0.382943, 0.600624", \
"0.148142, 0.160315, 0.182186, 0.217993, 0.278767, 0.389145, 0.607913", \
"0.162948, 0.175027, 0.196666, 0.232503, 0.293272, 0.403691, 0.62242", \
"0.185883, 0.198661, 0.221406, 0.258891, 0.320897, 0.431667, 0.65018", \
"0.223193, 0.236586, 0.260502, 0.299749, 0.364262, 0.477448, 0.696468");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.042768, 0.053315, 0.074298, 0.111108, 0.184066, 0.337616, 0.652279", \
"0.04266, 0.053287, 0.074293, 0.111168, 0.183094, 0.337046, 0.646977", \
"0.043362, 0.053668, 0.073701, 0.111167, 0.184057, 0.336181, 0.650516", \
"0.042497, 0.053632, 0.074239, 0.111194, 0.185028, 0.337035, 0.655411", \
"0.044081, 0.054695, 0.074719, 0.111662, 0.185313, 0.336725, 0.655824", \
"0.049065, 0.059717, 0.080362, 0.117423, 0.18916, 0.336698, 0.656153", \
"0.058555, 0.069266, 0.089995, 0.126638, 0.198064, 0.343862, 0.656269");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.072234, 0.080177, 0.094442, 0.118829, 0.163752, 0.250696, 0.425962", \
"0.075242, 0.083245, 0.097465, 0.121941, 0.166609, 0.253542, 0.428412", \
"0.081597, 0.089488, 0.103743, 0.12821, 0.173096, 0.260014, 0.435312", \
"0.09402, 0.101861, 0.11593, 0.140392, 0.185113, 0.271853, 0.447392", \
"0.115049, 0.123319, 0.138032, 0.162732, 0.207542, 0.29425, 0.46961", \
"0.140824, 0.150082, 0.166254, 0.192823, 0.238921, 0.325518, 0.499899", \
"0.171066, 0.181062, 0.199456, 0.22925, 0.277646, 0.366241, 0.540715");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.024651, 0.032136, 0.047648, 0.078464, 0.141748, 0.272483, 0.534593", \
"0.024501, 0.032153, 0.047655, 0.078192, 0.142117, 0.268492, 0.53809", \
"0.024616, 0.032308, 0.047834, 0.07854, 0.140914, 0.272489, 0.534539", \
"0.025179, 0.032825, 0.048266, 0.078278, 0.141743, 0.26995, 0.538665", \
"0.029678, 0.036907, 0.051243, 0.080348, 0.142785, 0.270499, 0.538504", \
"0.038201, 0.045397, 0.060682, 0.088533, 0.147197, 0.27315, 0.538394", \
"0.051098, 0.059383, 0.074606, 0.101678, 0.157142, 0.276332, 0.535475");
}
}
timing() {
related_pin : "C";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.128241, 0.140491, 0.162298, 0.198293, 0.259281, 0.369253, 0.587915", \
"0.129154, 0.141374, 0.163222, 0.199181, 0.26017, 0.370092, 0.588586", \
"0.132156, 0.144353, 0.166335, 0.202099, 0.263123, 0.373054, 0.591552", \
"0.139863, 0.152061, 0.173971, 0.209691, 0.270412, 0.380933, 0.59969", \
"0.158194, 0.170382, 0.192082, 0.227915, 0.288582, 0.399, 0.617433", \
"0.186248, 0.198809, 0.22129, 0.258593, 0.320711, 0.431441, 0.649557", \
"0.229396, 0.242753, 0.266202, 0.304881, 0.36899, 0.481839, 0.700195");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.043166, 0.053532, 0.074174, 0.11125, 0.18313, 0.337473, 0.652643", \
"0.043403, 0.053849, 0.073517, 0.110852, 0.183815, 0.337331, 0.650143", \
"0.043314, 0.053716, 0.073625, 0.111164, 0.18321, 0.337208, 0.649466", \
"0.04323, 0.053528, 0.073833, 0.111199, 0.185245, 0.336348, 0.656066", \
"0.044315, 0.054708, 0.074422, 0.111905, 0.185679, 0.33694, 0.652292", \
"0.049925, 0.06033, 0.080499, 0.117433, 0.189733, 0.339168, 0.648646", \
"0.061143, 0.070871, 0.091963, 0.12587, 0.196271, 0.342289, 0.658944");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.067245, 0.075035, 0.089087, 0.113279, 0.15779, 0.244732, 0.421734", \
"0.070338, 0.078148, 0.092192, 0.116458, 0.160946, 0.247898, 0.424675", \
"0.076629, 0.084417, 0.098432, 0.122681, 0.167305, 0.254216, 0.430405", \
"0.088968, 0.096672, 0.110511, 0.134796, 0.179276, 0.266072, 0.440992", \
"0.108049, 0.116273, 0.131045, 0.155933, 0.20029, 0.286835, 0.464172", \
"0.130615, 0.139844, 0.156057, 0.1826, 0.229019, 0.315786, 0.490135", \
"0.154539, 0.165241, 0.183641, 0.213719, 0.263859, 0.352209, 0.52719");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.023821, 0.031353, 0.046993, 0.077735, 0.141314, 0.272152, 0.537907", \
"0.02383, 0.031392, 0.047, 0.077745, 0.141213, 0.272171, 0.538436", \
"0.023753, 0.03143, 0.046989, 0.077289, 0.141694, 0.271261, 0.539395", \
"0.02427, 0.032068, 0.047676, 0.077948, 0.141534, 0.268246, 0.538543", \
"0.029362, 0.036459, 0.051405, 0.08044, 0.141542, 0.272245, 0.533535", \
"0.037618, 0.044713, 0.059423, 0.08807, 0.147129, 0.272899, 0.533021", \
"0.052764, 0.060518, 0.075284, 0.102423, 0.15891, 0.277084, 0.539995");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003205, 0.003179, 0.003162, 0.003161, 0.003162, 0.003156, 0.003143", \
"0.003185, 0.003159, 0.003142, 0.00314, 0.003141, 0.003135, 0.003122", \
"0.003152, 0.003126, 0.003108, 0.003106, 0.003107, 0.003103, 0.003091", \
"0.003122, 0.003094, 0.003075, 0.003071, 0.003073, 0.00307, 0.00306", \
"0.00315, 0.003117, 0.00309, 0.003077, 0.003075, 0.003073, 0.003063", \
"0.003339, 0.003295, 0.003252, 0.003226, 0.003215, 0.003209, 0.0032", \
"0.003944, 0.003881, 0.003812, 0.003762, 0.003734, 0.003716, 0.003701");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003383, 0.003387, 0.003397, 0.003406, 0.003411, 0.003412, 0.003412", \
"0.00337, 0.003374, 0.003383, 0.003393, 0.003398, 0.0034, 0.0034", \
"0.003355, 0.003356, 0.003366, 0.003376, 0.003383, 0.003386, 0.003386", \
"0.003361, 0.00336, 0.003369, 0.003376, 0.003383, 0.003386, 0.003386", \
"0.003459, 0.003435, 0.003434, 0.003433, 0.003435, 0.003436, 0.003436", \
"0.003752, 0.003722, 0.003691, 0.003667, 0.003653, 0.003644, 0.003638", \
"0.004535, 0.004478, 0.004416, 0.004357, 0.004302, 0.004264, 0.004237");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003007, 0.00298, 0.002963, 0.002962, 0.002968, 0.002969, 0.002963", \
"0.002988, 0.002961, 0.002944, 0.002943, 0.002949, 0.002951, 0.002945", \
"0.002961, 0.002934, 0.002916, 0.002915, 0.002921, 0.002924, 0.002919", \
"0.002941, 0.002914, 0.002894, 0.002891, 0.002897, 0.002901, 0.002898", \
"0.002997, 0.002965, 0.002937, 0.002924, 0.002923, 0.002925, 0.00292", \
"0.003221, 0.003176, 0.00313, 0.003101, 0.003089, 0.003085, 0.003079", \
"0.003828, 0.003764, 0.003703, 0.003642, 0.003605, 0.003584, 0.00357");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003222, 0.00323, 0.003246, 0.003262, 0.003273, 0.00328, 0.003283", \
"0.003211, 0.003219, 0.003235, 0.003252, 0.003264, 0.003271, 0.003274", \
"0.0032, 0.003205, 0.00322, 0.003237, 0.003251, 0.003258, 0.003262", \
"0.003216, 0.003217, 0.003225, 0.003238, 0.00325, 0.003258, 0.003262", \
"0.003303, 0.003292, 0.003286, 0.003294, 0.003302, 0.003308, 0.003312", \
"0.003607, 0.003578, 0.003556, 0.003531, 0.003523, 0.00352, 0.003519", \
"0.004391, 0.004349, 0.004282, 0.004218, 0.00417, 0.004135, 0.004118");
}
}
internal_power() {
related_pin : "C";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.001625, 0.001598, 0.001581, 0.00158, 0.001586, 0.001588, 0.001583", \
"0.001609, 0.001583, 0.001565, 0.001564, 0.001569, 0.001572, 0.001567", \
"0.001592, 0.001565, 0.001547, 0.001545, 0.00155, 0.001554, 0.00155", \
"0.001596, 0.001568, 0.001548, 0.001544, 0.001549, 0.001553, 0.00155", \
"0.001695, 0.001662, 0.001632, 0.001618, 0.001616, 0.001618, 0.001615", \
"0.002011, 0.001961, 0.001911, 0.001875, 0.001855, 0.001849, 0.001842", \
"0.002758, 0.002687, 0.002616, 0.002549, 0.002495, 0.002469, 0.002449");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.004291, 0.004304, 0.004322, 0.004339, 0.00435, 0.004355, 0.004358", \
"0.004282, 0.004294, 0.004313, 0.00433, 0.004342, 0.004348, 0.00435", \
"0.004273, 0.004282, 0.0043, 0.004318, 0.004331, 0.004338, 0.004341", \
"0.004284, 0.004288, 0.004305, 0.00432, 0.004333, 0.004341, 0.004345", \
"0.004384, 0.004375, 0.004369, 0.004373, 0.004389, 0.004396, 0.004399", \
"0.004682, 0.004656, 0.004632, 0.004617, 0.004608, 0.004606, 0.004606", \
"0.005496, 0.005441, 0.005376, 0.005314, 0.005262, 0.005238, 0.005224");
}
}
}
}

/* --------------- *
* Design : AND4X1 *
* --------------- */
cell (AND4X1) {
cell_footprint : AND4;
area : 6.812100;
cell_leakage_power : 26.0087;
pin(A) {
direction : input;
capacitance : 0.0017518;
rise_capacitance : 0.00175152;
fall_capacitance : 0.0017518;
}
pin(B) {
direction : input;
capacitance : 0.00178392;
rise_capacitance : 0.00178331;
fall_capacitance : 0.00178392;
}
pin(C) {
direction : input;
capacitance : 0.0016774;
rise_capacitance : 0.00167671;
fall_capacitance : 0.0016774;
}
pin(D) {
direction : input;
capacitance : 0.00160363;
rise_capacitance : 0.00160266;
fall_capacitance : 0.00160363;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.141041;
function : "(((A B) C) D)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.232976, 0.249149, 0.277969, 0.324815, 0.401031, 0.530447, 0.773373", \
"0.233647, 0.249936, 0.278667, 0.325795, 0.402306, 0.53113, 0.773352", \
"0.235351, 0.251546, 0.280285, 0.327185, 0.403606, 0.532686, 0.775382", \
"0.237838, 0.254042, 0.282682, 0.329907, 0.405925, 0.535363, 0.778023", \
"0.243423, 0.259692, 0.288534, 0.335419, 0.411704, 0.540669, 0.782945", \
"0.256955, 0.273109, 0.301929, 0.348582, 0.425256, 0.554353, 0.796508", \
"0.280157, 0.296981, 0.327233, 0.376418, 0.455324, 0.586934, 0.830336");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.066833, 0.07957, 0.103434, 0.147247, 0.228165, 0.389307, 0.724224", \
"0.066429, 0.079345, 0.104057, 0.148331, 0.228396, 0.389438, 0.732875", \
"0.066733, 0.079319, 0.103884, 0.14672, 0.22878, 0.390196, 0.730855", \
"0.066754, 0.079463, 0.103541, 0.147948, 0.227928, 0.386772, 0.728976", \
"0.064992, 0.079278, 0.103208, 0.146375, 0.228009, 0.388225, 0.73183", \
"0.070061, 0.081378, 0.105557, 0.148843, 0.2297, 0.388765, 0.732019", \
"0.077764, 0.089995, 0.116418, 0.158345, 0.237587, 0.397332, 0.734253");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.094578, 0.104788, 0.12247, 0.151926, 0.203545, 0.301524, 0.498808", \
"0.0976, 0.107723, 0.125502, 0.154926, 0.20672, 0.304922, 0.500431", \
"0.103781, 0.113965, 0.131671, 0.161086, 0.212869, 0.310983, 0.507403", \
"0.116433, 0.126479, 0.144179, 0.173554, 0.225267, 0.323422, 0.520283", \
"0.142158, 0.152043, 0.169453, 0.198645, 0.250278, 0.348074, 0.54544", \
"0.178989, 0.190037, 0.208898, 0.239617, 0.291983, 0.3897, 0.58551", \
"0.222915, 0.235643, 0.256788, 0.290278, 0.345607, 0.443876, 0.639254");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.03182, 0.040725, 0.057754, 0.092115, 0.161683, 0.30588, 0.599028", \
"0.032011, 0.040673, 0.058423, 0.092222, 0.16129, 0.304144, 0.602749", \
"0.03159, 0.040935, 0.058009, 0.092429, 0.160264, 0.306003, 0.598502", \
"0.031265, 0.040232, 0.058432, 0.091454, 0.162084, 0.303505, 0.601953", \
"0.033524, 0.042041, 0.059303, 0.092975, 0.161378, 0.306485, 0.597394", \
"0.043868, 0.052807, 0.06935, 0.099752, 0.165795, 0.302897, 0.602999", \
"0.059499, 0.067693, 0.085539, 0.116394, 0.176728, 0.311109, 0.603238");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.228321, 0.244491, 0.273225, 0.320295, 0.396403, 0.525825, 0.768648", \
"0.229336, 0.245493, 0.274291, 0.3212, 0.397427, 0.526895, 0.769765", \
"0.231183, 0.247334, 0.276114, 0.322978, 0.399203, 0.528756, 0.771592", \
"0.234597, 0.250699, 0.279417, 0.326661, 0.402651, 0.532135, 0.774805", \
"0.243258, 0.259569, 0.288571, 0.335133, 0.411602, 0.540441, 0.782657", \
"0.265253, 0.281357, 0.31008, 0.356745, 0.433301, 0.562572, 0.804883", \
"0.302639, 0.319501, 0.349376, 0.398377, 0.477465, 0.608307, 0.85181");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.066888, 0.079569, 0.10383, 0.147091, 0.228843, 0.389998, 0.727088", \
"0.066788, 0.079438, 0.103731, 0.147225, 0.22881, 0.389834, 0.725728", \
"0.066511, 0.079222, 0.103625, 0.146648, 0.228621, 0.389732, 0.725821", \
"0.066265, 0.078746, 0.1033, 0.147688, 0.227871, 0.386939, 0.729231", \
"0.066344, 0.077819, 0.103712, 0.146464, 0.228137, 0.388772, 0.732154", \
"0.071015, 0.082774, 0.104924, 0.14797, 0.229582, 0.390293, 0.732185", \
"0.078154, 0.091142, 0.116867, 0.159093, 0.238834, 0.39382, 0.728092");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.091858, 0.101853, 0.119365, 0.148414, 0.199859, 0.297545, 0.494346", \
"0.094932, 0.104912, 0.12236, 0.151568, 0.202976, 0.300889, 0.496235", \
"0.101224, 0.111224, 0.128692, 0.157735, 0.209174, 0.307087, 0.502933", \
"0.113845, 0.123734, 0.141098, 0.170146, 0.221657, 0.319607, 0.515", \
"0.138956, 0.148759, 0.166014, 0.194991, 0.246176, 0.343861, 0.541016", \
"0.173935, 0.184749, 0.203576, 0.234153, 0.286334, 0.383812, 0.580354", \
"0.213959, 0.226614, 0.247746, 0.281235, 0.336444, 0.434407, 0.629794");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.030302, 0.039512, 0.057248, 0.091076, 0.159143, 0.305615, 0.596367", \
"0.030602, 0.039564, 0.056885, 0.09032, 0.160457, 0.303098, 0.600009", \
"0.030882, 0.039354, 0.05723, 0.091153, 0.160809, 0.301851, 0.60169", \
"0.030562, 0.039399, 0.057016, 0.09129, 0.160443, 0.303178, 0.599867", \
"0.032873, 0.041616, 0.058309, 0.09139, 0.160901, 0.305069, 0.60095", \
"0.042993, 0.051823, 0.067886, 0.099457, 0.165472, 0.306259, 0.599737", \
"0.059049, 0.067601, 0.084733, 0.116026, 0.175999, 0.310017, 0.603882");
}
}
timing() {
related_pin : "C";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.214487, 0.230764, 0.259625, 0.306396, 0.382896, 0.512167, 0.754912", \
"0.215579, 0.231666, 0.260396, 0.307518, 0.383728, 0.512697, 0.755398", \
"0.21748, 0.233674, 0.262451, 0.309386, 0.385865, 0.515083, 0.75789", \
"0.2225, 0.238665, 0.267392, 0.314472, 0.390779, 0.52052, 0.763077", \
"0.236211, 0.252403, 0.281433, 0.327977, 0.404401, 0.533315, 0.775606", \
"0.267319, 0.283819, 0.312363, 0.358923, 0.435642, 0.564183, 0.806877", \
"0.318778, 0.335436, 0.365142, 0.413914, 0.492592, 0.623278, 0.866471");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.066369, 0.078653, 0.104262, 0.148131, 0.228063, 0.386348, 0.728506", \
"0.06675, 0.078353, 0.10392, 0.146534, 0.228813, 0.390058, 0.731732", \
"0.066747, 0.07855, 0.103967, 0.148203, 0.227872, 0.386823, 0.727591", \
"0.066717, 0.079044, 0.103783, 0.148178, 0.227521, 0.388834, 0.724316", \
"0.065853, 0.077844, 0.103581, 0.146246, 0.228136, 0.388475, 0.731861", \
"0.069758, 0.083273, 0.105587, 0.148565, 0.229944, 0.389938, 0.732211", \
"0.079135, 0.091473, 0.116541, 0.159555, 0.237888, 0.396809, 0.733465");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.0863, 0.096181, 0.113335, 0.141929, 0.193015, 0.290619, 0.486415", \
"0.089529, 0.09931, 0.11661, 0.145238, 0.196062, 0.293493, 0.49049", \
"0.095968, 0.105702, 0.12287, 0.151594, 0.202682, 0.300345, 0.495642", \
"0.108751, 0.118465, 0.135552, 0.16409, 0.215229, 0.312914, 0.508425", \
"0.133154, 0.142871, 0.160012, 0.188638, 0.239474, 0.337226, 0.53279", \
"0.165234, 0.176217, 0.19494, 0.225333, 0.277301, 0.374525, 0.569456", \
"0.201016, 0.213685, 0.234664, 0.268303, 0.323342, 0.421089, 0.6162");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.029394, 0.038473, 0.055449, 0.090022, 0.159976, 0.300643, 0.600541", \
"0.029465, 0.038322, 0.055813, 0.08965, 0.159765, 0.303499, 0.601711", \
"0.029291, 0.03809, 0.055853, 0.089967, 0.159385, 0.302777, 0.597353", \
"0.029227, 0.038589, 0.055546, 0.090038, 0.159765, 0.302811, 0.595545", \
"0.032522, 0.040975, 0.057633, 0.090902, 0.159175, 0.303113, 0.595259", \
"0.042985, 0.051966, 0.068336, 0.099161, 0.163081, 0.303994, 0.601853", \
"0.058822, 0.067246, 0.084046, 0.115829, 0.175427, 0.308997, 0.601318");
}
}
timing() {
related_pin : "D";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.198297, 0.214449, 0.243487, 0.290125, 0.366677, 0.495856, 0.738453", \
"0.199018, 0.215131, 0.243917, 0.291057, 0.367143, 0.496541, 0.739559", \
"0.201295, 0.217429, 0.246196, 0.293264, 0.369353, 0.498859, 0.741719", \
"0.207332, 0.223464, 0.252205, 0.299422, 0.375627, 0.504524, 0.747137", \
"0.223867, 0.239928, 0.268922, 0.315679, 0.391594, 0.521003, 0.763786", \
"0.260729, 0.276807, 0.305396, 0.352199, 0.428171, 0.557522, 0.800272", \
"0.319032, 0.335211, 0.364204, 0.412943, 0.491411, 0.622278, 0.865036");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.066343, 0.078424, 0.10393, 0.147825, 0.227909, 0.387252, 0.729757", \
"0.066239, 0.078738, 0.103719, 0.146623, 0.228175, 0.389769, 0.725178", \
"0.066132, 0.078764, 0.103694, 0.146389, 0.228437, 0.389796, 0.727764", \
"0.065817, 0.078416, 0.103284, 0.147609, 0.227846, 0.389438, 0.732228", \
"0.066099, 0.078816, 0.103853, 0.146316, 0.22796, 0.386501, 0.727981", \
"0.069389, 0.082179, 0.105252, 0.149188, 0.229442, 0.390856, 0.730181", \
"0.080966, 0.092142, 0.116277, 0.160865, 0.238678, 0.396307, 0.729521");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.08105, 0.090695, 0.107706, 0.136083, 0.186935, 0.283983, 0.482143", \
"0.084217, 0.093859, 0.110845, 0.139434, 0.190041, 0.287094, 0.485385", \
"0.090689, 0.100291, 0.117248, 0.145897, 0.19651, 0.294113, 0.49195", \
"0.103504, 0.113031, 0.129853, 0.15833, 0.209019, 0.306493, 0.504311", \
"0.126986, 0.136732, 0.153726, 0.182131, 0.233067, 0.330406, 0.527664", \
"0.156321, 0.167188, 0.18581, 0.216292, 0.268505, 0.364685, 0.560905", \
"0.18821, 0.200308, 0.221463, 0.255074, 0.310414, 0.409113, 0.603354");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.028505, 0.037048, 0.054858, 0.089144, 0.158023, 0.304039, 0.602051", \
"0.028263, 0.037183, 0.054859, 0.088364, 0.159399, 0.303962, 0.601112", \
"0.028257, 0.037023, 0.054904, 0.08856, 0.159438, 0.301173, 0.599939", \
"0.028459, 0.037464, 0.055027, 0.089354, 0.15872, 0.300858, 0.602072", \
"0.032095, 0.040637, 0.057628, 0.090514, 0.158458, 0.304354, 0.602678", \
"0.042603, 0.050739, 0.06708, 0.098489, 0.163238, 0.305125, 0.602191", \
"0.059006, 0.068175, 0.085117, 0.115018, 0.17772, 0.309769, 0.601903");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.007035, 0.006866, 0.006692, 0.006578, 0.006521, 0.006488, 0.006455", \
"0.007013, 0.006852, 0.006675, 0.006559, 0.006503, 0.00647, 0.006438", \
"0.00699, 0.00682, 0.006646, 0.00653, 0.006472, 0.00644, 0.006408", \
"0.006948, 0.006778, 0.006601, 0.006485, 0.006427, 0.006397, 0.006369", \
"0.006921, 0.006749, 0.006572, 0.006453, 0.006392, 0.006363, 0.006337", \
"0.007086, 0.006898, 0.006697, 0.006551, 0.006472, 0.006431, 0.006399", \
"0.007659, 0.007435, 0.007214, 0.007013, 0.006886, 0.006812, 0.006762");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.003307, 0.003287, 0.003289, 0.003303, 0.003316, 0.003324, 0.003328", \
"0.003294, 0.003276, 0.003277, 0.003292, 0.003306, 0.003314, 0.003318", \
"0.003282, 0.003261, 0.003262, 0.003277, 0.003292, 0.003301, 0.003305", \
"0.003286, 0.003263, 0.003256, 0.003268, 0.003283, 0.003294, 0.003299", \
"0.003388, 0.003345, 0.003317, 0.00331, 0.003315, 0.003322, 0.003326", \
"0.003754, 0.003684, 0.003604, 0.003536, 0.003505, 0.003488, 0.003479", \
"0.004657, 0.004519, 0.004375, 0.004232, 0.00412, 0.004039, 0.003989");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.006921, 0.006752, 0.006578, 0.006462, 0.006405, 0.006375, 0.006348", \
"0.006903, 0.006734, 0.006561, 0.006445, 0.006388, 0.006359, 0.006332", \
"0.006875, 0.006704, 0.006529, 0.006415, 0.00636, 0.006331, 0.006305", \
"0.006838, 0.006667, 0.006491, 0.006375, 0.006319, 0.006293, 0.006269", \
"0.006824, 0.006652, 0.006474, 0.006356, 0.006296, 0.006269, 0.006247", \
"0.007023, 0.006835, 0.006632, 0.006483, 0.006401, 0.006359, 0.006328", \
"0.007629, 0.007413, 0.007155, 0.006952, 0.006816, 0.006741, 0.006691");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.003106, 0.003094, 0.003102, 0.003123, 0.003143, 0.003155, 0.003162", \
"0.003096, 0.003082, 0.003091, 0.003113, 0.003134, 0.003147, 0.003153", \
"0.003081, 0.00307, 0.003076, 0.003098, 0.00312, 0.003134, 0.003141", \
"0.003088, 0.00307, 0.003071, 0.00309, 0.003111, 0.003126, 0.003134", \
"0.003197, 0.003159, 0.003135, 0.003134, 0.003145, 0.003157, 0.003164", \
"0.003575, 0.003494, 0.003411, 0.003351, 0.00333, 0.003319, 0.003315", \
"0.004448, 0.004314, 0.004175, 0.004038, 0.003932, 0.003857, 0.003813");
}
}
internal_power() {
related_pin : "C";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.00672, 0.006549, 0.006373, 0.006257, 0.006201, 0.006172, 0.006146", \
"0.006708, 0.006529, 0.006364, 0.006247, 0.006188, 0.006159, 0.006132", \
"0.006684, 0.006512, 0.006335, 0.006219, 0.006163, 0.006135, 0.006109", \
"0.006652, 0.006481, 0.006304, 0.006188, 0.006131, 0.006106, 0.006083", \
"0.006665, 0.006492, 0.006314, 0.006193, 0.006132, 0.006105, 0.006083", \
"0.00689, 0.006721, 0.006518, 0.006367, 0.006279, 0.006232, 0.006199", \
"0.007544, 0.007326, 0.007064, 0.006856, 0.006716, 0.006634, 0.006582");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.002901, 0.002895, 0.002912, 0.002936, 0.002957, 0.00297, 0.002976", \
"0.002896, 0.002893, 0.002908, 0.002933, 0.002954, 0.002967, 0.002973", \
"0.002893, 0.002886, 0.002898, 0.002925, 0.002947, 0.002961, 0.002968", \
"0.002914, 0.002901, 0.002904, 0.002925, 0.002946, 0.002961, 0.002968", \
"0.003029, 0.002993, 0.002971, 0.002977, 0.002986, 0.003001, 0.003007", \
"0.003389, 0.00333, 0.003254, 0.003192, 0.003173, 0.003165, 0.003162", \
"0.004269, 0.004134, 0.003978, 0.003863, 0.00376, 0.003693, 0.003653");
}
}
internal_power() {
related_pin : "D";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.005345, 0.005175, 0.005, 0.004884, 0.004829, 0.004801, 0.004778", \
"0.005332, 0.005161, 0.004987, 0.004873, 0.004818, 0.00479, 0.004766", \
"0.005315, 0.005144, 0.004969, 0.004854, 0.004799, 0.004772, 0.004749", \
"0.005308, 0.005137, 0.00496, 0.004844, 0.004786, 0.004761, 0.00474", \
"0.005373, 0.005201, 0.005023, 0.0049, 0.004835, 0.004805, 0.004782", \
"0.005662, 0.005477, 0.005274, 0.005125, 0.005035, 0.004987, 0.004955", \
"0.006462, 0.006234, 0.005973, 0.005764, 0.005605, 0.005513, 0.005449");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.003936, 0.003939, 0.00396, 0.003987, 0.004008, 0.00402, 0.004025", \
"0.003934, 0.003934, 0.003957, 0.003985, 0.004006, 0.004018, 0.004024", \
"0.003931, 0.003931, 0.003949, 0.003979, 0.004002, 0.004015, 0.004021", \
"0.003957, 0.003949, 0.003958, 0.003982, 0.004004, 0.004019, 0.004026", \
"0.004086, 0.004053, 0.004029, 0.004032, 0.004044, 0.004058, 0.004065", \
"0.004442, 0.004366, 0.004299, 0.004246, 0.00423, 0.004222, 0.004222", \
"0.00528, 0.005142, 0.005031, 0.004897, 0.004804, 0.004736, 0.004706");
}
}
}
}

/* --------------- *
* Design : AND4X2 *
* --------------- */
cell (AND4X2) {
cell_footprint : AND4;
area : 7.569000;
cell_leakage_power : 38.2989;
pin(A) {
direction : input;
capacitance : 0.00176294;
rise_capacitance : 0.00176263;
fall_capacitance : 0.00176294;
}
pin(B) {
direction : input;
capacitance : 0.00168208;
rise_capacitance : 0.00168135;
fall_capacitance : 0.00168208;
}
pin(C) {
direction : input;
capacitance : 0.00163409;
rise_capacitance : 0.00163291;
fall_capacitance : 0.00163409;
}
pin(D) {
direction : input;
capacitance : 0.00160978;
rise_capacitance : 0.00160912;
fall_capacitance : 0.00160978;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.270597;
function : "(((A B) C) D)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.309825, 0.327523, 0.35953, 0.412376, 0.497523, 0.636983, 0.886008", \
"0.311093, 0.328799, 0.360531, 0.413663, 0.498819, 0.638017, 0.886912", \
"0.313008, 0.33084, 0.362799, 0.415434, 0.500528, 0.640233, 0.88893", \
"0.31582, 0.33342, 0.36534, 0.417934, 0.503456, 0.642632, 0.891966", \
"0.321013, 0.338652, 0.370457, 0.423057, 0.50831, 0.64759, 0.897699", \
"0.335007, 0.352505, 0.384223, 0.437041, 0.521899, 0.660828, 0.91043", \
"0.364412, 0.382139, 0.41491, 0.468294, 0.554566, 0.695055, 0.944612");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.096174, 0.108066, 0.13165, 0.178364, 0.26213, 0.418028, 0.755418", \
"0.096323, 0.10816, 0.133051, 0.178247, 0.262865, 0.417302, 0.754044", \
"0.094799, 0.107402, 0.132591, 0.178818, 0.260521, 0.420537, 0.754372", \
"0.094549, 0.107617, 0.131883, 0.178431, 0.262212, 0.418598, 0.754378", \
"0.093993, 0.106569, 0.131306, 0.178309, 0.26174, 0.421677, 0.75197", \
"0.094431, 0.106355, 0.13212, 0.177763, 0.261783, 0.420206, 0.749994", \
"0.104445, 0.116911, 0.14134, 0.186062, 0.269324, 0.422114, 0.75024");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.119025, 0.130613, 0.150557, 0.183061, 0.237574, 0.336757, 0.534106", \
"0.122017, 0.133623, 0.153591, 0.186117, 0.240632, 0.339801, 0.537234", \
"0.128158, 0.139826, 0.159779, 0.1922, 0.246777, 0.345933, 0.543268", \
"0.140725, 0.152321, 0.172188, 0.204685, 0.259176, 0.358359, 0.555776", \
"0.167226, 0.178728, 0.198427, 0.23077, 0.284893, 0.383831, 0.580663", \
"0.213032, 0.225262, 0.245741, 0.278527, 0.332622, 0.431327, 0.627614", \
"0.270978, 0.284525, 0.307042, 0.342879, 0.401093, 0.501146, 0.696683");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.040452, 0.049186, 0.067771, 0.10119, 0.169404, 0.311323, 0.600534", \
"0.040426, 0.049802, 0.067119, 0.101844, 0.169262, 0.310791, 0.603003", \
"0.040315, 0.049762, 0.067161, 0.101859, 0.169345, 0.311252, 0.600678", \
"0.039791, 0.049615, 0.067819, 0.10184, 0.169358, 0.310991, 0.602002", \
"0.040287, 0.049485, 0.06809, 0.102399, 0.169218, 0.310187, 0.60163", \
"0.050551, 0.058478, 0.07565, 0.10715, 0.171191, 0.309799, 0.606663", \
"0.070796, 0.079821, 0.096865, 0.127234, 0.186267, 0.318438, 0.604181");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.302868, 0.320683, 0.352517, 0.405398, 0.490274, 0.629703, 0.879669", \
"0.304233, 0.32189, 0.353916, 0.406508, 0.491926, 0.631376, 0.880581", \
"0.306312, 0.324029, 0.356097, 0.408853, 0.493772, 0.633277, 0.883185", \
"0.31007, 0.32765, 0.359568, 0.412152, 0.49764, 0.63695, 0.886321", \
"0.318298, 0.3361, 0.368151, 0.421006, 0.506193, 0.646012, 0.894738", \
"0.341065, 0.358662, 0.390605, 0.44316, 0.527932, 0.666855, 0.916865", \
"0.38639, 0.404314, 0.436807, 0.490925, 0.576618, 0.716604, 0.966474");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.094695, 0.107281, 0.13168, 0.178271, 0.262082, 0.421357, 0.748552", \
"0.095991, 0.107967, 0.132522, 0.179241, 0.261881, 0.417669, 0.755227", \
"0.095673, 0.106589, 0.1315, 0.177888, 0.260223, 0.421672, 0.752077", \
"0.094478, 0.107703, 0.13265, 0.177901, 0.262526, 0.420122, 0.751771", \
"0.09452, 0.106263, 0.130719, 0.17777, 0.260237, 0.419224, 0.754418", \
"0.093832, 0.106278, 0.131799, 0.178875, 0.262781, 0.422051, 0.752291", \
"0.10503, 0.116659, 0.141878, 0.18624, 0.266558, 0.42324, 0.747339");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.114987, 0.126464, 0.146096, 0.17832, 0.232354, 0.331534, 0.528824", \
"0.117963, 0.129506, 0.149243, 0.181361, 0.235575, 0.334159, 0.53109", \
"0.124281, 0.135697, 0.155492, 0.187707, 0.24186, 0.340815, 0.538043", \
"0.136967, 0.148357, 0.168066, 0.200221, 0.254716, 0.353374, 0.550649", \
"0.163187, 0.174528, 0.194029, 0.225954, 0.27992, 0.378571, 0.57485", \
"0.208084, 0.220012, 0.24018, 0.272836, 0.327074, 0.425431, 0.621112", \
"0.262205, 0.275616, 0.298277, 0.334075, 0.392156, 0.49228, 0.68698");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.038754, 0.048264, 0.066488, 0.099959, 0.167891, 0.308599, 0.605087", \
"0.039122, 0.048217, 0.06654, 0.100531, 0.167178, 0.310004, 0.59701", \
"0.039269, 0.04852, 0.066507, 0.0999, 0.168099, 0.309677, 0.603095", \
"0.03841, 0.048291, 0.066322, 0.100127, 0.168303, 0.308982, 0.604045", \
"0.039221, 0.048857, 0.066971, 0.100847, 0.16749, 0.30891, 0.600607", \
"0.050035, 0.058906, 0.074705, 0.10667, 0.17089, 0.309479, 0.603741", \
"0.0697, 0.079063, 0.096993, 0.126935, 0.185968, 0.316633, 0.599871");
}
}
timing() {
related_pin : "C";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.291204, 0.308876, 0.341111, 0.393814, 0.479006, 0.618371, 0.867402", \
"0.292404, 0.310076, 0.342352, 0.394932, 0.480042, 0.619631, 0.868406", \
"0.294731, 0.312191, 0.344299, 0.397006, 0.482148, 0.621396, 0.871459", \
"0.299832, 0.317421, 0.349533, 0.402351, 0.487229, 0.626819, 0.876401", \
"0.313033, 0.330869, 0.362825, 0.41536, 0.500631, 0.639995, 0.889839", \
"0.345411, 0.363223, 0.394965, 0.447482, 0.532374, 0.672161, 0.920704", \
"0.406442, 0.424293, 0.456765, 0.509965, 0.59572, 0.734951, 0.985116");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.094739, 0.107207, 0.132973, 0.178374, 0.260022, 0.421592, 0.754784", \
"0.094682, 0.107224, 0.132862, 0.178546, 0.260045, 0.420866, 0.754308", \
"0.095833, 0.10689, 0.132451, 0.178118, 0.26248, 0.421661, 0.750664", \
"0.095745, 0.107503, 0.131172, 0.177601, 0.261379, 0.421261, 0.754006", \
"0.094338, 0.106759, 0.131657, 0.178082, 0.262239, 0.420293, 0.747061", \
"0.094338, 0.106515, 0.132068, 0.177249, 0.262567, 0.419642, 0.75454", \
"0.105416, 0.117113, 0.141417, 0.186225, 0.267369, 0.424421, 0.753457");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.10974, 0.121173, 0.140723, 0.172623, 0.226315, 0.324954, 0.52183", \
"0.112899, 0.124284, 0.143914, 0.175795, 0.229593, 0.328226, 0.524516", \
"0.11939, 0.130787, 0.150317, 0.182237, 0.235942, 0.33452, 0.530327", \
"0.132215, 0.143447, 0.163131, 0.194874, 0.248659, 0.347255, 0.544343", \
"0.158515, 0.169671, 0.188863, 0.220364, 0.274128, 0.372603, 0.569689", \
"0.201426, 0.213151, 0.233555, 0.266003, 0.320116, 0.418353, 0.614325", \
"0.252102, 0.265544, 0.288281, 0.323977, 0.381803, 0.481511, 0.675894");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.037759, 0.046986, 0.065231, 0.099502, 0.165659, 0.309406, 0.600498", \
"0.037848, 0.047347, 0.064723, 0.098906, 0.165928, 0.306431, 0.604125", \
"0.037533, 0.047165, 0.064635, 0.099354, 0.166416, 0.307915, 0.601895", \
"0.037191, 0.046792, 0.065291, 0.098991, 0.167596, 0.309234, 0.602586", \
"0.038333, 0.047584, 0.06613, 0.099304, 0.167895, 0.30886, 0.604217", \
"0.05016, 0.058254, 0.074705, 0.107129, 0.171464, 0.310276, 0.597862", \
"0.069921, 0.077878, 0.095941, 0.127429, 0.184781, 0.315779, 0.60013");
}
}
timing() {
related_pin : "D";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.276528, 0.294335, 0.32627, 0.378983, 0.464115, 0.603386, 0.853437", \
"0.277618, 0.295337, 0.327234, 0.37985, 0.465272, 0.604811, 0.85362", \
"0.27991, 0.297498, 0.329396, 0.382017, 0.467536, 0.607287, 0.856064", \
"0.285636, 0.303302, 0.335161, 0.387777, 0.47325, 0.612782, 0.862228", \
"0.300498, 0.318334, 0.350345, 0.403147, 0.488156, 0.627952, 0.876885", \
"0.337356, 0.355254, 0.387283, 0.439641, 0.524227, 0.663018, 0.912946", \
"0.408854, 0.426392, 0.458658, 0.511657, 0.59705, 0.737645, 0.985901");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.094986, 0.106636, 0.13209, 0.178143, 0.262486, 0.421577, 0.75009", \
"0.094461, 0.107079, 0.13199, 0.178715, 0.261613, 0.419795, 0.753413", \
"0.09432, 0.107563, 0.131609, 0.17822, 0.26218, 0.418422, 0.751307", \
"0.094078, 0.106739, 0.1316, 0.17837, 0.261954, 0.416988, 0.746513", \
"0.094319, 0.105832, 0.130726, 0.177868, 0.260146, 0.418282, 0.75433", \
"0.093177, 0.106576, 0.130926, 0.178917, 0.262775, 0.422317, 0.751799", \
"0.107351, 0.118971, 0.143181, 0.186104, 0.269065, 0.424536, 0.747781");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.10501, 0.116159, 0.135556, 0.167216, 0.220759, 0.319269, 0.515119", \
"0.108221, 0.119426, 0.138699, 0.170298, 0.224084, 0.322176, 0.518946", \
"0.114627, 0.125867, 0.145198, 0.176765, 0.230545, 0.328769, 0.524934", \
"0.127389, 0.138542, 0.157857, 0.18929, 0.243129, 0.34149, 0.537457", \
"0.153773, 0.164729, 0.183819, 0.21513, 0.268499, 0.367023, 0.562402", \
"0.194706, 0.206509, 0.226756, 0.259164, 0.313318, 0.411458, 0.607836", \
"0.242024, 0.255007, 0.277433, 0.313678, 0.371593, 0.471856, 0.665842");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.03687, 0.04609, 0.06395, 0.097817, 0.166633, 0.308555, 0.596089", \
"0.036534, 0.0461, 0.063852, 0.097717, 0.165618, 0.308622, 0.603308", \
"0.036411, 0.046121, 0.064253, 0.098295, 0.165238, 0.308147, 0.601015", \
"0.036625, 0.045968, 0.064073, 0.097498, 0.166555, 0.30751, 0.59593", \
"0.037355, 0.046894, 0.064843, 0.09911, 0.167195, 0.305426, 0.598758", \
"0.049147, 0.05812, 0.074612, 0.106364, 0.170428, 0.310414, 0.604802", \
"0.06975, 0.077144, 0.094306, 0.125512, 0.187099, 0.314891, 0.608073");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.017538, 0.01695, 0.016157, 0.015515, 0.015157, 0.014933, 0.014786", \
"0.017525, 0.016938, 0.016143, 0.015502, 0.015141, 0.014917, 0.014772", \
"0.017496, 0.016901, 0.016135, 0.015492, 0.015097, 0.014885, 0.014742", \
"0.017447, 0.016852, 0.016084, 0.015441, 0.015049, 0.014836, 0.014699", \
"0.017398, 0.0168, 0.016028, 0.01538, 0.014985, 0.014776, 0.014649", \
"0.017553, 0.016954, 0.016173, 0.015503, 0.015077, 0.014839, 0.014694", \
"0.018343, 0.017693, 0.016833, 0.01605, 0.015517, 0.015207, 0.015017");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.00179, 0.001627, 0.001513, 0.001476, 0.001474, 0.001476, 0.001477", \
"0.001783, 0.001618, 0.001505, 0.001466, 0.001464, 0.001467, 0.001467", \
"0.001772, 0.001606, 0.001492, 0.001453, 0.001452, 0.001455, 0.001456", \
"0.001768, 0.00161, 0.001479, 0.001443, 0.00144, 0.001446, 0.001449", \
"0.001908, 0.001731, 0.001578, 0.001501, 0.001476, 0.001472, 0.001471", \
"0.002559, 0.002316, 0.002037, 0.001838, 0.001726, 0.001661, 0.001627", \
"0.004075, 0.003684, 0.003211, 0.0028, 0.002491, 0.002281, 0.002146");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.017436, 0.016841, 0.016107, 0.015455, 0.015056, 0.014843, 0.014705", \
"0.017435, 0.016843, 0.016083, 0.015445, 0.015049, 0.014833, 0.014694", \
"0.017426, 0.016828, 0.016056, 0.015407, 0.015012, 0.014805, 0.01467", \
"0.017369, 0.016773, 0.016005, 0.015362, 0.01497, 0.014761, 0.014631", \
"0.017333, 0.016734, 0.015961, 0.015314, 0.014922, 0.014713, 0.014593", \
"0.017527, 0.016927, 0.016148, 0.015477, 0.015045, 0.014806, 0.014662", \
"0.018334, 0.017696, 0.016827, 0.016064, 0.01553, 0.015203, 0.015004");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.001481, 0.001332, 0.001228, 0.001207, 0.001216, 0.001226, 0.001233", \
"0.001471, 0.001317, 0.001223, 0.001202, 0.00121, 0.001219, 0.001225", \
"0.001456, 0.001306, 0.001206, 0.001185, 0.001196, 0.001208, 0.001216", \
"0.001468, 0.001313, 0.001202, 0.001174, 0.001187, 0.001201, 0.00121", \
"0.001622, 0.001455, 0.001317, 0.001251, 0.001233, 0.001236, 0.00124", \
"0.002292, 0.002033, 0.001762, 0.001576, 0.001469, 0.001417, 0.00139", \
"0.003753, 0.003411, 0.002938, 0.002535, 0.002233, 0.002031, 0.001907");
}
}
internal_power() {
related_pin : "C";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.017238, 0.016642, 0.015875, 0.015228, 0.014833, 0.014624, 0.014487", \
"0.017227, 0.016632, 0.015866, 0.015219, 0.014824, 0.014615, 0.014477", \
"0.017205, 0.016595, 0.015853, 0.015202, 0.014803, 0.01459, 0.014457", \
"0.017165, 0.016569, 0.015801, 0.015155, 0.014762, 0.014554, 0.014427", \
"0.017146, 0.016549, 0.015778, 0.015129, 0.014731, 0.014525, 0.014404", \
"0.017402, 0.016802, 0.016023, 0.015346, 0.014915, 0.014662, 0.014509", \
"0.01826, 0.017616, 0.016741, 0.015943, 0.015396, 0.015061, 0.014858");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.001297, 0.001154, 0.001077, 0.001066, 0.001079, 0.001093, 0.001102", \
"0.001293, 0.001155, 0.001073, 0.001063, 0.001079, 0.001094, 0.001102", \
"0.001294, 0.001149, 0.001069, 0.001058, 0.001075, 0.00109, 0.001099", \
"0.001312, 0.001167, 0.001074, 0.001056, 0.001072, 0.001088, 0.001098", \
"0.001481, 0.00132, 0.001211, 0.001148, 0.001131, 0.001133, 0.001135", \
"0.00213, 0.00192, 0.001646, 0.001455, 0.001358, 0.001308, 0.001283", \
"0.003599, 0.003216, 0.002803, 0.002406, 0.002108, 0.001909, 0.001789");
}
}
internal_power() {
related_pin : "D";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.015845, 0.015252, 0.014498, 0.013851, 0.013452, 0.013238, 0.013106", \
"0.015834, 0.015237, 0.014469, 0.013826, 0.013433, 0.013228, 0.013092", \
"0.015813, 0.015217, 0.014448, 0.013804, 0.013413, 0.01321, 0.013077", \
"0.015795, 0.015198, 0.014428, 0.013784, 0.013393, 0.013189, 0.013061", \
"0.015818, 0.015219, 0.014445, 0.013797, 0.013402, 0.01319, 0.013069", \
"0.016098, 0.01552, 0.014744, 0.014133, 0.013674, 0.013407, 0.013243", \
"0.017201, 0.016556, 0.015751, 0.014917, 0.0143, 0.01393, 0.013712");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.002339, 0.002215, 0.002149, 0.002151, 0.002172, 0.002187, 0.002195", \
"0.002343, 0.002219, 0.002148, 0.00215, 0.002172, 0.002187, 0.002195", \
"0.00234, 0.002215, 0.002141, 0.002143, 0.002167, 0.002183, 0.002192", \
"0.002369, 0.002236, 0.002151, 0.002146, 0.002167, 0.002186, 0.002196", \
"0.002557, 0.002394, 0.002276, 0.00223, 0.002222, 0.002227, 0.00223", \
"0.003205, 0.002945, 0.002698, 0.002534, 0.002436, 0.002405, 0.002379", \
"0.004663, 0.004266, 0.003812, 0.00344, 0.003162, 0.002981, 0.002869");
}
}
}
}

/* --------------- *
* Design : AND4X4 *
* --------------- */
cell (AND4X4) {
cell_footprint : AND4;
area : 9.839700;
cell_leakage_power : 71.1463;
pin(A) {
direction : input;
capacitance : 0.00287306;
rise_capacitance : 0.00287241;
fall_capacitance : 0.00287306;
}
pin(B) {
direction : input;
capacitance : 0.00281156;
rise_capacitance : 0.00281065;
fall_capacitance : 0.00281156;
}
pin(C) {
direction : input;
capacitance : 0.00281814;
rise_capacitance : 0.00281684;
fall_capacitance : 0.00281814;
}
pin(D) {
direction : input;
capacitance : 0.00272358;
rise_capacitance : 0.00272191;
fall_capacitance : 0.00272358;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.538196;
function : "(((A B) C) D)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.326283, 0.343841, 0.376135, 0.429658, 0.515823, 0.65729, 0.908763", \
"0.327812, 0.345533, 0.377699, 0.431016, 0.517698, 0.658861, 0.910164", \
"0.330002, 0.347444, 0.37986, 0.433489, 0.519739, 0.660989, 0.91257", \
"0.333581, 0.351152, 0.383292, 0.436356, 0.52336, 0.664589, 0.915986", \
"0.339795, 0.357435, 0.3897, 0.443275, 0.529492, 0.67113, 0.922397", \
"0.355225, 0.372882, 0.405058, 0.458527, 0.544639, 0.685473, 0.937202", \
"0.389643, 0.407137, 0.439572, 0.49405, 0.58081, 0.722579, 0.973959");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.10304, 0.114801, 0.139052, 0.186002, 0.269479, 0.428653, 0.75768", \
"0.103157, 0.115358, 0.140226, 0.186492, 0.267386, 0.430139, 0.761209", \
"0.103241, 0.114837, 0.140575, 0.18549, 0.267897, 0.429838, 0.760228", \
"0.103962, 0.115279, 0.139801, 0.18578, 0.271312, 0.428066, 0.755222", \
"0.103332, 0.1144, 0.138159, 0.185755, 0.268913, 0.428885, 0.760886", \
"0.10204, 0.114704, 0.139155, 0.186035, 0.270765, 0.429931, 0.75532", \
"0.110888, 0.125488, 0.146481, 0.193073, 0.27463, 0.429593, 0.757088");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.121682, 0.133274, 0.153361, 0.186081, 0.241153, 0.340723, 0.538501", \
"0.124685, 0.136245, 0.156417, 0.189206, 0.244145, 0.343727, 0.541595", \
"0.130939, 0.142519, 0.162788, 0.195399, 0.250363, 0.349905, 0.547589", \
"0.143488, 0.155063, 0.175134, 0.207971, 0.262883, 0.362465, 0.560366", \
"0.169978, 0.181477, 0.20132, 0.233759, 0.288492, 0.388014, 0.585813", \
"0.217139, 0.22915, 0.249493, 0.282206, 0.337008, 0.436072, 0.63304", \
"0.275769, 0.288952, 0.31161, 0.347525, 0.405933, 0.506003, 0.701836");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.04173, 0.051578, 0.069108, 0.1038, 0.171077, 0.309207, 0.60893", \
"0.042056, 0.051749, 0.069309, 0.103612, 0.171178, 0.312371, 0.606407", \
"0.04182, 0.051507, 0.06974, 0.10325, 0.170158, 0.309176, 0.609164", \
"0.041653, 0.051143, 0.069677, 0.10347, 0.171177, 0.311736, 0.60679", \
"0.041717, 0.051727, 0.069291, 0.104013, 0.171606, 0.312793, 0.605551", \
"0.052017, 0.059993, 0.078065, 0.108437, 0.172511, 0.31075, 0.609182", \
"0.07321, 0.081553, 0.099042, 0.128711, 0.188484, 0.32132, 0.610422");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.321472, 0.339175, 0.371344, 0.424888, 0.511187, 0.653149, 0.903961", \
"0.322938, 0.340566, 0.372841, 0.426362, 0.512547, 0.653998, 0.905501", \
"0.325505, 0.343119, 0.375318, 0.428526, 0.51505, 0.657045, 0.907975", \
"0.329732, 0.347442, 0.379687, 0.433223, 0.519429, 0.660731, 0.912546", \
"0.339098, 0.356771, 0.388957, 0.442538, 0.529034, 0.670815, 0.921695", \
"0.362921, 0.380616, 0.412525, 0.465855, 0.552038, 0.693957, 0.944646", \
"0.412434, 0.430336, 0.46284, 0.516868, 0.603901, 0.745045, 0.996898");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.104861, 0.116164, 0.138793, 0.186089, 0.269294, 0.428532, 0.76107", \
"0.104161, 0.114536, 0.139038, 0.185816, 0.269144, 0.428906, 0.758755", \
"0.104658, 0.115923, 0.140696, 0.186628, 0.269794, 0.427661, 0.76189", \
"0.103518, 0.114566, 0.138273, 0.185563, 0.26944, 0.429603, 0.755453", \
"0.103589, 0.113025, 0.138494, 0.185265, 0.267607, 0.427522, 0.761624", \
"0.103105, 0.114786, 0.139915, 0.186163, 0.269646, 0.428127, 0.761512", \
"0.11236, 0.125573, 0.147459, 0.192173, 0.275689, 0.432498, 0.761933");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.118206, 0.12973, 0.149621, 0.182178, 0.236636, 0.336192, 0.533835", \
"0.121299, 0.132834, 0.152714, 0.185266, 0.239727, 0.339249, 0.536907", \
"0.127639, 0.139068, 0.15906, 0.191615, 0.246167, 0.345565, 0.543241", \
"0.140339, 0.151821, 0.171769, 0.204234, 0.258652, 0.357696, 0.55496", \
"0.166705, 0.178041, 0.197737, 0.229953, 0.28438, 0.383413, 0.580157", \
"0.21229, 0.224426, 0.244665, 0.277295, 0.33222, 0.431015, 0.6282", \
"0.268043, 0.281334, 0.303953, 0.339754, 0.398072, 0.49824, 0.693671");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.040851, 0.04967, 0.068405, 0.101703, 0.17009, 0.309694, 0.607819", \
"0.040757, 0.049635, 0.068408, 0.101729, 0.170097, 0.310578, 0.607186", \
"0.041242, 0.04996, 0.06838, 0.101981, 0.17014, 0.310978, 0.606848", \
"0.040504, 0.049958, 0.067721, 0.102456, 0.169783, 0.311234, 0.599855", \
"0.040848, 0.050563, 0.068752, 0.102828, 0.169356, 0.310712, 0.603104", \
"0.051763, 0.06017, 0.076148, 0.107757, 0.173601, 0.311709, 0.607997", \
"0.072885, 0.081415, 0.099289, 0.128927, 0.187965, 0.319886, 0.601785");
}
}
timing() {
related_pin : "C";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.311526, 0.329462, 0.361564, 0.414772, 0.501317, 0.642656, 0.893583", \
"0.312944, 0.330574, 0.362843, 0.416362, 0.502536, 0.644007, 0.895488", \
"0.315521, 0.333109, 0.365391, 0.418884, 0.505058, 0.646553, 0.897983", \
"0.320899, 0.338539, 0.370705, 0.424239, 0.510568, 0.651815, 0.903622", \
"0.334537, 0.352166, 0.384405, 0.437754, 0.524226, 0.665367, 0.917267", \
"0.367646, 0.384959, 0.417173, 0.470573, 0.556707, 0.698521, 0.94914", \
"0.432822, 0.451137, 0.483526, 0.538102, 0.624199, 0.766005, 1.01662");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.102987, 0.115082, 0.140542, 0.186032, 0.271042, 0.425474, 0.759461", \
"0.104154, 0.114548, 0.138902, 0.18577, 0.269215, 0.428784, 0.758878", \
"0.102778, 0.115041, 0.138552, 0.185629, 0.269283, 0.428663, 0.759853", \
"0.103378, 0.114944, 0.139043, 0.185719, 0.270205, 0.429587, 0.755849", \
"0.103365, 0.11444, 0.137999, 0.185996, 0.270385, 0.429778, 0.757973", \
"0.103569, 0.114275, 0.13996, 0.185532, 0.269631, 0.428046, 0.761778", \
"0.112945, 0.124032, 0.147679, 0.193617, 0.274689, 0.431282, 0.762747");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.113708, 0.12523, 0.144948, 0.177165, 0.231254, 0.330436, 0.527956", \
"0.116901, 0.128287, 0.148028, 0.18022, 0.234573, 0.333637, 0.53116", \
"0.123396, 0.13478, 0.154591, 0.186767, 0.241028, 0.340079, 0.537459", \
"0.136164, 0.147529, 0.167216, 0.199329, 0.253609, 0.352484, 0.549496", \
"0.162544, 0.173738, 0.193191, 0.224944, 0.278977, 0.377793, 0.574031", \
"0.206731, 0.218517, 0.238836, 0.271399, 0.325823, 0.424414, 0.6209", \
"0.258796, 0.272126, 0.294829, 0.330591, 0.388664, 0.487949, 0.683608");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.039702, 0.048821, 0.067216, 0.101487, 0.168099, 0.310829, 0.605441", \
"0.039623, 0.04905, 0.067113, 0.101111, 0.169058, 0.308165, 0.607626", \
"0.039283, 0.049245, 0.06673, 0.100696, 0.168501, 0.307495, 0.607952", \
"0.039492, 0.048978, 0.066938, 0.100799, 0.169443, 0.311562, 0.598746", \
"0.039838, 0.049595, 0.067517, 0.10207, 0.168659, 0.309852, 0.603413", \
"0.051956, 0.060093, 0.076156, 0.108345, 0.173343, 0.312533, 0.598833", \
"0.072693, 0.08036, 0.098284, 0.129634, 0.187165, 0.320261, 0.610417");
}
}
timing() {
related_pin : "D";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.294707, 0.312433, 0.344636, 0.398228, 0.484425, 0.625813, 0.877475", \
"0.29562, 0.313413, 0.345665, 0.399175, 0.485374, 0.626774, 0.87847", \
"0.29838, 0.315984, 0.348097, 0.401247, 0.488228, 0.629596, 0.880654", \
"0.303999, 0.321708, 0.353921, 0.407483, 0.493711, 0.634906, 0.886774", \
"0.319377, 0.337048, 0.369216, 0.422698, 0.508951, 0.650811, 0.901781", \
"0.356945, 0.375039, 0.406807, 0.459845, 0.545932, 0.687738, 0.938252", \
"0.433146, 0.450675, 0.482581, 0.535925, 0.622413, 0.76421, 1.01487");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.103426, 0.114445, 0.138342, 0.185756, 0.269931, 0.429057, 0.752851", \
"0.103116, 0.113778, 0.138413, 0.185521, 0.269241, 0.429159, 0.753306", \
"0.102819, 0.114804, 0.140433, 0.185754, 0.270581, 0.426027, 0.762094", \
"0.103525, 0.114223, 0.138045, 0.185546, 0.269763, 0.429733, 0.757723", \
"0.102911, 0.113275, 0.138584, 0.186099, 0.26829, 0.426746, 0.761947", \
"0.102074, 0.114291, 0.139827, 0.186047, 0.269008, 0.428351, 0.761768", \
"0.114722, 0.122814, 0.149565, 0.192139, 0.273193, 0.433214, 0.760166");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.108342, 0.119622, 0.139262, 0.171143, 0.225269, 0.324146, 0.521489", \
"0.111595, 0.122872, 0.142357, 0.174384, 0.22836, 0.327318, 0.523533", \
"0.118115, 0.129322, 0.148845, 0.18083, 0.234912, 0.333719, 0.530011", \
"0.130847, 0.142097, 0.161591, 0.193473, 0.247577, 0.34628, 0.542347", \
"0.157328, 0.168397, 0.187607, 0.219237, 0.273107, 0.371908, 0.56787", \
"0.19956, 0.211401, 0.231718, 0.264153, 0.318591, 0.417019, 0.613857", \
"0.248291, 0.261226, 0.283606, 0.319786, 0.37796, 0.478377, 0.673193");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.038522, 0.048084, 0.065404, 0.099924, 0.168593, 0.307077, 0.607677", \
"0.038418, 0.047729, 0.065875, 0.099768, 0.168196, 0.310487, 0.599352", \
"0.038407, 0.047786, 0.06582, 0.099742, 0.167952, 0.310862, 0.599199", \
"0.038351, 0.047463, 0.066169, 0.099746, 0.167747, 0.310907, 0.602205", \
"0.039124, 0.048289, 0.066654, 0.100652, 0.169124, 0.308305, 0.602828", \
"0.050969, 0.059868, 0.0761, 0.10758, 0.17289, 0.310999, 0.608065", \
"0.072653, 0.079394, 0.096743, 0.127862, 0.189418, 0.318546, 0.606678");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.037206, 0.035996, 0.034282, 0.032777, 0.031831, 0.031324, 0.030996", \
"0.037204, 0.035937, 0.034237, 0.032747, 0.031809, 0.031307, 0.030979", \
"0.037183, 0.035911, 0.034206, 0.032703, 0.031762, 0.03126, 0.030935", \
"0.037083, 0.035819, 0.034124, 0.03263, 0.031685, 0.031177, 0.030869", \
"0.036987, 0.035712, 0.033997, 0.032496, 0.031565, 0.031072, 0.030779", \
"0.03722, 0.035947, 0.034228, 0.032698, 0.031703, 0.031158, 0.030835", \
"0.038644, 0.037272, 0.03541, 0.03367, 0.032482, 0.031781, 0.03136");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.001545, 0.001191, 0.000926, 0.000828, 0.000814, 0.000819, 0.000824", \
"0.001533, 0.001174, 0.000905, 0.000809, 0.000799, 0.000806, 0.00081", \
"0.001526, 0.001164, 0.000886, 0.000792, 0.00078, 0.000786, 0.000791", \
"0.00152, 0.001147, 0.000862, 0.000771, 0.00076, 0.000771, 0.00078", \
"0.001795, 0.001405, 0.001059, 0.000948, 0.00087, 0.000846, 0.000836", \
"0.003098, 0.00254, 0.001938, 0.001537, 0.001294, 0.001159, 0.001089", \
"0.006021, 0.005204, 0.004194, 0.003346, 0.002699, 0.002271, 0.002005");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.037066, 0.035808, 0.034067, 0.032572, 0.03171, 0.031187, 0.030865", \
"0.037079, 0.035807, 0.034096, 0.032592, 0.031649, 0.031149, 0.030831", \
"0.037001, 0.035739, 0.034051, 0.032568, 0.031635, 0.031121, 0.030804", \
"0.036933, 0.035671, 0.033967, 0.032462, 0.031524, 0.031033, 0.030731", \
"0.036849, 0.035575, 0.033862, 0.032362, 0.03143, 0.030943, 0.030655", \
"0.03714, 0.035864, 0.034146, 0.032613, 0.031617, 0.031069, 0.030745", \
"0.038545, 0.037214, 0.035354, 0.033599, 0.032398, 0.031701, 0.031278");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.001079, 0.000726, 0.000496, 0.000433, 0.000442, 0.00046, 0.000473", \
"0.001069, 0.000718, 0.000485, 0.000422, 0.000431, 0.00045, 0.000463", \
"0.001036, 0.000696, 0.000457, 0.000395, 0.000408, 0.000429, 0.000445", \
"0.001067, 0.000721, 0.000462, 0.000381, 0.000394, 0.00042, 0.000437", \
"0.001334, 0.00096, 0.000669, 0.000514, 0.000472, 0.000476, 0.000483", \
"0.002642, 0.002101, 0.001528, 0.001136, 0.00091, 0.0008, 0.000744", \
"0.005599, 0.004787, 0.003785, 0.002937, 0.002314, 0.001902, 0.001646");
}
}
internal_power() {
related_pin : "C";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.036715, 0.035447, 0.033752, 0.032314, 0.031359, 0.030847, 0.030533", \
"0.036756, 0.035484, 0.033773, 0.032266, 0.031324, 0.030822, 0.030505", \
"0.036664, 0.035449, 0.033736, 0.032229, 0.031285, 0.030784, 0.03047", \
"0.036613, 0.035349, 0.03365, 0.032143, 0.031204, 0.030709, 0.03041", \
"0.036557, 0.035282, 0.033566, 0.032064, 0.031124, 0.030631, 0.030351", \
"0.036933, 0.035659, 0.033941, 0.032404, 0.031397, 0.030832, 0.030497", \
"0.038402, 0.037069, 0.035218, 0.033625, 0.03236, 0.031589, 0.031122");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.000725, 0.000399, 0.000206, 0.000164, 0.000181, 0.000207, 0.000223", \
"0.000721, 0.000409, 0.000195, 0.000158, 0.00018, 0.000207, 0.000223", \
"0.000712, 0.000393, 0.000188, 0.000145, 0.000173, 0.000201, 0.000217", \
"0.000749, 0.000421, 0.000194, 0.000145, 0.000168, 0.000197, 0.000215", \
"0.001059, 0.000686, 0.000471, 0.000323, 0.000279, 0.000276, 0.000278", \
"0.00232, 0.001877, 0.001304, 0.000897, 0.000699, 0.000583, 0.000526", \
"0.005195, 0.004388, 0.003521, 0.002691, 0.002063, 0.001649, 0.001406");
}
}
internal_power() {
related_pin : "D";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.034651, 0.033388, 0.031694, 0.030187, 0.029246, 0.028749, 0.028446", \
"0.034629, 0.033366, 0.031673, 0.030168, 0.029227, 0.028732, 0.028428", \
"0.034598, 0.033326, 0.031622, 0.030127, 0.0292, 0.028708, 0.028403", \
"0.034555, 0.033285, 0.031579, 0.030076, 0.029142, 0.028651, 0.02836", \
"0.034575, 0.0333, 0.031588, 0.030089, 0.029147, 0.028651, 0.028367", \
"0.035076, 0.033938, 0.032215, 0.030655, 0.029611, 0.029011, 0.028651", \
"0.037038, 0.035861, 0.033928, 0.032094, 0.030747, 0.029939, 0.029443");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.002112, 0.001818, 0.001652, 0.001633, 0.001667, 0.001696, 0.001712", \
"0.002114, 0.001827, 0.001646, 0.001633, 0.001671, 0.0017, 0.001715", \
"0.002115, 0.001824, 0.001638, 0.001624, 0.001665, 0.001696, 0.001713", \
"0.002147, 0.001843, 0.001644, 0.00162, 0.00166, 0.001695, 0.001715", \
"0.002524, 0.002172, 0.001901, 0.001788, 0.001762, 0.001768, 0.001773", \
"0.003791, 0.00323, 0.002708, 0.002357, 0.002152, 0.002088, 0.002029", \
"0.006638, 0.00581, 0.004847, 0.004054, 0.003488, 0.003109, 0.00288");
}
}
}
}

/* --------------- *
* Design : AND4X6 *
* --------------- */
cell (AND4X6) {
cell_footprint : AND4;
area : 16.651800;
cell_leakage_power : 113.315;
pin(A) {
direction : input;
capacitance : 0.00636623;
rise_capacitance : 0.00636607;
fall_capacitance : 0.00636623;
}
pin(B) {
direction : input;
capacitance : 0.00577005;
rise_capacitance : 0.00576827;
fall_capacitance : 0.00577005;
}
pin(C) {
direction : input;
capacitance : 0.00551487;
rise_capacitance : 0.00551194;
fall_capacitance : 0.00551487;
}
pin(D) {
direction : input;
capacitance : 0.00525173;
rise_capacitance : 0.00524981;
fall_capacitance : 0.00525173;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.827427;
function : "(((A B) C) D)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.276271, 0.293392, 0.323823, 0.37437, 0.455898, 0.59025, 0.83681", \
"0.277765, 0.294697, 0.325366, 0.375551, 0.456994, 0.591419, 0.837979", \
"0.279694, 0.29686, 0.327577, 0.377679, 0.45888, 0.593937, 0.840044", \
"0.283035, 0.300142, 0.330713, 0.380878, 0.46233, 0.596718, 0.843459", \
"0.289335, 0.306353, 0.337122, 0.387134, 0.468372, 0.603437, 0.849391", \
"0.305283, 0.322155, 0.352472, 0.402392, 0.483824, 0.618164, 0.864325", \
"0.334944, 0.352307, 0.384003, 0.435153, 0.518466, 0.654464, 0.90093");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.084297, 0.094964, 0.121482, 0.166399, 0.247509, 0.4078, 0.737882", \
"0.083903, 0.096591, 0.12047, 0.166177, 0.248483, 0.408344, 0.743536", \
"0.083763, 0.096159, 0.120874, 0.166496, 0.247929, 0.406633, 0.74314", \
"0.083503, 0.095859, 0.120197, 0.166005, 0.24799, 0.408216, 0.742757", \
"0.082664, 0.095877, 0.120249, 0.165253, 0.246863, 0.404775, 0.741849", \
"0.08428, 0.09509, 0.120374, 0.166763, 0.24945, 0.408324, 0.744831", \
"0.094173, 0.1063, 0.131872, 0.174723, 0.256541, 0.411209, 0.739332");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.106254, 0.117203, 0.13626, 0.167358, 0.220504, 0.319544, 0.517424", \
"0.109286, 0.120274, 0.13922, 0.170364, 0.223641, 0.322808, 0.52047", \
"0.115488, 0.126533, 0.145381, 0.176554, 0.229727, 0.328784, 0.526684", \
"0.128157, 0.139067, 0.157999, 0.189041, 0.242259, 0.341361, 0.539952", \
"0.154543, 0.165281, 0.183916, 0.21468, 0.267907, 0.366824, 0.565461", \
"0.196814, 0.208295, 0.227806, 0.259631, 0.313026, 0.411476, 0.609509", \
"0.247092, 0.260173, 0.281907, 0.316614, 0.373349, 0.472701, 0.668802");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.036203, 0.045328, 0.063275, 0.097176, 0.165178, 0.309588, 0.602997", \
"0.036224, 0.045382, 0.062336, 0.09691, 0.165641, 0.306652, 0.608414", \
"0.036069, 0.045283, 0.062934, 0.097138, 0.165194, 0.309597, 0.602831", \
"0.035431, 0.044646, 0.062929, 0.097076, 0.166433, 0.309314, 0.605069", \
"0.036178, 0.045358, 0.063683, 0.097866, 0.164881, 0.309721, 0.605994", \
"0.047486, 0.055841, 0.072492, 0.103661, 0.169615, 0.311115, 0.600032", \
"0.066798, 0.075236, 0.092235, 0.122304, 0.183044, 0.314505, 0.603783");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.267381, 0.284356, 0.31508, 0.365368, 0.446585, 0.581578, 0.827535", \
"0.268853, 0.285914, 0.316404, 0.366799, 0.448179, 0.583293, 0.829295", \
"0.271577, 0.288519, 0.319068, 0.369346, 0.450766, 0.585258, 0.831844", \
"0.276104, 0.29305, 0.323573, 0.37386, 0.455153, 0.590097, 0.835847", \
"0.285701, 0.30289, 0.333369, 0.383492, 0.464721, 0.599746, 0.84595", \
"0.309831, 0.326735, 0.357114, 0.407152, 0.488012, 0.622941, 0.868711", \
"0.35578, 0.373075, 0.404312, 0.45579, 0.538335, 0.673847, 0.920392");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.084731, 0.095694, 0.119911, 0.166132, 0.247764, 0.403952, 0.741952", \
"0.083483, 0.094986, 0.121533, 0.166554, 0.247259, 0.405315, 0.738964", \
"0.08344, 0.095796, 0.120557, 0.165499, 0.24798, 0.408084, 0.743754", \
"0.083171, 0.095545, 0.120527, 0.165246, 0.246886, 0.407003, 0.744808", \
"0.08299, 0.095675, 0.120103, 0.165164, 0.247163, 0.404645, 0.739977", \
"0.084116, 0.095354, 0.119795, 0.165106, 0.247341, 0.404701, 0.742104", \
"0.094522, 0.10628, 0.132203, 0.174524, 0.254861, 0.411006, 0.741891");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.10191, 0.112718, 0.131471, 0.162216, 0.215281, 0.314157, 0.511947", \
"0.105032, 0.11594, 0.13469, 0.165432, 0.218358, 0.317195, 0.515529", \
"0.111395, 0.122211, 0.140904, 0.171754, 0.224524, 0.323247, 0.521306", \
"0.124126, 0.13497, 0.153571, 0.184367, 0.237249, 0.336119, 0.533237", \
"0.150143, 0.160776, 0.179225, 0.209636, 0.262393, 0.361034, 0.559255", \
"0.190833, 0.202277, 0.221827, 0.253283, 0.3064, 0.40494, 0.602801", \
"0.237835, 0.250906, 0.272686, 0.307283, 0.363831, 0.46291, 0.658558");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.034997, 0.044205, 0.061916, 0.095971, 0.165394, 0.305071, 0.60787", \
"0.035037, 0.043946, 0.062075, 0.095937, 0.165416, 0.307762, 0.606367", \
"0.035066, 0.044297, 0.061674, 0.09592, 0.164566, 0.309062, 0.599293", \
"0.034721, 0.043807, 0.061852, 0.095955, 0.163677, 0.307984, 0.603632", \
"0.03605, 0.045157, 0.062791, 0.096935, 0.164723, 0.309298, 0.600196", \
"0.047431, 0.055961, 0.07169, 0.102985, 0.169207, 0.310334, 0.606931", \
"0.065929, 0.07504, 0.092404, 0.122148, 0.182499, 0.315148, 0.605904");
}
}
timing() {
related_pin : "C";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.257908, 0.274974, 0.305509, 0.355838, 0.437115, 0.572008, 0.817332", \
"0.25905, 0.276149, 0.306562, 0.357107, 0.43863, 0.573351, 0.819525", \
"0.261681, 0.278623, 0.309177, 0.359523, 0.440768, 0.575757, 0.821485", \
"0.267095, 0.284004, 0.314665, 0.365171, 0.446238, 0.581272, 0.827531", \
"0.281084, 0.298064, 0.328802, 0.379042, 0.460389, 0.594691, 0.841442", \
"0.314418, 0.331324, 0.361732, 0.411705, 0.492503, 0.627492, 0.873527", \
"0.375066, 0.392268, 0.42353, 0.474676, 0.557275, 0.692141, 0.938619");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.084873, 0.095183, 0.121238, 0.165233, 0.247939, 0.406674, 0.744258", \
"0.08411, 0.095041, 0.121239, 0.166345, 0.247734, 0.404152, 0.740055", \
"0.084624, 0.096577, 0.120746, 0.16517, 0.247078, 0.405693, 0.742653", \
"0.084465, 0.096296, 0.12006, 0.164751, 0.247786, 0.406176, 0.736428", \
"0.08421, 0.095507, 0.11936, 0.166338, 0.247675, 0.408159, 0.742821", \
"0.083956, 0.09539, 0.120138, 0.165743, 0.248127, 0.406164, 0.737552", \
"0.095638, 0.107233, 0.13105, 0.17491, 0.255298, 0.412596, 0.747558");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.097692, 0.108422, 0.127054, 0.157341, 0.209773, 0.30821, 0.506354", \
"0.100944, 0.111698, 0.130199, 0.160721, 0.213083, 0.311427, 0.509888", \
"0.107432, 0.118104, 0.13662, 0.167074, 0.219659, 0.318269, 0.51515", \
"0.120275, 0.13092, 0.149422, 0.179835, 0.232332, 0.330926, 0.528519", \
"0.146204, 0.15656, 0.174707, 0.204739, 0.257211, 0.355571, 0.553393", \
"0.184248, 0.195757, 0.215172, 0.246821, 0.29983, 0.397924, 0.594762", \
"0.227523, 0.240496, 0.262472, 0.296968, 0.353224, 0.45187, 0.648187");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.033747, 0.043117, 0.060582, 0.095043, 0.163658, 0.308545, 0.601185", \
"0.03373, 0.043062, 0.060181, 0.094333, 0.16417, 0.308792, 0.60385", \
"0.034091, 0.043049, 0.060753, 0.094604, 0.163475, 0.305358, 0.605558", \
"0.033582, 0.042482, 0.061044, 0.094341, 0.164096, 0.305116, 0.606555", \
"0.035423, 0.044138, 0.062116, 0.095868, 0.163291, 0.308273, 0.600212", \
"0.047464, 0.05489, 0.072231, 0.103335, 0.168637, 0.305465, 0.607127", \
"0.065996, 0.074093, 0.091159, 0.12261, 0.181251, 0.315701, 0.607854");
}
}
timing() {
related_pin : "D";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.240261, 0.257303, 0.287958, 0.338347, 0.419482, 0.554726, 0.800619", \
"0.241197, 0.258083, 0.289082, 0.339062, 0.420622, 0.554763, 0.801496", \
"0.243616, 0.26054, 0.291017, 0.341537, 0.422795, 0.557664, 0.803592", \
"0.249816, 0.266737, 0.297691, 0.347654, 0.429205, 0.563542, 0.810288", \
"0.265857, 0.282865, 0.313817, 0.36364, 0.444796, 0.580058, 0.825979", \
"0.304664, 0.32152, 0.352139, 0.401929, 0.482634, 0.617357, 0.862563", \
"0.374246, 0.390975, 0.421853, 0.472299, 0.555089, 0.689826, 0.936157");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.083476, 0.095132, 0.119549, 0.165525, 0.247667, 0.404907, 0.739341", \
"0.082484, 0.096056, 0.120903, 0.166325, 0.247873, 0.408301, 0.743566", \
"0.084427, 0.096249, 0.120423, 0.165387, 0.247118, 0.407275, 0.744865", \
"0.08252, 0.095918, 0.120827, 0.166287, 0.247602, 0.408017, 0.74179", \
"0.083143, 0.094802, 0.120633, 0.16495, 0.247201, 0.405164, 0.739342", \
"0.083748, 0.095646, 0.120161, 0.16664, 0.247372, 0.40591, 0.743692", \
"0.098191, 0.10932, 0.13434, 0.174848, 0.256429, 0.412662, 0.74453");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.092479, 0.103003, 0.121318, 0.151556, 0.203785, 0.30212, 0.499524", \
"0.095746, 0.106302, 0.12464, 0.154884, 0.207224, 0.30552, 0.50284", \
"0.102218, 0.112788, 0.131067, 0.161313, 0.213632, 0.312131, 0.509679", \
"0.115077, 0.125581, 0.143816, 0.173791, 0.226266, 0.324436, 0.521091", \
"0.140567, 0.150883, 0.168935, 0.199004, 0.25098, 0.349406, 0.54712", \
"0.176122, 0.187439, 0.207014, 0.238649, 0.291648, 0.389757, 0.586215", \
"0.215592, 0.228257, 0.250015, 0.284732, 0.341504, 0.441288, 0.636147");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.032483, 0.041782, 0.059769, 0.09324, 0.162752, 0.308021, 0.605395", \
"0.032616, 0.042027, 0.059475, 0.093764, 0.16226, 0.307815, 0.605228", \
"0.032526, 0.041566, 0.059442, 0.093265, 0.163578, 0.304543, 0.607252", \
"0.032338, 0.041959, 0.059849, 0.094025, 0.162111, 0.30771, 0.600804", \
"0.035084, 0.043677, 0.060941, 0.094236, 0.164342, 0.305113, 0.602145", \
"0.046762, 0.055326, 0.07206, 0.103083, 0.16935, 0.309813, 0.602329", \
"0.066274, 0.074708, 0.092721, 0.121812, 0.183263, 0.314849, 0.608616");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.049717, 0.048147, 0.046282, 0.044871, 0.044103, 0.043711, 0.043446", \
"0.049639, 0.048085, 0.046249, 0.044856, 0.04407, 0.043672, 0.043401", \
"0.049545, 0.047979, 0.046121, 0.044721, 0.043954, 0.043575, 0.043303", \
"0.049388, 0.04782, 0.045961, 0.044562, 0.043798, 0.043418, 0.043161", \
"0.04924, 0.047667, 0.0458, 0.044388, 0.043618, 0.043245, 0.04301", \
"0.049793, 0.048208, 0.046285, 0.044771, 0.043893, 0.043437, 0.04315", \
"0.052243, 0.050532, 0.048331, 0.046478, 0.045293, 0.044622, 0.044218");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.006082, 0.005739, 0.005591, 0.005609, 0.005676, 0.005732, 0.005764", \
"0.006046, 0.005709, 0.005557, 0.005571, 0.005642, 0.0057, 0.005731", \
"0.006004, 0.00568, 0.005497, 0.005517, 0.005596, 0.005656, 0.005689", \
"0.006028, 0.005684, 0.005486, 0.005479, 0.005554, 0.005622, 0.005663", \
"0.006512, 0.0061, 0.005794, 0.005671, 0.005669, 0.005706, 0.005745", \
"0.008577, 0.007874, 0.007171, 0.006684, 0.006427, 0.006309, 0.006255", \
"0.012933, 0.01198, 0.01071, 0.009661, 0.008872, 0.008321, 0.008005");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.049419, 0.047847, 0.045976, 0.044565, 0.043791, 0.043412, 0.043148", \
"0.049361, 0.04779, 0.04593, 0.044521, 0.043751, 0.043374, 0.043109", \
"0.04927, 0.047705, 0.045854, 0.044459, 0.043685, 0.043299, 0.043039", \
"0.049151, 0.047582, 0.045725, 0.044325, 0.043552, 0.043172, 0.042927", \
"0.04906, 0.047487, 0.045621, 0.044202, 0.043426, 0.043054, 0.042824", \
"0.049729, 0.048136, 0.046211, 0.044695, 0.043793, 0.043326, 0.043039", \
"0.052444, 0.050705, 0.048447, 0.046551, 0.045325, 0.04461, 0.044181");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.00502, 0.004731, 0.004593, 0.004638, 0.004722, 0.004788, 0.004825", \
"0.004981, 0.004674, 0.004563, 0.004609, 0.004699, 0.004765, 0.004801", \
"0.004952, 0.004658, 0.004513, 0.004563, 0.004656, 0.004728, 0.004769", \
"0.004995, 0.004685, 0.004515, 0.00454, 0.004633, 0.004711, 0.004756", \
"0.00553, 0.005125, 0.004868, 0.004764, 0.00478, 0.00483, 0.004867", \
"0.007611, 0.006924, 0.006221, 0.005794, 0.00553, 0.005425, 0.005381", \
"0.011956, 0.011029, 0.009769, 0.008737, 0.007966, 0.007441, 0.007131");
}
}
internal_power() {
related_pin : "C";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.04879, 0.04718, 0.045404, 0.043985, 0.043196, 0.042797, 0.042533", \
"0.048755, 0.047181, 0.045318, 0.043905, 0.04313, 0.04275, 0.042485", \
"0.04866, 0.047107, 0.045269, 0.043851, 0.043065, 0.042675, 0.042418", \
"0.048544, 0.046977, 0.045116, 0.043703, 0.042931, 0.042555, 0.042311", \
"0.04851, 0.046935, 0.04506, 0.043644, 0.042855, 0.042475, 0.042249", \
"0.049305, 0.047719, 0.045795, 0.044266, 0.043348, 0.042863, 0.042568", \
"0.052196, 0.050443, 0.048156, 0.046238, 0.044969, 0.044226, 0.043785");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.004312, 0.004063, 0.003993, 0.004066, 0.004167, 0.004236, 0.004273", \
"0.004311, 0.00405, 0.003986, 0.004061, 0.004159, 0.00423, 0.004268", \
"0.004285, 0.004034, 0.003953, 0.004031, 0.004139, 0.004215, 0.004255", \
"0.004381, 0.0041, 0.003976, 0.004028, 0.004131, 0.00421, 0.004253", \
"0.004924, 0.004563, 0.00438, 0.004293, 0.00431, 0.004353, 0.004381", \
"0.006918, 0.006374, 0.005712, 0.00524, 0.005027, 0.004928, 0.004885", \
"0.011314, 0.010244, 0.009158, 0.008129, 0.007378, 0.006883, 0.006588");
}
}
internal_power() {
related_pin : "D";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.044635, 0.043074, 0.041228, 0.039816, 0.039043, 0.03866, 0.038408", \
"0.044593, 0.043023, 0.041162, 0.039759, 0.038994, 0.038609, 0.038363", \
"0.044527, 0.042957, 0.041098, 0.039703, 0.038937, 0.038553, 0.038308", \
"0.044481, 0.042908, 0.041045, 0.039641, 0.038873, 0.038504, 0.038264", \
"0.044633, 0.043054, 0.041184, 0.039762, 0.038963, 0.038575, 0.038338", \
"0.045667, 0.044075, 0.042221, 0.040675, 0.039851, 0.039288, 0.038943", \
"0.049309, 0.047528, 0.045406, 0.043366, 0.041872, 0.041083, 0.04056");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00474, 0.012324, 0.02844, 0.060672, 0.125136, 0.25308,
0.51192");
values ( \
"0.007063, 0.006841, 0.006827, 0.006932, 0.00704, 0.007108, 0.007142", \
"0.007058, 0.00685, 0.00683, 0.00693, 0.007039, 0.007109, 0.007143", \
"0.00705, 0.006841, 0.006794, 0.006904, 0.007024, 0.0071, 0.007136", \
"0.007169, 0.006931, 0.006842, 0.006919, 0.00703, 0.00711, 0.00715", \
"0.007812, 0.007444, 0.007174, 0.00711, 0.007195, 0.007249, 0.007279", \
"0.009754, 0.009081, 0.008472, 0.008075, 0.007918, 0.007833, 0.007783", \
"0.014258, 0.013169, 0.011907, 0.010893, 0.010204, 0.009745, 0.009404");
}
}
}
}
/* --------------- *
* Design : AND4X8 *
* --------------- */
cell (AND4X8) {
cell_footprint : AND4;
area : 18.922500;
cell_leakage_power : 139.781;
pin(A) {
direction : input;
capacitance : 0.00638166;
rise_capacitance : 0.00638083;
fall_capacitance : 0.00638166;
}
pin(B) {
direction : input;
capacitance : 0.00580674;
rise_capacitance : 0.00580342;
fall_capacitance : 0.00580674;
}
pin(C) {
direction : input;
capacitance : 0.00552053;
rise_capacitance : 0.00551734;
fall_capacitance : 0.00552053;
}
pin(D) {
direction : input;
capacitance : 0.00525305;
rise_capacitance : 0.00525081;
fall_capacitance : 0.00525305;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 1.07635;
function : "(((A B) C) D)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.323173, 0.340578, 0.372564, 0.425466, 0.511614, 0.6529, 0.903158", \
"0.324509, 0.341806, 0.373968, 0.427171, 0.512813, 0.653759, 0.904587", \
"0.326773, 0.344291, 0.376166, 0.429108, 0.515422, 0.656186, 0.906569", \
"0.330184, 0.34743, 0.379478, 0.432413, 0.518622, 0.659103, 0.910342", \
"0.336268, 0.353781, 0.385791, 0.438965, 0.524963, 0.665847, 0.916197", \
"0.351675, 0.369042, 0.401077, 0.454136, 0.5397, 0.679839, 0.931055", \
"0.385053, 0.402648, 0.43482, 0.488701, 0.575248, 0.716327, 0.967528");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.102284, 0.114886, 0.138672, 0.184721, 0.267172, 0.427258, 0.760843", \
"0.101954, 0.114452, 0.137337, 0.184397, 0.2683, 0.426079, 0.758856", \
"0.103714, 0.114892, 0.138391, 0.185407, 0.268408, 0.425312, 0.761997", \
"0.103087, 0.114137, 0.13851, 0.184329, 0.269556, 0.42769, 0.753232", \
"0.102106, 0.112996, 0.13699, 0.183696, 0.266417, 0.425249, 0.761523", \
"0.100771, 0.114012, 0.137948, 0.184865, 0.269486, 0.428615, 0.759608", \
"0.113544, 0.12477, 0.147021, 0.191489, 0.273722, 0.429089, 0.754652");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.121003, 0.132501, 0.152615, 0.185242, 0.240152, 0.340049, 0.538858", \
"0.12397, 0.135472, 0.155521, 0.188217, 0.24308, 0.342948, 0.541523", \
"0.130157, 0.141725, 0.161699, 0.19442, 0.249346, 0.349199, 0.547529", \
"0.142766, 0.154351, 0.17424, 0.206961, 0.261823, 0.361713, 0.560453", \
"0.16924, 0.180692, 0.200516, 0.232953, 0.28758, 0.387255, 0.58521", \
"0.2162, 0.228153, 0.248339, 0.281054, 0.33573, 0.435116, 0.632831", \
"0.274266, 0.287392, 0.309866, 0.345647, 0.40392, 0.504314, 0.701058");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.041544, 0.051442, 0.068655, 0.103546, 0.171584, 0.313513, 0.60909", \
"0.042215, 0.05135, 0.069282, 0.10298, 0.17155, 0.314531, 0.604702", \
"0.042292, 0.05056, 0.069343, 0.102806, 0.170546, 0.311574, 0.612268", \
"0.041435, 0.051155, 0.069321, 0.103462, 0.171619, 0.314006, 0.607213", \
"0.042074, 0.05136, 0.069515, 0.104042, 0.171192, 0.313056, 0.608017", \
"0.052028, 0.060058, 0.077992, 0.108771, 0.172857, 0.312532, 0.611699", \
"0.073147, 0.081429, 0.099284, 0.128399, 0.188631, 0.322129, 0.606657");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.314155, 0.331636, 0.363516, 0.41648, 0.502827, 0.643462, 0.893742", \
"0.31583, 0.333231, 0.365158, 0.418096, 0.503988, 0.645369, 0.895967", \
"0.318495, 0.335929, 0.36794, 0.420774, 0.506923, 0.647473, 0.898594", \
"0.323015, 0.340548, 0.372568, 0.425715, 0.511408, 0.652166, 0.903308", \
"0.332555, 0.350077, 0.382089, 0.435301, 0.521037, 0.661592, 0.912863", \
"0.356257, 0.373527, 0.405523, 0.458532, 0.544134, 0.684536, 0.935569", \
"0.405863, 0.423634, 0.455881, 0.509778, 0.595924, 0.736443, 0.98787");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.103663, 0.114813, 0.139469, 0.185309, 0.268796, 0.424623, 0.76152", \
"0.102282, 0.114679, 0.138265, 0.185164, 0.267988, 0.426198, 0.760337", \
"0.102861, 0.114207, 0.137543, 0.184296, 0.269489, 0.427274, 0.752657", \
"0.102532, 0.113311, 0.137017, 0.183778, 0.267246, 0.428289, 0.758991", \
"0.102042, 0.11298, 0.136806, 0.184221, 0.26884, 0.427598, 0.753284", \
"0.102021, 0.113567, 0.138025, 0.18525, 0.268937, 0.428526, 0.75972", \
"0.111944, 0.123227, 0.146153, 0.192222, 0.27316, 0.431285, 0.760448");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.116504, 0.127927, 0.147748, 0.180149, 0.234713, 0.334435, 0.531944", \
"0.119586, 0.130989, 0.150906, 0.183249, 0.237777, 0.33751, 0.536089", \
"0.125836, 0.137317, 0.1572, 0.189601, 0.24416, 0.343818, 0.542408", \
"0.138557, 0.150055, 0.169885, 0.202283, 0.256604, 0.356003, 0.554048", \
"0.16499, 0.176246, 0.195951, 0.227931, 0.282339, 0.3817, 0.579484", \
"0.210609, 0.222406, 0.242501, 0.275126, 0.329717, 0.428868, 0.626326", \
"0.265698, 0.278885, 0.301335, 0.337001, 0.39516, 0.495584, 0.690881");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.040616, 0.050292, 0.067927, 0.1024, 0.170795, 0.308977, 0.60587", \
"0.041028, 0.050414, 0.067626, 0.102095, 0.170407, 0.312118, 0.611101", \
"0.040902, 0.050259, 0.068111, 0.101948, 0.170383, 0.312918, 0.608585", \
"0.040585, 0.049402, 0.068048, 0.102539, 0.17022, 0.313123, 0.603818", \
"0.040818, 0.050339, 0.068659, 0.102664, 0.169749, 0.312203, 0.60497", \
"0.051732, 0.060412, 0.076185, 0.108121, 0.17255, 0.311578, 0.61092", \
"0.072986, 0.081311, 0.099131, 0.128526, 0.18828, 0.321703, 0.611612");
}
}
timing() {
related_pin : "C";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.304323, 0.321897, 0.353661, 0.407032, 0.492764, 0.633384, 0.884197", \
"0.305825, 0.323307, 0.355219, 0.408164, 0.494068, 0.635086, 0.88539", \
"0.3084, 0.32576, 0.357818, 0.410623, 0.496979, 0.637356, 0.887869", \
"0.313909, 0.3313, 0.363558, 0.416404, 0.502265, 0.643581, 0.893982", \
"0.32776, 0.345145, 0.376916, 0.429985, 0.515985, 0.656507, 0.907926", \
"0.360492, 0.377933, 0.40972, 0.462735, 0.548341, 0.689218, 0.940103", \
"0.426313, 0.443842, 0.475935, 0.529305, 0.615416, 0.75629, 1.0069");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.102109, 0.112741, 0.139336, 0.184187, 0.266319, 0.428537, 0.761677", \
"0.10181, 0.113882, 0.138399, 0.185254, 0.267469, 0.427789, 0.761943", \
"0.103189, 0.114606, 0.139399, 0.184975, 0.269394, 0.424695, 0.758627", \
"0.100916, 0.113744, 0.138708, 0.184951, 0.266752, 0.425969, 0.761184", \
"0.102234, 0.113447, 0.138012, 0.184437, 0.268546, 0.428276, 0.756855", \
"0.102061, 0.113343, 0.138587, 0.184227, 0.267753, 0.428457, 0.7601", \
"0.111793, 0.122722, 0.147625, 0.191176, 0.273838, 0.430288, 0.762525");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.111993, 0.123285, 0.142986, 0.17501, 0.229277, 0.328643, 0.52691", \
"0.115123, 0.126515, 0.146224, 0.178248, 0.232498, 0.33181, 0.529534", \
"0.121673, 0.133027, 0.15276, 0.184688, 0.238977, 0.338289, 0.536106", \
"0.134463, 0.145798, 0.165376, 0.197373, 0.251459, 0.350731, 0.548897", \
"0.160995, 0.17217, 0.191256, 0.222945, 0.276974, 0.376268, 0.574695", \
"0.20461, 0.216231, 0.23654, 0.269043, 0.323306, 0.422094, 0.619217", \
"0.255882, 0.269361, 0.291902, 0.327501, 0.385426, 0.484997, 0.68138");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.039477, 0.048882, 0.066928, 0.100869, 0.16915, 0.30891, 0.610595", \
"0.039451, 0.048999, 0.066299, 0.100539, 0.168018, 0.309825, 0.609879", \
"0.039125, 0.049007, 0.066092, 0.100869, 0.168084, 0.309832, 0.610002", \
"0.039414, 0.048423, 0.066998, 0.101004, 0.16936, 0.312727, 0.603945", \
"0.0398, 0.049359, 0.067661, 0.101251, 0.170143, 0.312342, 0.609002", \
"0.051949, 0.059893, 0.076249, 0.108168, 0.173455, 0.313036, 0.605245", \
"0.072681, 0.080246, 0.098286, 0.12938, 0.1871, 0.321305, 0.609052");
}
}
timing() {
related_pin : "D";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.286904, 0.304362, 0.336212, 0.389166, 0.475492, 0.616249, 0.866344", \
"0.287872, 0.30524, 0.337169, 0.390118, 0.476369, 0.616872, 0.867991", \
"0.290311, 0.307819, 0.339569, 0.392862, 0.478936, 0.619567, 0.869989", \
"0.29634, 0.313789, 0.345735, 0.398934, 0.484721, 0.62527, 0.876495", \
"0.31174, 0.329245, 0.361173, 0.414253, 0.500335, 0.641038, 0.891473", \
"0.349803, 0.367616, 0.399022, 0.451865, 0.537311, 0.677583, 0.928536", \
"0.425431, 0.442787, 0.474603, 0.527471, 0.613447, 0.754519, 1.00543");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.101967, 0.113665, 0.137946, 0.184849, 0.268266, 0.427043, 0.761206", \
"0.10316, 0.113904, 0.138107, 0.184206, 0.269569, 0.426991, 0.752471", \
"0.101733, 0.112196, 0.139219, 0.18471, 0.266621, 0.428095, 0.761439", \
"0.102472, 0.113472, 0.137341, 0.184082, 0.268521, 0.428323, 0.759456", \
"0.102237, 0.11208, 0.137208, 0.18494, 0.267694, 0.424274, 0.761331", \
"0.100585, 0.112695, 0.138108, 0.185213, 0.268861, 0.428777, 0.759703", \
"0.114279, 0.123048, 0.147736, 0.190794, 0.273929, 0.430251, 0.75466");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.106838, 0.117984, 0.137487, 0.169305, 0.223384, 0.322552, 0.520046", \
"0.110081, 0.121222, 0.140661, 0.172506, 0.226648, 0.325534, 0.522982", \
"0.116536, 0.12773, 0.147229, 0.179065, 0.233116, 0.332282, 0.529559", \
"0.129398, 0.140525, 0.159996, 0.191732, 0.245689, 0.344777, 0.541634", \
"0.155676, 0.166674, 0.18574, 0.217234, 0.271108, 0.37021, 0.567532", \
"0.197535, 0.209224, 0.229436, 0.261729, 0.316341, 0.414945, 0.611876", \
"0.245456, 0.25827, 0.280517, 0.31654, 0.374594, 0.475305, 0.67093");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.038485, 0.04772, 0.065494, 0.099708, 0.169075, 0.310627, 0.604772", \
"0.038168, 0.047547, 0.06539, 0.099506, 0.167496, 0.312092, 0.606442", \
"0.038225, 0.047731, 0.065497, 0.099632, 0.169049, 0.310951, 0.603023", \
"0.037959, 0.046968, 0.065897, 0.0999, 0.168362, 0.31216, 0.604611", \
"0.039078, 0.048066, 0.066562, 0.101011, 0.169581, 0.309658, 0.603177", \
"0.051282, 0.059873, 0.076058, 0.107919, 0.173121, 0.313252, 0.60272", \
"0.072745, 0.079458, 0.096657, 0.127707, 0.189521, 0.317838, 0.604531");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.072327, 0.069834, 0.066526, 0.063566, 0.061911, 0.060962, 0.060366", \
"0.07224, 0.069862, 0.0665, 0.063594, 0.061814, 0.060884, 0.060297", \
"0.072193, 0.069698, 0.066392, 0.06354, 0.061771, 0.060816, 0.060229", \
"0.072037, 0.069538, 0.066213, 0.063328, 0.061551, 0.060623, 0.060068", \
"0.071841, 0.06932, 0.06596, 0.063069, 0.061315, 0.060417, 0.059885", \
"0.072326, 0.069808, 0.066428, 0.063471, 0.06159, 0.06058, 0.059988", \
"0.075103, 0.072442, 0.068758, 0.065367, 0.06307, 0.061776, 0.061002");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.003664, 0.002973, 0.002478, 0.002318, 0.002323, 0.00236, 0.002383", \
"0.003636, 0.002934, 0.00243, 0.00228, 0.002293, 0.00233, 0.002352", \
"0.00359, 0.002882, 0.002382, 0.002236, 0.002251, 0.002288, 0.002312", \
"0.003602, 0.002912, 0.002343, 0.002195, 0.002203, 0.00225, 0.002283", \
"0.004136, 0.00338, 0.002729, 0.002419, 0.002333, 0.002338, 0.002357", \
"0.006723, 0.005632, 0.004454, 0.00368, 0.003229, 0.002989, 0.002874", \
"0.012537, 0.010913, 0.00892, 0.007255, 0.006001, 0.005184, 0.004684");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.072028, 0.069532, 0.066229, 0.063377, 0.061603, 0.060645, 0.060064", \
"0.071992, 0.069489, 0.066159, 0.06329, 0.06153, 0.060601, 0.060026", \
"0.071929, 0.069444, 0.06604, 0.063248, 0.061459, 0.060517, 0.059953", \
"0.0718, 0.069297, 0.065949, 0.063051, 0.061286, 0.060383, 0.05983", \
"0.071655, 0.069132, 0.065769, 0.062872, 0.061122, 0.060214, 0.059693", \
"0.072239, 0.069717, 0.066342, 0.063379, 0.061484, 0.060465, 0.05987", \
"0.075207, 0.072557, 0.068892, 0.065472, 0.063159, 0.06179, 0.060979");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.002527, 0.001882, 0.001451, 0.001335, 0.00136, 0.0014, 0.001426", \
"0.00252, 0.001866, 0.001426, 0.001307, 0.001338, 0.001382, 0.001412", \
"0.002479, 0.001813, 0.00136, 0.001251, 0.001293, 0.001345, 0.001382", \
"0.002545, 0.001867, 0.001367, 0.001235, 0.001268, 0.00133, 0.001371", \
"0.003102, 0.00236, 0.001779, 0.001494, 0.001432, 0.001449, 0.001473", \
"0.005727, 0.004642, 0.00351, 0.002742, 0.00231, 0.002105, 0.002004", \
"0.011587, 0.009963, 0.007974, 0.006308, 0.005092, 0.004287, 0.003804");
}
}
internal_power() {
related_pin : "C";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.071468, 0.068953, 0.065607, 0.062708, 0.060933, 0.06001, 0.059428", \
"0.071375, 0.068867, 0.065539, 0.062665, 0.060899, 0.059981, 0.059397", \
"0.071312, 0.068819, 0.065512, 0.062639, 0.060847, 0.059897, 0.059329", \
"0.071182, 0.068665, 0.065319, 0.062432, 0.060668, 0.059764, 0.059211", \
"0.071088, 0.068569, 0.065214, 0.062307, 0.060531, 0.059621, 0.059104", \
"0.071795, 0.069273, 0.065901, 0.062933, 0.061034, 0.060061, 0.059429", \
"0.074942, 0.072277, 0.068572, 0.065128, 0.062783, 0.061386, 0.060564");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.001768, 0.001142, 0.000766, 0.00071, 0.000775, 0.000837, 0.000873", \
"0.001758, 0.001143, 0.000771, 0.000707, 0.000775, 0.000836, 0.000871", \
"0.001756, 0.001126, 0.000754, 0.00069, 0.000761, 0.000825, 0.000862", \
"0.001834, 0.001202, 0.000771, 0.000691, 0.000749, 0.000818, 0.000859", \
"0.002434, 0.001775, 0.001296, 0.001027, 0.00096, 0.000971, 0.000986", \
"0.00498, 0.00411, 0.002985, 0.002187, 0.001787, 0.001589, 0.001495", \
"0.010685, 0.009095, 0.007364, 0.005719, 0.004511, 0.003715, 0.003254");
}
}
internal_power() {
related_pin : "D";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.06727, 0.064758, 0.061419, 0.058541, 0.056781, 0.055874, 0.055305", \
"0.067219, 0.064709, 0.061379, 0.05851, 0.056735, 0.055803, 0.055256", \
"0.067161, 0.064656, 0.061311, 0.058416, 0.056655, 0.055756, 0.055197", \
"0.067097, 0.064583, 0.061237, 0.058339, 0.056578, 0.055668, 0.055139", \
"0.067158, 0.064634, 0.061278, 0.058388, 0.056604, 0.055671, 0.055157", \
"0.068453, 0.06593, 0.062541, 0.059523, 0.057534, 0.056408, 0.05574", \
"0.07216, 0.069798, 0.065971, 0.062393, 0.059796, 0.058254, 0.057329");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00632, 0.016432, 0.03792, 0.080896, 0.166848, 0.33744,
0.68256");
values ( \
"0.004429, 0.00388, 0.003574, 0.003561, 0.003647, 0.003711, 0.003747", \
"0.004454, 0.003897, 0.00357, 0.003565, 0.003654, 0.003718, 0.003752", \
"0.004453, 0.003872, 0.003555, 0.003544, 0.00364, 0.003712, 0.00375", \
"0.004532, 0.00394, 0.003575, 0.003535, 0.00363, 0.00371, 0.003755", \
"0.005286, 0.004587, 0.004073, 0.003887, 0.003851, 0.003873, 0.00389", \
"0.007789, 0.006721, 0.005679, 0.005001, 0.004615, 0.004495, 0.004403", \
"0.013486, 0.011832, 0.009922, 0.008364, 0.007261, 0.006532, 0.006102");
}
}
}
}

/* --------------- *
* Design : AND4XL *
* --------------- */
cell (AND4XL) {
cell_footprint : AND4;
area : 6.812100;
cell_leakage_power : 24.8893;
pin(A) {
direction : input;
capacitance : 0.00182223;
rise_capacitance : 0.00182192;
fall_capacitance : 0.00182223;
}
pin(B) {
direction : input;
capacitance : 0.00179297;
rise_capacitance : 0.00179217;
fall_capacitance : 0.00179297;
}
pin(C) {
direction : input;
capacitance : 0.00173961;
rise_capacitance : 0.00173816;
fall_capacitance : 0.00173961;
}
pin(D) {
direction : input;
capacitance : 0.00168097;
rise_capacitance : 0.00168009;
fall_capacitance : 0.00168097;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.078323;
function : "(((A B) C) D)";
timing() {
related_pin : "A";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.198979, 0.212881, 0.237687, 0.277939, 0.344729, 0.459585, 0.679147", \
"0.199913, 0.213635, 0.238296, 0.278656, 0.345275, 0.459923, 0.679356", \
"0.200828, 0.214655, 0.23951, 0.279756, 0.346388, 0.46102, 0.680407", \
"0.203233, 0.216993, 0.241747, 0.281962, 0.348603, 0.463494, 0.682522", \
"0.208947, 0.2226, 0.247426, 0.287728, 0.354355, 0.469121, 0.688792", \
"0.219938, 0.234124, 0.259356, 0.300474, 0.367592, 0.482733, 0.702059", \
"0.241752, 0.25641, 0.283028, 0.325876, 0.396143, 0.514617, 0.734987");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.054814, 0.066566, 0.087913, 0.126556, 0.198696, 0.348627, 0.656316", \
"0.055078, 0.066375, 0.087664, 0.12624, 0.200131, 0.347748, 0.660802", \
"0.054566, 0.066479, 0.087641, 0.126231, 0.200023, 0.347524, 0.661193", \
"0.054236, 0.065454, 0.087574, 0.125901, 0.200305, 0.346063, 0.661929", \
"0.054442, 0.06582, 0.087801, 0.125342, 0.199535, 0.346069, 0.658689", \
"0.06012, 0.070593, 0.091875, 0.130552, 0.201575, 0.348784, 0.661701", \
"0.06675, 0.078156, 0.101481, 0.138864, 0.210861, 0.3571, 0.664885");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.082461, 0.090902, 0.106018, 0.131487, 0.177241, 0.264557, 0.439987", \
"0.085452, 0.093931, 0.108985, 0.134482, 0.180265, 0.267752, 0.442291", \
"0.091678, 0.100057, 0.115237, 0.14074, 0.18636, 0.273525, 0.449396", \
"0.104281, 0.112605, 0.127551, 0.153042, 0.198648, 0.286099, 0.461774", \
"0.128114, 0.136592, 0.151658, 0.177288, 0.22282, 0.309905, 0.485941", \
"0.159044, 0.168491, 0.18515, 0.212389, 0.259275, 0.346463, 0.521716", \
"0.195009, 0.205938, 0.22491, 0.25483, 0.304949, 0.392615, 0.567969");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.027726, 0.035678, 0.050984, 0.08195, 0.142968, 0.274133, 0.534057", \
"0.027664, 0.035802, 0.051122, 0.081899, 0.144359, 0.272644, 0.539079", \
"0.027374, 0.035571, 0.051343, 0.081616, 0.143559, 0.274314, 0.531201", \
"0.027569, 0.035488, 0.051407, 0.081321, 0.144767, 0.271543, 0.538079", \
"0.030833, 0.038395, 0.05309, 0.082393, 0.144223, 0.27412, 0.533702", \
"0.039952, 0.047289, 0.062689, 0.090388, 0.148286, 0.274115, 0.537286", \
"0.054229, 0.062593, 0.07821, 0.105393, 0.159277, 0.277762, 0.535388");
}
}
timing() {
related_pin : "B";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.193201, 0.207029, 0.231792, 0.272048, 0.338898, 0.453919, 0.673578", \
"0.193932, 0.207709, 0.232393, 0.272931, 0.339684, 0.454965, 0.674544", \
"0.195647, 0.209327, 0.233878, 0.274555, 0.34102, 0.456169, 0.67604", \
"0.198916, 0.212767, 0.237292, 0.277846, 0.344305, 0.459457, 0.679339", \
"0.208162, 0.221756, 0.2465, 0.286672, 0.353292, 0.468303, 0.687782", \
"0.227575, 0.241547, 0.266779, 0.307741, 0.374768, 0.489929, 0.709282", \
"0.262872, 0.277489, 0.303119, 0.346346, 0.416167, 0.534156, 0.754674");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.054452, 0.065867, 0.087939, 0.126839, 0.198744, 0.348587, 0.656377", \
"0.05471, 0.066139, 0.087993, 0.127414, 0.199023, 0.348172, 0.654488", \
"0.054922, 0.065903, 0.087651, 0.127065, 0.199057, 0.347799, 0.655126", \
"0.054674, 0.06605, 0.087756, 0.126764, 0.198871, 0.34808, 0.65302", \
"0.054182, 0.065816, 0.087612, 0.12597, 0.200329, 0.345255, 0.661147", \
"0.060034, 0.071569, 0.091256, 0.13077, 0.202319, 0.347392, 0.661803", \
"0.066875, 0.078666, 0.101715, 0.138996, 0.210994, 0.355099, 0.660782");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.079535, 0.087749, 0.10262, 0.127845, 0.173182, 0.26035, 0.435471", \
"0.082543, 0.090893, 0.105669, 0.130893, 0.176285, 0.263445, 0.438633", \
"0.088858, 0.097052, 0.111974, 0.137064, 0.18252, 0.269763, 0.444631", \
"0.101443, 0.109601, 0.124284, 0.149463, 0.194829, 0.282119, 0.456778", \
"0.124251, 0.132802, 0.147642, 0.17295, 0.218315, 0.305418, 0.48082", \
"0.152996, 0.162467, 0.178899, 0.206043, 0.252705, 0.339756, 0.514842", \
"0.184482, 0.195609, 0.214492, 0.244512, 0.293807, 0.381277, 0.555893");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.026454, 0.034416, 0.049864, 0.080532, 0.142423, 0.269602, 0.5383", \
"0.026632, 0.034621, 0.049867, 0.080532, 0.142346, 0.273145, 0.53017", \
"0.026426, 0.034376, 0.050042, 0.080627, 0.142878, 0.272665, 0.533806", \
"0.026775, 0.034636, 0.050174, 0.080454, 0.143758, 0.270209, 0.538827", \
"0.030344, 0.037689, 0.052076, 0.081772, 0.144157, 0.270966, 0.538105", \
"0.039618, 0.046707, 0.061939, 0.088891, 0.149108, 0.274779, 0.532647", \
"0.054331, 0.060844, 0.076637, 0.104436, 0.158855, 0.277283, 0.534852");
}
}
timing() {
related_pin : "C";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.182502, 0.196275, 0.220932, 0.261246, 0.327869, 0.442746, 0.661996", \
"0.182987, 0.196806, 0.221686, 0.261931, 0.328613, 0.443389, 0.662944", \
"0.184887, 0.198791, 0.223478, 0.263755, 0.33047, 0.445464, 0.66477", \
"0.189914, 0.20388, 0.228465, 0.268949, 0.335452, 0.450572, 0.670468", \
"0.204071, 0.217834, 0.242291, 0.282641, 0.34899, 0.464039, 0.683333", \
"0.232013, 0.246032, 0.271509, 0.312166, 0.379243, 0.494578, 0.714254", \
"0.279097, 0.293442, 0.319543, 0.362044, 0.431725, 0.549396, 0.770213");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.054974, 0.066371, 0.087499, 0.125792, 0.200267, 0.347175, 0.661721", \
"0.054469, 0.066456, 0.087629, 0.126109, 0.199931, 0.347672, 0.660951", \
"0.054705, 0.066299, 0.087432, 0.125494, 0.200288, 0.345801, 0.661773", \
"0.054569, 0.066181, 0.087701, 0.126609, 0.198953, 0.347905, 0.653484", \
"0.054254, 0.065583, 0.08765, 0.127444, 0.200473, 0.345889, 0.661556", \
"0.059718, 0.070918, 0.092466, 0.13023, 0.202442, 0.346306, 0.659547", \
"0.067312, 0.078744, 0.101287, 0.140145, 0.210393, 0.355288, 0.660769");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.075058, 0.083164, 0.097711, 0.122387, 0.16751, 0.254522, 0.42868", \
"0.078168, 0.086282, 0.10087, 0.125629, 0.170652, 0.25765, 0.431905", \
"0.08464, 0.092743, 0.107241, 0.132012, 0.177125, 0.264076, 0.439272", \
"0.097193, 0.105156, 0.11962, 0.144382, 0.189328, 0.276298, 0.451318", \
"0.118793, 0.127179, 0.142035, 0.167044, 0.212229, 0.299112, 0.473375", \
"0.144724, 0.154297, 0.170608, 0.197521, 0.243873, 0.330918, 0.505445", \
"0.171731, 0.182646, 0.201639, 0.231684, 0.280871, 0.36812, 0.543");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.025488, 0.032984, 0.048777, 0.079473, 0.142785, 0.270606, 0.53536", \
"0.025367, 0.033334, 0.048637, 0.079366, 0.142828, 0.269603, 0.53721", \
"0.025426, 0.033526, 0.048825, 0.079362, 0.141589, 0.272647, 0.533101", \
"0.025882, 0.033584, 0.049131, 0.079329, 0.142758, 0.268954, 0.538487", \
"0.029767, 0.037339, 0.052343, 0.081616, 0.143736, 0.269694, 0.537916", \
"0.039135, 0.046606, 0.061821, 0.089627, 0.147879, 0.271564, 0.535873", \
"0.052652, 0.061702, 0.076181, 0.103728, 0.159479, 0.277177, 0.534332");
}
}
timing() {
related_pin : "D";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.16742, 0.18114, 0.205773, 0.24636, 0.313058, 0.428027, 0.647527", \
"0.167985, 0.181766, 0.206521, 0.246773, 0.313467, 0.428487, 0.648148", \
"0.170131, 0.183859, 0.208625, 0.248865, 0.315506, 0.430703, 0.650383", \
"0.1764, 0.190077, 0.214634, 0.2552, 0.32165, 0.436848, 0.656773", \
"0.193399, 0.207125, 0.231565, 0.271782, 0.338447, 0.453665, 0.67325", \
"0.226641, 0.240627, 0.26564, 0.306559, 0.373551, 0.488608, 0.708082", \
"0.279561, 0.294274, 0.31945, 0.361594, 0.430897, 0.548237, 0.768747");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.05475, 0.065625, 0.087839, 0.125679, 0.200181, 0.345198, 0.661197", \
"0.054443, 0.065352, 0.087566, 0.126648, 0.199326, 0.348473, 0.659396", \
"0.053983, 0.065331, 0.08745, 0.126555, 0.199804, 0.348531, 0.658317", \
"0.054364, 0.065582, 0.087582, 0.126839, 0.198734, 0.347834, 0.654484", \
"0.054269, 0.066002, 0.087453, 0.125952, 0.200004, 0.34864, 0.657635", \
"0.059209, 0.070639, 0.092458, 0.130532, 0.201504, 0.349745, 0.660733", \
"0.06859, 0.078949, 0.100852, 0.139647, 0.211301, 0.353454, 0.658811");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.070076, 0.078077, 0.092378, 0.116922, 0.16178, 0.248639, 0.425185", \
"0.073299, 0.081237, 0.095594, 0.120159, 0.164906, 0.251443, 0.428587", \
"0.079695, 0.087618, 0.101929, 0.126494, 0.17138, 0.258291, 0.434409", \
"0.092173, 0.100042, 0.114227, 0.138788, 0.183555, 0.27044, 0.445329", \
"0.112198, 0.120591, 0.135459, 0.160487, 0.20532, 0.292045, 0.466251", \
"0.135025, 0.14445, 0.160933, 0.187859, 0.234576, 0.320905, 0.495458", \
"0.156976, 0.167697, 0.186869, 0.217411, 0.267329, 0.355788, 0.529666");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.024637, 0.032509, 0.047715, 0.078536, 0.141787, 0.272276, 0.538578", \
"0.024776, 0.032176, 0.04795, 0.07805, 0.142239, 0.271914, 0.535006", \
"0.024525, 0.032321, 0.04808, 0.078633, 0.141948, 0.271887, 0.53913", \
"0.024838, 0.032839, 0.048153, 0.078662, 0.142363, 0.26936, 0.53911", \
"0.029837, 0.037194, 0.051746, 0.080996, 0.143126, 0.268749, 0.538548", \
"0.03871, 0.04624, 0.061087, 0.089047, 0.147529, 0.271993, 0.534571", \
"0.053873, 0.061643, 0.07695, 0.104761, 0.159285, 0.27781, 0.541668");
}
}
internal_power() {
related_pin : "A";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003701, 0.003646, 0.003593, 0.003562, 0.003548, 0.003536, 0.003518", \
"0.003681, 0.003627, 0.003574, 0.003542, 0.003528, 0.003515, 0.003497", \
"0.00365, 0.003595, 0.003542, 0.00351, 0.003495, 0.003483, 0.003465", \
"0.003609, 0.003554, 0.0035, 0.003467, 0.003452, 0.003441, 0.003425", \
"0.003597, 0.003541, 0.003483, 0.003446, 0.003428, 0.003417, 0.003402", \
"0.003741, 0.003673, 0.0036, 0.003547, 0.003517, 0.003499, 0.003483", \
"0.00424, 0.004162, 0.004084, 0.004002, 0.003947, 0.003914, 0.00389");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003545, 0.003544, 0.003551, 0.003559, 0.003564, 0.003566, 0.003566", \
"0.003532, 0.003532, 0.003539, 0.003547, 0.003552, 0.003554, 0.003554", \
"0.003517, 0.003516, 0.003522, 0.003531, 0.003537, 0.00354, 0.00354", \
"0.003522, 0.003518, 0.00352, 0.003526, 0.003532, 0.003535, 0.003535", \
"0.003596, 0.00358, 0.003569, 0.003565, 0.003566, 0.003568, 0.003567", \
"0.003864, 0.00383, 0.003803, 0.003769, 0.003751, 0.003741, 0.003734", \
"0.004583, 0.004543, 0.004469, 0.0044, 0.004331, 0.004292, 0.004265");
}
}
internal_power() {
related_pin : "B";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003616, 0.003561, 0.003508, 0.003476, 0.003464, 0.003457, 0.003445", \
"0.003595, 0.003545, 0.003491, 0.003459, 0.003446, 0.003439, 0.003428", \
"0.003569, 0.003515, 0.003462, 0.003429, 0.003416, 0.00341, 0.003399", \
"0.003534, 0.003479, 0.003425, 0.003393, 0.00338, 0.003374, 0.003365", \
"0.003537, 0.003481, 0.003424, 0.003387, 0.00337, 0.003363, 0.003354", \
"0.003711, 0.003643, 0.003569, 0.003515, 0.003484, 0.003468, 0.003456", \
"0.004235, 0.004153, 0.004055, 0.003972, 0.003916, 0.003882, 0.00386");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003294, 0.003298, 0.003308, 0.003323, 0.003335, 0.003342, 0.003345", \
"0.003283, 0.003286, 0.003298, 0.003313, 0.003325, 0.003332, 0.003336", \
"0.00327, 0.003273, 0.003283, 0.003299, 0.003312, 0.00332, 0.003323", \
"0.003277, 0.003276, 0.003281, 0.003293, 0.003306, 0.003314, 0.003319", \
"0.003362, 0.003348, 0.00334, 0.003341, 0.003346, 0.003353, 0.003357", \
"0.003638, 0.003606, 0.003573, 0.003546, 0.003528, 0.003524, 0.003523", \
"0.004343, 0.004287, 0.004221, 0.00416, 0.004105, 0.004065, 0.00404");
}
}
internal_power() {
related_pin : "C";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003404, 0.00335, 0.003297, 0.003265, 0.003252, 0.003245, 0.003234", \
"0.003389, 0.003335, 0.003281, 0.003249, 0.003236, 0.003229, 0.003218", \
"0.003363, 0.003309, 0.003255, 0.003223, 0.00321, 0.003203, 0.003193", \
"0.003338, 0.003283, 0.00323, 0.003197, 0.003183, 0.003178, 0.00317", \
"0.003366, 0.003309, 0.003252, 0.003213, 0.003195, 0.003187, 0.003178", \
"0.003563, 0.003496, 0.003426, 0.003371, 0.003338, 0.003321, 0.003308", \
"0.004108, 0.004024, 0.003923, 0.00384, 0.003782, 0.003745, 0.003721");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.003165, 0.003172, 0.003186, 0.003202, 0.003213, 0.00322, 0.003223", \
"0.00316, 0.003166, 0.00318, 0.003196, 0.003209, 0.003216, 0.003219", \
"0.003153, 0.003157, 0.003171, 0.003187, 0.003201, 0.003208, 0.003212", \
"0.003167, 0.003168, 0.003175, 0.003188, 0.0032, 0.003209, 0.003213", \
"0.003255, 0.003244, 0.003236, 0.00324, 0.003246, 0.003253, 0.003257", \
"0.003523, 0.003495, 0.00347, 0.003442, 0.003435, 0.00343, 0.003427", \
"0.00423, 0.004173, 0.004108, 0.004047, 0.003996, 0.003958, 0.003936");
}
}
internal_power() {
related_pin : "D";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.002013, 0.001959, 0.001906, 0.001874, 0.001861, 0.001854, 0.001844", \
"0.001998, 0.001943, 0.00189, 0.001858, 0.001844, 0.001838, 0.001829", \
"0.00198, 0.001925, 0.001872, 0.001839, 0.001826, 0.00182, 0.001812", \
"0.001976, 0.001921, 0.001867, 0.001833, 0.001819, 0.001814, 0.001807", \
"0.002044, 0.001988, 0.001931, 0.001891, 0.001872, 0.001869, 0.001859", \
"0.002316, 0.002248, 0.002173, 0.002117, 0.002086, 0.002065, 0.00205", \
"0.002973, 0.002893, 0.002799, 0.002706, 0.002641, 0.002598, 0.00257");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.004245, 0.004256, 0.004272, 0.004289, 0.0043, 0.004306, 0.004308", \
"0.004241, 0.004251, 0.004267, 0.004284, 0.004296, 0.004302, 0.004305", \
"0.004237, 0.004244, 0.00426, 0.004278, 0.004291, 0.004298, 0.004301", \
"0.004254, 0.004257, 0.004265, 0.004283, 0.004295, 0.004303, 0.004306", \
"0.004347, 0.004339, 0.00433, 0.004335, 0.004343, 0.00435, 0.004353", \
"0.004623, 0.004595, 0.004565, 0.004545, 0.004536, 0.004529, 0.00453", \
"0.005342, 0.005285, 0.005216, 0.005159, 0.005111, 0.00508, 0.00506");
}
}
}
}

/* --------------- *
* Design : AO21X1 *
* --------------- */
cell (AO21X1) {
cell_footprint : AO21;
area : 6.812100;
cell_leakage_power : 42.6383;
pin(A0) {
direction : input;
capacitance : 0.00155276;
rise_capacitance : 0.00155251;
fall_capacitance : 0.00155276;
}
pin(A1) {
direction : input;
capacitance : 0.00162767;
rise_capacitance : 0.00162712;
fall_capacitance : 0.00162767;
}
pin(B0) {
direction : input;
capacitance : 0.00175148;
rise_capacitance : 0.00175148;
fall_capacitance : 0.00175121;
rise_capacitance_range ( 0.00175123, 0.00175173) ;
fall_capacitance_range ( 0.00175095, 0.00175146) ;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.143011;
function : "((A0 A1)+B0)";
timing() {
related_pin : "A0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.124744, 0.137635, 0.160174, 0.197206, 0.26129, 0.381401, 0.622486", \
"0.126598, 0.139478, 0.162038, 0.199059, 0.263138, 0.383462, 0.623516", \
"0.130295, 0.143245, 0.165791, 0.20278, 0.26683, 0.387027, 0.627846", \
"0.137602, 0.150453, 0.173062, 0.209907, 0.273836, 0.393983, 0.635484", \
"0.152605, 0.165424, 0.187856, 0.224678, 0.288692, 0.408963, 0.649643", \
"0.175107, 0.188553, 0.212202, 0.250025, 0.314375, 0.434788, 0.675764", \
"0.203982, 0.218336, 0.243211, 0.28377, 0.350527, 0.471482, 0.711333");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.041925, 0.053056, 0.073392, 0.113727, 0.194193, 0.367634, 0.717611", \
"0.042111, 0.053101, 0.073155, 0.113365, 0.19554, 0.366301, 0.711255", \
"0.04198, 0.052668, 0.073641, 0.113504, 0.194078, 0.367364, 0.715801", \
"0.041949, 0.052798, 0.073474, 0.114009, 0.195767, 0.366821, 0.719852", \
"0.042998, 0.053169, 0.074452, 0.113639, 0.196551, 0.365416, 0.719072", \
"0.048103, 0.058868, 0.080177, 0.117925, 0.199055, 0.367563, 0.718998", \
"0.0591, 0.068967, 0.090818, 0.130144, 0.205402, 0.367742, 0.71803");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.134858, 0.146354, 0.166446, 0.199597, 0.254827, 0.354655, 0.551567", \
"0.13792, 0.149432, 0.169526, 0.202589, 0.257859, 0.357755, 0.554765", \
"0.143708, 0.155218, 0.175333, 0.20834, 0.263628, 0.363505, 0.560486", \
"0.153572, 0.165013, 0.185143, 0.218117, 0.273555, 0.373304, 0.570294", \
"0.171865, 0.183185, 0.20315, 0.235879, 0.291376, 0.391225, 0.588403", \
"0.201454, 0.213254, 0.23403, 0.267766, 0.323511, 0.423661, 0.620313", \
"0.24516, 0.257905, 0.280168, 0.316207, 0.375255, 0.477145, 0.673884");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.041915, 0.051595, 0.069912, 0.105164, 0.172019, 0.313557, 0.600327", \
"0.041895, 0.051308, 0.07023, 0.105175, 0.172137, 0.313913, 0.602225", \
"0.041868, 0.05131, 0.070394, 0.105122, 0.172106, 0.313969, 0.601617", \
"0.041349, 0.051645, 0.0694, 0.104779, 0.171405, 0.310404, 0.608161", \
"0.042243, 0.051798, 0.070077, 0.105148, 0.172941, 0.312112, 0.607247", \
"0.049549, 0.058631, 0.075514, 0.109894, 0.176482, 0.314928, 0.599261", \
"0.06065, 0.070341, 0.089085, 0.121514, 0.186617, 0.321294, 0.6099");
}
}
timing() {
related_pin : "A1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.121467, 0.13438, 0.157066, 0.193904, 0.257879, 0.37818, 0.618758", \
"0.123246, 0.136108, 0.158782, 0.195675, 0.259681, 0.379558, 0.620996", \
"0.127326, 0.140142, 0.162724, 0.199664, 0.263743, 0.384132, 0.623209", \
"0.136187, 0.149031, 0.171609, 0.208384, 0.272515, 0.392912, 0.632214", \
"0.155645, 0.168312, 0.19058, 0.227297, 0.291337, 0.411533, 0.652304", \
"0.184927, 0.198194, 0.221475, 0.259238, 0.323554, 0.44359, 0.684604", \
"0.222441, 0.236248, 0.261085, 0.301111, 0.36769, 0.48816, 0.727734");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.041951, 0.052557, 0.074059, 0.113716, 0.196353, 0.364448, 0.718604", \
"0.041214, 0.052654, 0.074097, 0.113643, 0.194813, 0.367341, 0.719026", \
"0.041717, 0.052982, 0.073306, 0.113906, 0.196188, 0.363874, 0.714926", \
"0.041929, 0.052585, 0.073541, 0.113253, 0.196064, 0.364042, 0.71398", \
"0.042402, 0.053522, 0.074127, 0.114784, 0.194839, 0.367292, 0.714819", \
"0.05099, 0.06129, 0.08122, 0.119582, 0.199215, 0.368056, 0.718287", \
"0.064035, 0.07319, 0.093609, 0.130083, 0.208001, 0.370865, 0.716");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.126968, 0.138083, 0.157901, 0.190311, 0.245143, 0.344675, 0.541671", \
"0.129855, 0.140927, 0.160656, 0.193236, 0.248074, 0.347581, 0.544551", \
"0.135272, 0.146361, 0.166079, 0.198679, 0.253476, 0.352994, 0.550013", \
"0.144629, 0.155726, 0.175418, 0.207845, 0.262848, 0.362181, 0.558671", \
"0.162361, 0.173321, 0.192893, 0.225098, 0.280072, 0.379446, 0.576387", \
"0.189565, 0.201199, 0.221753, 0.255349, 0.311051, 0.41055, 0.606934", \
"0.228976, 0.241502, 0.263869, 0.299954, 0.359205, 0.461643, 0.657436");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.039526, 0.049306, 0.067383, 0.102635, 0.171192, 0.312038, 0.606393", \
"0.039501, 0.049103, 0.067471, 0.102724, 0.170979, 0.310812, 0.607237", \
"0.039439, 0.049142, 0.067607, 0.102829, 0.171211, 0.311747, 0.606976", \
"0.038915, 0.048995, 0.067799, 0.102736, 0.169873, 0.309728, 0.606369", \
"0.039718, 0.049541, 0.068184, 0.103424, 0.170815, 0.312536, 0.603088", \
"0.046628, 0.057077, 0.074179, 0.108399, 0.173179, 0.311272, 0.607192", \
"0.059931, 0.069535, 0.088521, 0.121692, 0.187881, 0.320829, 0.609045");
}
}
timing() { /* MIN */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.068099, 0.07817, 0.096051, 0.127819, 0.18792, 0.307798, 0.54527", \
"0.070709, 0.080812, 0.098729, 0.13041, 0.190615, 0.310447, 0.548481", \
"0.076246, 0.08626, 0.104121, 0.135869, 0.196243, 0.315856, 0.554931", \
"0.086817, 0.096724, 0.114562, 0.146194, 0.206367, 0.325044, 0.565655", \
"0.101251, 0.112073, 0.130845, 0.163012, 0.223105, 0.342072, 0.583608", \
"0.116336, 0.128055, 0.148584, 0.182351, 0.24356, 0.36112, 0.601458", \
"0.127383, 0.140808, 0.163888, 0.201195, 0.263959, 0.383576, 0.620395");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.02667, 0.03611, 0.056844, 0.098014, 0.18531, 0.359415, 0.717282", \
"0.026296, 0.036254, 0.056873, 0.098013, 0.185442, 0.360113, 0.706834", \
"0.026491, 0.036432, 0.05695, 0.098235, 0.185439, 0.360199, 0.717015", \
"0.027411, 0.037167, 0.057414, 0.098302, 0.183569, 0.35923, 0.708676", \
"0.032701, 0.041865, 0.061196, 0.100197, 0.185348, 0.360005, 0.710438", \
"0.041199, 0.050449, 0.069143, 0.106106, 0.188066, 0.360567, 0.706937", \
"0.056071, 0.065366, 0.083865, 0.119793, 0.195989, 0.361158, 0.713422");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.092624, 0.102808, 0.12084, 0.150626, 0.202795, 0.300788, 0.497346", \
"0.094896, 0.105052, 0.123021, 0.152842, 0.205006, 0.303002, 0.499978", \
"0.100118, 0.110309, 0.128259, 0.158074, 0.210154, 0.308028, 0.50562", \
"0.111861, 0.121894, 0.139794, 0.169664, 0.22167, 0.319448, 0.516984", \
"0.135164, 0.145155, 0.163047, 0.19279, 0.244661, 0.342377, 0.539861", \
"0.168878, 0.179888, 0.198948, 0.230114, 0.283472, 0.380921, 0.577314", \
"0.219169, 0.230918, 0.25172, 0.285012, 0.340987, 0.440893, 0.635092");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.032075, 0.041464, 0.059802, 0.094398, 0.163698, 0.305666, 0.604148", \
"0.032751, 0.041426, 0.059889, 0.094372, 0.163858, 0.304064, 0.599371", \
"0.031936, 0.041638, 0.059927, 0.094388, 0.163725, 0.306679, 0.604127", \
"0.032295, 0.041767, 0.059946, 0.093671, 0.163738, 0.306106, 0.599507", \
"0.035887, 0.044448, 0.061809, 0.095704, 0.163546, 0.307897, 0.604795", \
"0.044874, 0.053495, 0.069889, 0.101862, 0.168011, 0.308121, 0.603767", \
"0.060816, 0.068206, 0.083695, 0.114997, 0.179328, 0.313882, 0.606817");
}
}
timing() { /* MAX */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.071574, 0.082212, 0.100902, 0.133034, 0.193536, 0.312815, 0.550672", \
"0.074249, 0.084898, 0.103515, 0.135819, 0.196122, 0.315571, 0.555931", \
"0.079863, 0.090412, 0.109078, 0.141257, 0.201815, 0.321182, 0.559953", \
"0.090541, 0.100992, 0.1196, 0.151883, 0.21251, 0.331688, 0.571469", \
"0.106121, 0.117362, 0.136701, 0.169304, 0.229837, 0.348997, 0.587728", \
"0.122858, 0.135331, 0.156446, 0.191107, 0.252563, 0.370643, 0.612668", \
"0.136688, 0.150482, 0.175078, 0.212872, 0.277088, 0.395957, 0.634217");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.028479, 0.038416, 0.058697, 0.099885, 0.185584, 0.359869, 0.717825", \
"0.028429, 0.038419, 0.058692, 0.099495, 0.185913, 0.360742, 0.718439", \
"0.028569, 0.038472, 0.058591, 0.099834, 0.185539, 0.360674, 0.718322", \
"0.029487, 0.039031, 0.059144, 0.099967, 0.185495, 0.35963, 0.717958", \
"0.034671, 0.044173, 0.063034, 0.102053, 0.186346, 0.360438, 0.716161", \
"0.043783, 0.05314, 0.072237, 0.108475, 0.190138, 0.361019, 0.713408", \
"0.059269, 0.068647, 0.087539, 0.122413, 0.198221, 0.362621, 0.7149");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.125429, 0.136835, 0.156956, 0.190064, 0.245512, 0.345067, 0.541437", \
"0.127093, 0.138505, 0.158658, 0.191735, 0.247074, 0.34706, 0.542987", \
"0.131353, 0.142853, 0.162925, 0.196062, 0.251303, 0.350972, 0.548455", \
"0.141454, 0.152872, 0.172852, 0.205928, 0.261086, 0.361031, 0.558021", \
"0.164658, 0.175889, 0.195896, 0.228369, 0.283681, 0.383269, 0.579796", \
"0.204429, 0.216095, 0.236577, 0.270295, 0.326245, 0.426061, 0.621717", \
"0.263988, 0.276255, 0.298401, 0.333744, 0.392111, 0.493684, 0.689943");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.041959, 0.051519, 0.070136, 0.104285, 0.171621, 0.311813, 0.606701", \
"0.042245, 0.051629, 0.069614, 0.104636, 0.172471, 0.313615, 0.60611", \
"0.041991, 0.051548, 0.06978, 0.105168, 0.172137, 0.313217, 0.60593", \
"0.04182, 0.051606, 0.070169, 0.105013, 0.172694, 0.313526, 0.603987", \
"0.042225, 0.051738, 0.070929, 0.105019, 0.171777, 0.311323, 0.607504", \
"0.050804, 0.059292, 0.077391, 0.110839, 0.177307, 0.315318, 0.605044", \
"0.06566, 0.073688, 0.09115, 0.124221, 0.188611, 0.319658, 0.609046");
}
}
internal_power() {
related_pin : "A0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.001019, 0.000969, 0.000946, 0.000953, 0.000969, 0.000978, 0.000973", \
"0.001008, 0.000959, 0.000935, 0.000941, 0.000957, 0.000966, 0.000961", \
"0.000987, 0.000936, 0.000913, 0.00092, 0.000938, 0.000949, 0.000945", \
"0.000981, 0.000927, 0.0009, 0.000905, 0.000922, 0.000935, 0.000932", \
"0.001042, 0.00098, 0.000939, 0.000931, 0.000942, 0.000953, 0.000951", \
"0.001312, 0.001221, 0.001149, 0.001108, 0.001096, 0.001097, 0.001093", \
"0.002029, 0.00189, 0.001758, 0.001669, 0.001613, 0.001584, 0.001567");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.008379, 0.008311, 0.008268, 0.008258, 0.008262, 0.008268, 0.008271", \
"0.008372, 0.008303, 0.00826, 0.008251, 0.008255, 0.008261, 0.008264", \
"0.008362, 0.008293, 0.00825, 0.00824, 0.008244, 0.008251, 0.008254", \
"0.008351, 0.008282, 0.008236, 0.008225, 0.008232, 0.008238, 0.008242", \
"0.008393, 0.00832, 0.008262, 0.008239, 0.008238, 0.008242, 0.008244", \
"0.008632, 0.008528, 0.008432, 0.008377, 0.008351, 0.008343, 0.008338", \
"0.009339, 0.009196, 0.009028, 0.008905, 0.008819, 0.008772, 0.008746");
}
}
internal_power() {
related_pin : "A1";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"-0.00033, -0.000383, -0.000407, -0.0004, -0.000384, -0.000374,
-0.000379", \
"-0.000345, -0.000397, -0.00042, -0.000413, -0.000397, -0.000387,
-0.000391", \
"-0.000359, -0.00041, -0.000435, -0.00043, -0.000413, -0.000402,
-0.000406", \
"-0.000352, -0.000406, -0.000438, -0.000436, -0.00042, -0.000407,
-0.000408", \
"-0.000232, -0.000302, -0.000349, -0.000367, -0.000363, -0.000355,
-0.000356", \
"0.000158, 0.000054, -0.000044, -0.000102, -0.000132, -0.00014,
-0.000148", \
"0.001059, 0.000897, 0.000731, 0.000605, 0.000518, 0.000461, 0.000429");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.009412, 0.00936, 0.009332, 0.009335, 0.009346, 0.009353, 0.009356", \
"0.009404, 0.009347, 0.009321, 0.009325, 0.009336, 0.009343, 0.009345", \
"0.009385, 0.009327, 0.0093, 0.009304, 0.009316, 0.009324, 0.009326", \
"0.009362, 0.009305, 0.009274, 0.009275, 0.009289, 0.009298, 0.009301", \
"0.009401, 0.009337, 0.009291, 0.009279, 0.009284, 0.009291, 0.009293", \
"0.009632, 0.009537, 0.009447, 0.009408, 0.00939, 0.009386, 0.009384", \
"0.010365, 0.010221, 0.01006, 0.00994, 0.009871, 0.009828, 0.009809");
}
}
internal_power() {
related_pin : "B0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.001147, 0.001175, 0.001216, 0.00125, 0.001268, 0.001271, 0.001261", \
"0.001137, 0.001163, 0.001203, 0.001239, 0.001259, 0.001263, 0.001254", \
"0.00113, 0.00115, 0.001187, 0.001224, 0.001247, 0.001254, 0.001246", \
"0.001155, 0.001167, 0.00119, 0.001223, 0.00125, 0.001262, 0.001256", \
"0.001295, 0.001279, 0.001278, 0.001296, 0.001321, 0.001335, 0.001333", \
"0.001708, 0.001648, 0.001607, 0.001592, 0.001594, 0.001602, 0.0016", \
"0.002736, 0.00261, 0.002491, 0.002409, 0.002369, 0.002345, 0.002333");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.006966, 0.006931, 0.006921, 0.006932, 0.006943, 0.00695, 0.006953", \
"0.006947, 0.00691, 0.006899, 0.006911, 0.006923, 0.006931, 0.006935", \
"0.006924, 0.006887, 0.006874, 0.006885, 0.0069, 0.006909, 0.006913", \
"0.006939, 0.006895, 0.006874, 0.006879, 0.006893, 0.006904, 0.00691", \
"0.007082, 0.007024, 0.006977, 0.006967, 0.006968, 0.006972, 0.006975", \
"0.007506, 0.007408, 0.007314, 0.007249, 0.007225, 0.007214, 0.007209", \
"0.008497, 0.00834, 0.008172, 0.00804, 0.007957, 0.007908, 0.007887");
}
}
}
}

/* --------------- *
* Design : AO21X2 *
* --------------- */
cell (AO21X2) {
cell_footprint : AO21;
area : 7.569000;
cell_leakage_power : 50.3483;
pin(A0) {
direction : input;
capacitance : 0.00156533;
rise_capacitance : 0.00156489;
fall_capacitance : 0.00156533;
}
pin(A1) {
direction : input;
capacitance : 0.00158562;
rise_capacitance : 0.00158479;
fall_capacitance : 0.00158562;
}
pin(B0) {
direction : input;
capacitance : 0.0016787;
rise_capacitance : 0.0016787;
fall_capacitance : 0.00167869;
rise_capacitance_range ( 0.0016785, 0.00167891) ;
fall_capacitance_range ( 0.00167846, 0.00167893) ;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.287813;
function : "((A0 A1)+B0)";
timing() {
related_pin : "A0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.161732, 0.176524, 0.202314, 0.244135, 0.313137, 0.435507, 0.676615", \
"0.16364, 0.178434, 0.204329, 0.246052, 0.314975, 0.437395, 0.678461", \
"0.167256, 0.182119, 0.20799, 0.249812, 0.318816, 0.44117, 0.681779", \
"0.174779, 0.18958, 0.215732, 0.257234, 0.32601, 0.448876, 0.69012", \
"0.190597, 0.205233, 0.231209, 0.272674, 0.341562, 0.464132, 0.704892", \
"0.218674, 0.234138, 0.260059, 0.301843, 0.370823, 0.493312, 0.73357", \
"0.257147, 0.272722, 0.300492, 0.344735, 0.416154, 0.53951, 0.779364");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.054876, 0.066265, 0.088767, 0.129659, 0.208817, 0.375782, 0.720685", \
"0.054791, 0.066167, 0.088819, 0.128417, 0.209284, 0.375712, 0.719732", \
"0.055229, 0.06512, 0.088604, 0.129578, 0.208525, 0.375365, 0.716854", \
"0.05408, 0.065672, 0.088301, 0.128778, 0.209669, 0.374043, 0.724575", \
"0.054391, 0.066296, 0.088199, 0.128417, 0.208656, 0.371829, 0.72489", \
"0.060334, 0.07125, 0.092644, 0.133088, 0.209423, 0.372406, 0.719149", \
"0.07225, 0.083221, 0.105409, 0.145799, 0.220124, 0.378602, 0.727211");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.179033, 0.192177, 0.215161, 0.252601, 0.313874, 0.418182, 0.616281", \
"0.182063, 0.195361, 0.218395, 0.255815, 0.317475, 0.421584, 0.620075", \
"0.18794, 0.201222, 0.224199, 0.261616, 0.323195, 0.4274, 0.62562", \
"0.197729, 0.210894, 0.233863, 0.271256, 0.332964, 0.437103, 0.635471", \
"0.215992, 0.229131, 0.252152, 0.289651, 0.351096, 0.455039, 0.653207", \
"0.251324, 0.264473, 0.287231, 0.324276, 0.385301, 0.489724, 0.687581", \
"0.305668, 0.319663, 0.34393, 0.382999, 0.44677, 0.552514, 0.751088");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.058793, 0.068874, 0.088426, 0.123539, 0.192018, 0.327092, 0.616724", \
"0.058513, 0.068418, 0.088288, 0.12344, 0.19172, 0.327399, 0.613134", \
"0.058402, 0.068304, 0.088432, 0.123008, 0.191596, 0.327753, 0.616992", \
"0.058554, 0.067751, 0.088291, 0.123116, 0.191238, 0.327799, 0.616427", \
"0.058339, 0.068703, 0.087971, 0.124495, 0.190813, 0.327695, 0.617752", \
"0.063433, 0.072807, 0.090602, 0.124978, 0.191486, 0.327731, 0.617253", \
"0.075912, 0.085728, 0.106449, 0.1395, 0.204219, 0.333984, 0.612088");
}
}
timing() {
related_pin : "A1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.157769, 0.172569, 0.198442, 0.240299, 0.309173, 0.43187, 0.672648", \
"0.159572, 0.174338, 0.200217, 0.242067, 0.311025, 0.43363, 0.674069", \
"0.163635, 0.178441, 0.20436, 0.246185, 0.315257, 0.437497, 0.677542", \
"0.172425, 0.187303, 0.21308, 0.25499, 0.323791, 0.445981, 0.686598", \
"0.192083, 0.20699, 0.232706, 0.274193, 0.342974, 0.465159, 0.705509", \
"0.229444, 0.244222, 0.270085, 0.311487, 0.380237, 0.502793, 0.743697", \
"0.279707, 0.294932, 0.32194, 0.365909, 0.436913, 0.559771, 0.800133");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.054881, 0.065236, 0.088796, 0.129619, 0.209264, 0.372154, 0.725104", \
"0.0544, 0.065484, 0.088843, 0.129362, 0.208892, 0.373007, 0.724376", \
"0.055092, 0.065945, 0.088295, 0.129871, 0.207939, 0.374564, 0.721917", \
"0.05419, 0.066222, 0.088775, 0.129419, 0.207951, 0.375001, 0.71921", \
"0.05499, 0.066006, 0.088437, 0.129518, 0.208556, 0.37484, 0.720987", \
"0.060698, 0.070932, 0.094156, 0.133888, 0.21149, 0.376305, 0.72127", \
"0.078788, 0.087001, 0.109584, 0.148103, 0.220988, 0.381586, 0.719293");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.170611, 0.183497, 0.206001, 0.242881, 0.303777, 0.40749, 0.605632", \
"0.173758, 0.186571, 0.209106, 0.246117, 0.306982, 0.41092, 0.609128", \
"0.179403, 0.192217, 0.214753, 0.251791, 0.312647, 0.416444, 0.614664", \
"0.188833, 0.201777, 0.224249, 0.261275, 0.321925, 0.425958, 0.624014", \
"0.206598, 0.219486, 0.241918, 0.278867, 0.339496, 0.443362, 0.641673", \
"0.240867, 0.253724, 0.27619, 0.312809, 0.373449, 0.477467, 0.675698", \
"0.291625, 0.305215, 0.329229, 0.368331, 0.432364, 0.538322, 0.735926");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.056226, 0.065468, 0.085653, 0.120826, 0.188855, 0.323888, 0.614794", \
"0.056252, 0.065724, 0.08575, 0.120234, 0.188927, 0.324343, 0.612562", \
"0.056241, 0.065729, 0.085767, 0.120954, 0.18877, 0.322605, 0.613372", \
"0.05599, 0.065724, 0.085763, 0.121061, 0.189289, 0.326388, 0.614025", \
"0.055979, 0.065635, 0.085226, 0.121937, 0.18991, 0.322828, 0.612353", \
"0.061056, 0.06994, 0.089583, 0.124001, 0.190989, 0.326614, 0.609625", \
"0.075421, 0.084276, 0.104151, 0.138056, 0.20196, 0.331877, 0.619804");
}
}
timing() { /* MIN */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.087014, 0.099004, 0.119741, 0.153949, 0.215398, 0.334337, 0.576186", \
"0.089672, 0.101679, 0.122363, 0.156611, 0.218062, 0.337208, 0.579486", \
"0.095241, 0.107187, 0.127834, 0.162054, 0.223676, 0.342477, 0.583905", \
"0.106416, 0.118241, 0.138681, 0.172762, 0.234468, 0.352725, 0.591813", \
"0.126749, 0.138886, 0.159495, 0.193562, 0.254908, 0.373788, 0.614293", \
"0.151087, 0.16414, 0.187007, 0.222921, 0.285447, 0.403513, 0.642808", \
"0.17568, 0.189495, 0.214633, 0.254497, 0.319682, 0.438692, 0.674802");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.032818, 0.042851, 0.063868, 0.104519, 0.186826, 0.358897, 0.715459", \
"0.032762, 0.042559, 0.063782, 0.103287, 0.188282, 0.361298, 0.714495", \
"0.032182, 0.042974, 0.063873, 0.103339, 0.186777, 0.359051, 0.709479", \
"0.03242, 0.043495, 0.063741, 0.104538, 0.186301, 0.359559, 0.713547", \
"0.036379, 0.046269, 0.066482, 0.105227, 0.188899, 0.358123, 0.716236", \
"0.047206, 0.057254, 0.076184, 0.11297, 0.191866, 0.359072, 0.710909", \
"0.06432, 0.073852, 0.093112, 0.12911, 0.203255, 0.363166, 0.707945");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.125791, 0.137653, 0.158449, 0.192197, 0.24878, 0.349098, 0.54601", \
"0.128191, 0.139985, 0.160741, 0.194602, 0.251088, 0.351454, 0.548017", \
"0.133421, 0.145251, 0.165901, 0.199837, 0.256433, 0.356453, 0.553823", \
"0.144721, 0.156558, 0.177271, 0.211079, 0.267613, 0.367818, 0.564872", \
"0.169654, 0.181327, 0.201832, 0.235445, 0.291535, 0.391579, 0.58851", \
"0.214411, 0.226204, 0.247413, 0.281433, 0.338045, 0.438333, 0.633855", \
"0.277173, 0.290086, 0.312427, 0.348785, 0.408295, 0.510502, 0.705422");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.044913, 0.054161, 0.073328, 0.107593, 0.174322, 0.313617, 0.609993", \
"0.044724, 0.054515, 0.072196, 0.107994, 0.175351, 0.312376, 0.608922", \
"0.044536, 0.053673, 0.072869, 0.107798, 0.175341, 0.31531, 0.603274", \
"0.0441, 0.054425, 0.072253, 0.108097, 0.174423, 0.315539, 0.602955", \
"0.044919, 0.054212, 0.073978, 0.108189, 0.174519, 0.315809, 0.600625", \
"0.054809, 0.062793, 0.080464, 0.113001, 0.17779, 0.317025, 0.609831", \
"0.073572, 0.081158, 0.09794, 0.129628, 0.193344, 0.32473, 0.61322");
}
}
timing() { /* MAX */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.090151, 0.102587, 0.123832, 0.158701, 0.220658, 0.339496, 0.578884", \
"0.0929, 0.1053, 0.126572, 0.161442, 0.223617, 0.342726, 0.584045", \
"0.098513, 0.110901, 0.132159, 0.166982, 0.229195, 0.348298, 0.589907", \
"0.109729, 0.122018, 0.14311, 0.177963, 0.239944, 0.358683, 0.599772", \
"0.130667, 0.142926, 0.164062, 0.198868, 0.260987, 0.380037, 0.621416", \
"0.156088, 0.169658, 0.192776, 0.229655, 0.292458, 0.410848, 0.650717", \
"0.182748, 0.197243, 0.223199, 0.263655, 0.329425, 0.448547, 0.686559");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.034028, 0.044923, 0.065464, 0.105868, 0.189242, 0.361451, 0.717269", \
"0.034309, 0.044998, 0.065178, 0.105829, 0.188957, 0.361556, 0.716975", \
"0.034302, 0.044982, 0.06565, 0.105865, 0.188957, 0.361503, 0.717425", \
"0.034557, 0.045224, 0.065587, 0.105952, 0.188992, 0.360198, 0.716816", \
"0.037731, 0.047985, 0.067777, 0.107172, 0.189514, 0.361446, 0.71696", \
"0.049227, 0.058755, 0.078715, 0.115076, 0.19359, 0.361848, 0.71596", \
"0.066766, 0.077139, 0.096606, 0.131755, 0.205638, 0.364746, 0.715149");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.169559, 0.182749, 0.205765, 0.243262, 0.304488, 0.408817, 0.607633", \
"0.171378, 0.184646, 0.207655, 0.245097, 0.306638, 0.410953, 0.609512", \
"0.175728, 0.188973, 0.211938, 0.249383, 0.310711, 0.414963, 0.613707", \
"0.185542, 0.198724, 0.221877, 0.25921, 0.320723, 0.424955, 0.623438", \
"0.207995, 0.221337, 0.244102, 0.28153, 0.342637, 0.446926, 0.645249", \
"0.255348, 0.268324, 0.290894, 0.327945, 0.388714, 0.493142, 0.690811", \
"0.328467, 0.341969, 0.365985, 0.40466, 0.468123, 0.573881, 0.771394");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.059244, 0.068975, 0.088647, 0.123186, 0.191749, 0.327643, 0.616206", \
"0.059101, 0.068554, 0.088548, 0.122729, 0.191944, 0.326871, 0.612671", \
"0.059087, 0.068735, 0.088368, 0.123577, 0.191991, 0.326994, 0.616004", \
"0.058304, 0.068597, 0.088116, 0.122988, 0.191521, 0.327813, 0.615121", \
"0.058519, 0.068258, 0.088178, 0.124334, 0.190931, 0.327844, 0.616341", \
"0.063233, 0.072044, 0.091434, 0.125619, 0.192594, 0.327263, 0.617758", \
"0.081847, 0.090576, 0.1085, 0.142629, 0.205996, 0.335699, 0.620503");
}
}
internal_power() {
related_pin : "A0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.009924, 0.009615, 0.009352, 0.009223, 0.009181, 0.009167, 0.009143", \
"0.00991, 0.0096, 0.009338, 0.009211, 0.00917, 0.009156, 0.009132", \
"0.009896, 0.009583, 0.009317, 0.00919, 0.009151, 0.009139, 0.009117", \
"0.009876, 0.009562, 0.009298, 0.009168, 0.00913, 0.009121, 0.009101", \
"0.009953, 0.009635, 0.009356, 0.009207, 0.009151, 0.009133, 0.009111", \
"0.010326, 0.00999, 0.009639, 0.009417, 0.009309, 0.009261, 0.009226", \
"0.011355, 0.010905, 0.010457, 0.010073, 0.009845, 0.009725, 0.009648");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.007446, 0.007121, 0.006775, 0.006552, 0.006448, 0.006399, 0.006374", \
"0.00744, 0.007113, 0.006767, 0.006548, 0.006446, 0.006394, 0.006369", \
"0.007432, 0.007105, 0.006759, 0.006539, 0.006436, 0.006385, 0.00636", \
"0.007424, 0.007093, 0.006743, 0.00652, 0.00642, 0.006373, 0.006349", \
"0.007453, 0.007123, 0.006767, 0.006534, 0.006429, 0.006376, 0.006349", \
"0.007801, 0.007443, 0.007038, 0.006733, 0.006567, 0.006484, 0.006438", \
"0.008925, 0.008494, 0.007943, 0.007464, 0.007146, 0.006958, 0.006844");
}
}
internal_power() {
related_pin : "A1";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.008579, 0.008265, 0.008, 0.007873, 0.007835, 0.007821, 0.007797", \
"0.008563, 0.00825, 0.007986, 0.007859, 0.007821, 0.007809, 0.007786", \
"0.008551, 0.008238, 0.007972, 0.007844, 0.007805, 0.007793, 0.007772", \
"0.008545, 0.008233, 0.007968, 0.007835, 0.007793, 0.007784, 0.007765", \
"0.008672, 0.008354, 0.008068, 0.007907, 0.007844, 0.007822, 0.0078", \
"0.009216, 0.008842, 0.008465, 0.008223, 0.008086, 0.008021, 0.007978", \
"0.010591, 0.010079, 0.009516, 0.009127, 0.008833, 0.008652, 0.008539");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.008389, 0.008076, 0.007756, 0.007569, 0.007491, 0.007456, 0.007436", \
"0.008383, 0.008069, 0.00775, 0.007568, 0.007488, 0.00745, 0.00743", \
"0.00837, 0.008056, 0.007737, 0.00755, 0.007473, 0.007437, 0.007417", \
"0.00835, 0.008035, 0.007716, 0.007526, 0.007448, 0.007415, 0.007396", \
"0.008384, 0.008066, 0.007736, 0.007534, 0.007451, 0.007409, 0.007386", \
"0.008753, 0.008395, 0.008005, 0.007726, 0.007576, 0.007505, 0.007463", \
"0.009817, 0.009392, 0.008855, 0.008427, 0.008159, 0.007966, 0.007871");
}
}
internal_power() {
related_pin : "B0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.009389, 0.009346, 0.009364, 0.009414, 0.009454, 0.009467, 0.009452", \
"0.009385, 0.009339, 0.009357, 0.009408, 0.009448, 0.009463, 0.009448", \
"0.009381, 0.009329, 0.009343, 0.009392, 0.009436, 0.009454, 0.009441", \
"0.009431, 0.009365, 0.009354, 0.009389, 0.00943, 0.009452, 0.009442", \
"0.00964, 0.009522, 0.009477, 0.009463, 0.009484, 0.009502, 0.009495", \
"0.010299, 0.010061, 0.009873, 0.009769, 0.009732, 0.009726, 0.00971", \
"0.011753, 0.011341, 0.010949, 0.010676, 0.010502, 0.01041, 0.010347");

}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.005774, 0.005506, 0.005264, 0.005136, 0.005087, 0.005066, 0.005053", \
"0.005754, 0.005489, 0.005244, 0.005116, 0.00507, 0.005049, 0.005037", \
"0.005739, 0.005466, 0.005223, 0.005096, 0.005049, 0.005029, 0.005017", \
"0.005739, 0.005465, 0.005214, 0.005079, 0.005033, 0.005015, 0.005006", \
"0.005916, 0.005636, 0.005359, 0.005187, 0.005106, 0.005072, 0.005055", \
"0.006524, 0.006185, 0.005813, 0.005539, 0.005381, 0.0053, 0.005265", \
"0.008002, 0.00755, 0.007003, 0.00654, 0.006217, 0.006014, 0.005894");
}
}
}
}

/* --------------- *
* Design : AO21X4 *
* --------------- */
cell (AO21X4) {
cell_footprint : AO21;
area : 9.839700;
cell_leakage_power : 78.7789;
pin(A0) {
direction : input;
capacitance : 0.00263356;
rise_capacitance : 0.00263315;
fall_capacitance : 0.00263356;
}
pin(A1) {
direction : input;
capacitance : 0.0027704;
rise_capacitance : 0.00276909;
fall_capacitance : 0.0027704;
}
pin(B0) {
direction : input;
capacitance : 0.00276674;
rise_capacitance : 0.00276674;
fall_capacitance : 0.00276616;
rise_capacitance_range ( 0.00276648, 0.002767) ;
fall_capacitance_range ( 0.00276567, 0.00276665) ;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.575206;
function : "((A0 A1)+B0)";
timing() {
related_pin : "A0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.17219, 0.187036, 0.213284, 0.255911, 0.325861, 0.449334, 0.69083", \
"0.174166, 0.189084, 0.215293, 0.257916, 0.327871, 0.45131, 0.692867", \
"0.178201, 0.193067, 0.219272, 0.261872, 0.33201, 0.455242, 0.696729", \
"0.186085, 0.200969, 0.227186, 0.269805, 0.33974, 0.462855, 0.704118", \
"0.202731, 0.217432, 0.243687, 0.286025, 0.355873, 0.479018, 0.720204", \
"0.234009, 0.248847, 0.27508, 0.317271, 0.387377, 0.510402, 0.750883", \
"0.278435, 0.293935, 0.321554, 0.366016, 0.438249, 0.562297, 0.802683");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.060053, 0.07071, 0.092754, 0.135063, 0.213197, 0.377453, 0.728951", \
"0.060248, 0.070187, 0.092875, 0.135013, 0.213014, 0.378263, 0.727728", \
"0.060331, 0.07041, 0.093033, 0.134883, 0.212045, 0.37899, 0.725184", \
"0.060136, 0.07035, 0.092815, 0.134921, 0.213505, 0.379717, 0.71879", \
"0.059429, 0.071007, 0.092976, 0.133938, 0.213958, 0.379732, 0.720356", \
"0.064297, 0.075273, 0.096138, 0.13577, 0.214079, 0.378975, 0.727014", \
"0.076681, 0.087529, 0.10947, 0.147994, 0.224172, 0.381803, 0.730655");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.187149, 0.20034, 0.223418, 0.26119, 0.323432, 0.428702, 0.62799", \
"0.190222, 0.203431, 0.226543, 0.264296, 0.32656, 0.431837, 0.63113", \
"0.196224, 0.209375, 0.232455, 0.270257, 0.332478, 0.437721, 0.636886", \
"0.206044, 0.219137, 0.242188, 0.279972, 0.342529, 0.447556, 0.646815", \
"0.224297, 0.23743, 0.260434, 0.298522, 0.360537, 0.465498, 0.664552", \
"0.259759, 0.272858, 0.295639, 0.333129, 0.394707, 0.500262, 0.698822", \
"0.314569, 0.328313, 0.352439, 0.391863, 0.455991, 0.56242, 0.761665");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.063478, 0.072258, 0.09258, 0.127762, 0.195837, 0.328274, 0.616197", \
"0.063357, 0.072472, 0.092637, 0.12755, 0.19593, 0.32875, 0.615553", \
"0.062896, 0.072642, 0.09284, 0.127116, 0.196079, 0.332128, 0.619455", \
"0.063304, 0.073228, 0.092361, 0.127398, 0.196153, 0.331812, 0.617418", \
"0.063577, 0.073315, 0.091804, 0.128877, 0.195574, 0.332336, 0.621828", \
"0.068126, 0.076954, 0.09453, 0.129844, 0.197419, 0.331552, 0.621979", \
"0.08072, 0.090318, 0.110646, 0.143672, 0.208452, 0.339775, 0.624");
}
}
timing() {
related_pin : "A1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.168924, 0.183742, 0.209969, 0.252622, 0.32277, 0.44571, 0.686802", \
"0.170791, 0.185644, 0.211816, 0.254438, 0.324365, 0.447574, 0.6885", \
"0.174993, 0.189805, 0.21611, 0.258695, 0.328651, 0.451883, 0.693198", \
"0.184015, 0.198741, 0.225062, 0.267626, 0.337769, 0.460674, 0.70172", \
"0.204141, 0.218908, 0.245143, 0.287559, 0.357124, 0.480632, 0.722167", \
"0.244376, 0.259095, 0.285032, 0.326908, 0.396734, 0.519811, 0.760358", \
"0.300934, 0.316199, 0.343633, 0.387314, 0.459083, 0.582686, 0.823257");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.05928, 0.070061, 0.093387, 0.134952, 0.212293, 0.379945, 0.719726", \
"0.058986, 0.070346, 0.093692, 0.134253, 0.21337, 0.378174, 0.72833", \
"0.060177, 0.070985, 0.092472, 0.134948, 0.212893, 0.379691, 0.720831", \
"0.059009, 0.071115, 0.092724, 0.134918, 0.212312, 0.37955, 0.722592", \
"0.059923, 0.071266, 0.093228, 0.135244, 0.213938, 0.378029, 0.726646", \
"0.064587, 0.074844, 0.097429, 0.136134, 0.214719, 0.377847, 0.728523", \
"0.08428, 0.091833, 0.113522, 0.152747, 0.225873, 0.3855, 0.722904");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.179611, 0.192476, 0.215165, 0.252685, 0.31411, 0.419036, 0.617533", \
"0.182751, 0.195535, 0.218314, 0.25557, 0.317045, 0.422324, 0.621183", \
"0.188453, 0.20136, 0.224036, 0.261384, 0.323117, 0.427773, 0.62623", \
"0.197976, 0.210828, 0.233436, 0.27079, 0.332414, 0.43749, 0.636318", \
"0.215816, 0.228578, 0.251303, 0.288662, 0.350173, 0.454913, 0.653775", \
"0.250569, 0.26333, 0.285709, 0.322776, 0.384244, 0.488721, 0.687421", \
"0.301913, 0.315585, 0.33935, 0.378587, 0.442937, 0.549427, 0.748022");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.061406, 0.070974, 0.090298, 0.125235, 0.193483, 0.327764, 0.620148", \
"0.061809, 0.071197, 0.088934, 0.126166, 0.194465, 0.330412, 0.616179", \
"0.060771, 0.070214, 0.090379, 0.124896, 0.194096, 0.328086, 0.62044", \
"0.061441, 0.070403, 0.090044, 0.125925, 0.194302, 0.330383, 0.616841", \
"0.060995, 0.070813, 0.089682, 0.126704, 0.193489, 0.327507, 0.618636", \
"0.065712, 0.075228, 0.093289, 0.127544, 0.195598, 0.329082, 0.619282", \
"0.080399, 0.089621, 0.108386, 0.142491, 0.206689, 0.335467, 0.617143");
}
}
timing() { /* MIN */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.091786, 0.10392, 0.12506, 0.15992, 0.221811, 0.34048, 0.582396", \
"0.094577, 0.106711, 0.127832, 0.162703, 0.224617, 0.343684, 0.582944", \
"0.100295, 0.112471, 0.133495, 0.168207, 0.230277, 0.349535, 0.589077", \
"0.111764, 0.123797, 0.144621, 0.179382, 0.241377, 0.360182, 0.601084", \
"0.134003, 0.145826, 0.166672, 0.201316, 0.263075, 0.381722, 0.621884", \
"0.162657, 0.175638, 0.198064, 0.234611, 0.297068, 0.415461, 0.65508", \
"0.19349, 0.207816, 0.232792, 0.272474, 0.337849, 0.45712, 0.693935");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.035238, 0.045734, 0.066144, 0.106195, 0.18892, 0.361784, 0.711227", \
"0.035235, 0.045742, 0.06617, 0.106349, 0.189239, 0.36058, 0.712784", \
"0.03507, 0.045116, 0.066215, 0.106772, 0.189733, 0.363628, 0.716594", \
"0.035451, 0.045498, 0.066269, 0.106078, 0.189623, 0.360573, 0.71653", \
"0.038513, 0.048431, 0.068004, 0.107398, 0.189963, 0.359426, 0.713196", \
"0.049506, 0.058877, 0.078339, 0.114527, 0.193873, 0.363934, 0.710896", \
"0.068122, 0.077883, 0.095957, 0.132246, 0.204964, 0.366959, 0.709404");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.131235, 0.143093, 0.164031, 0.198227, 0.255669, 0.356549, 0.55345", \
"0.13378, 0.145605, 0.166506, 0.200825, 0.258249, 0.359146, 0.55696", \
"0.139165, 0.150953, 0.171848, 0.20617, 0.263144, 0.364382, 0.562266", \
"0.150427, 0.162226, 0.183183, 0.217592, 0.274808, 0.375598, 0.573299", \
"0.175348, 0.187053, 0.207743, 0.241776, 0.298709, 0.399487, 0.597089", \
"0.221384, 0.233281, 0.254109, 0.288214, 0.34523, 0.446295, 0.643248", \
"0.285281, 0.298247, 0.319515, 0.356183, 0.416669, 0.519038, 0.715686");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.049001, 0.057965, 0.076474, 0.111455, 0.178379, 0.315872, 0.612651", \
"0.048552, 0.057637, 0.076566, 0.111072, 0.178158, 0.318547, 0.612158", \
"0.04846, 0.05762, 0.076552, 0.111104, 0.179721, 0.319049, 0.608295", \
"0.048798, 0.057287, 0.076012, 0.111729, 0.178333, 0.319085, 0.605621", \
"0.048558, 0.057822, 0.077206, 0.111872, 0.17771, 0.319372, 0.605827", \
"0.058182, 0.065633, 0.083232, 0.116431, 0.182537, 0.320193, 0.609517", \
"0.078876, 0.08551, 0.101651, 0.133709, 0.196264, 0.328756, 0.616108");
}
}
timing() { /* MAX */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.094838, 0.10737, 0.128951, 0.164323, 0.226943, 0.345863, 0.588567", \
"0.09767, 0.110188, 0.131916, 0.167133, 0.229789, 0.348911, 0.590949", \
"0.103449, 0.115953, 0.137637, 0.172862, 0.235525, 0.354834, 0.596542", \
"0.114852, 0.127307, 0.148809, 0.184313, 0.246534, 0.365773, 0.604299", \
"0.137365, 0.14959, 0.170983, 0.206282, 0.268708, 0.387398, 0.628865", \
"0.167036, 0.180457, 0.203392, 0.240438, 0.303196, 0.421838, 0.662544", \
"0.199821, 0.214566, 0.239811, 0.280594, 0.346791, 0.465671, 0.703962");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.036594, 0.047469, 0.067971, 0.108327, 0.190833, 0.363881, 0.711629", \
"0.036802, 0.047416, 0.068113, 0.108418, 0.190642, 0.364008, 0.718743", \
"0.036802, 0.047444, 0.068168, 0.108432, 0.190992, 0.363784, 0.718813", \
"0.036802, 0.047258, 0.068018, 0.10778, 0.191651, 0.362769, 0.719134", \
"0.039916, 0.050234, 0.069763, 0.109136, 0.191112, 0.362882, 0.717879", \
"0.051441, 0.060733, 0.080746, 0.116206, 0.194951, 0.364297, 0.717656", \
"0.069418, 0.079883, 0.098694, 0.134537, 0.207693, 0.369387, 0.713563");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.176049, 0.189196, 0.212495, 0.250309, 0.312361, 0.417452, 0.616602", \
"0.178038, 0.191157, 0.214272, 0.252103, 0.314155, 0.419583, 0.618966", \
"0.182541, 0.195607, 0.218808, 0.256541, 0.31854, 0.423666, 0.623161", \
"0.192417, 0.205528, 0.228696, 0.266367, 0.328935, 0.433853, 0.63314", \
"0.214798, 0.228038, 0.250974, 0.288826, 0.350651, 0.455925, 0.655148", \
"0.262204, 0.275029, 0.297666, 0.334998, 0.396771, 0.501732, 0.7008", \
"0.335961, 0.34909, 0.373214, 0.411858, 0.475684, 0.58142, 0.779942");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.062838, 0.07303, 0.092202, 0.128376, 0.196345, 0.331093, 0.618523", \
"0.063945, 0.073514, 0.092836, 0.127679, 0.196284, 0.332306, 0.620667", \
"0.063858, 0.073525, 0.091157, 0.128556, 0.196509, 0.331004, 0.621662", \
"0.063122, 0.072339, 0.091345, 0.128079, 0.19665, 0.331194, 0.619881", \
"0.063457, 0.072922, 0.092405, 0.128598, 0.196126, 0.331625, 0.620678", \
"0.066854, 0.076279, 0.095018, 0.130304, 0.197534, 0.332691, 0.615552", \
"0.087893, 0.095826, 0.114676, 0.147326, 0.209391, 0.339623, 0.62436");
}
}
internal_power() {
related_pin : "A0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.024262, 0.023558, 0.022921, 0.022591, 0.022475, 0.02243, 0.022379", \
"0.024238, 0.023534, 0.022899, 0.022569, 0.022454, 0.02241, 0.02236", \
"0.024209, 0.023505, 0.022867, 0.022536, 0.022423, 0.022382, 0.022333", \
"0.024168, 0.023465, 0.022826, 0.022492, 0.022381, 0.022348, 0.022303", \
"0.024278, 0.023568, 0.022909, 0.022544, 0.022404, 0.022356, 0.022308", \
"0.024949, 0.024156, 0.023374, 0.022879, 0.022638, 0.022541, 0.022474", \
"0.02686, 0.025891, 0.024819, 0.024024, 0.023558, 0.023302, 0.023152");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.010808, 0.010103, 0.009315, 0.008792, 0.008552, 0.008434, 0.008376", \
"0.010798, 0.010092, 0.009306, 0.008784, 0.008543, 0.008425, 0.008367", \
"0.010783, 0.01008, 0.009298, 0.008779, 0.008531, 0.008411, 0.008352", \
"0.010766, 0.010058, 0.00927, 0.008743, 0.008503, 0.00839, 0.008333", \
"0.010824, 0.010114, 0.009311, 0.008768, 0.008514, 0.008392, 0.008331", \
"0.011452, 0.010705, 0.00981, 0.009132, 0.008763, 0.00858, 0.008478", \
"0.013619, 0.01272, 0.011521, 0.010505, 0.00982, 0.009416, 0.009174");
}
}
internal_power() {
related_pin : "A1";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.022176, 0.021474, 0.020839, 0.020512, 0.020397, 0.02036, 0.020307", \
"0.022151, 0.021449, 0.020816, 0.020488, 0.020375, 0.020337, 0.020286", \
"0.02213, 0.021427, 0.02079, 0.020457, 0.020343, 0.020306, 0.020258", \
"0.022124, 0.021414, 0.020773, 0.020434, 0.020317, 0.020285, 0.020241", \
"0.022323, 0.021607, 0.020943, 0.020553, 0.020391, 0.020338, 0.02029", \
"0.023287, 0.02248, 0.02168, 0.021108, 0.020807, 0.020666, 0.020577", \
"0.025925, 0.024851, 0.02363, 0.02276, 0.022132, 0.021753, 0.021521");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.012202, 0.011514, 0.010785, 0.010327, 0.010126, 0.010031, 0.009984", \
"0.012187, 0.011508, 0.010772, 0.01031, 0.010112, 0.010023, 0.009975", \
"0.01216, 0.011479, 0.010752, 0.010297, 0.010096, 0.010003, 0.009955", \
"0.012139, 0.011441, 0.010707, 0.010242, 0.010047, 0.009965, 0.009919", \
"0.01218, 0.011493, 0.01074, 0.010254, 0.010049, 0.00995, 0.009897", \
"0.01285, 0.012108, 0.011251, 0.010617, 0.010275, 0.010119, 0.01003", \
"0.014875, 0.014004, 0.01286, 0.011902, 0.011341, 0.01094, 0.010706");
}
}
internal_power() {
related_pin : "B0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.022659, 0.022518, 0.022528, 0.022621, 0.022702, 0.022736, 0.022711", \
"0.022653, 0.022509, 0.022518, 0.022611, 0.022695, 0.02273, 0.022706", \
"0.02264, 0.022496, 0.022494, 0.022584, 0.022674, 0.022715, 0.022694", \
"0.022725, 0.022558, 0.022513, 0.022575, 0.022661, 0.022709, 0.022695", \
"0.023168, 0.022901, 0.022726, 0.022693, 0.02274, 0.022783, 0.022774", \
"0.024376, 0.023869, 0.023463, 0.023229, 0.023156, 0.02314, 0.023114", \
"0.02715, 0.0263, 0.025456, 0.024847, 0.024496, 0.024309, 0.02418");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.007864, 0.007281, 0.006708, 0.006388, 0.006266, 0.006215, 0.006188", \
"0.007851, 0.007255, 0.006687, 0.006371, 0.00625, 0.006195, 0.006166", \
"0.007824, 0.007225, 0.006655, 0.006333, 0.006211, 0.006162, 0.006134", \
"0.007819, 0.007234, 0.006644, 0.006314, 0.006187, 0.006139, 0.006119", \
"0.008117, 0.007507, 0.00688, 0.006487, 0.006305, 0.006234, 0.0062", \
"0.009277, 0.008563, 0.007764, 0.00716, 0.006814, 0.006631, 0.006546", \
"0.012121, 0.011188, 0.010055, 0.009048, 0.008318, 0.007952, 0.007675");
}
}
}
}

/* --------------- *
* Design : AO21XL *
* --------------- */
cell (AO21XL) {
cell_footprint : AO21;
area : 7.569000;
cell_leakage_power : 38.3977;
pin(A0) {
direction : input;
capacitance : 0.00164867;
rise_capacitance : 0.00164821;
fall_capacitance : 0.00164867;
}
pin(A1) {
direction : input;
capacitance : 0.0017008;
rise_capacitance : 0.00169976;
fall_capacitance : 0.0017008;
}
pin(B0) {
direction : input;
capacitance : 0.00168254;
rise_capacitance : 0.00168254;
fall_capacitance : 0.00168231;
rise_capacitance_range ( 0.00168234, 0.00168275) ;
fall_capacitance_range ( 0.00168197, 0.00168265) ;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.0788904;
function : "((A0 A1)+B0)";
timing() {
related_pin : "A0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.106284, 0.117205, 0.136552, 0.168834, 0.225954, 0.335348, 0.553055", \
"0.108048, 0.119069, 0.138335, 0.170619, 0.227857, 0.337276, 0.555333", \
"0.111625, 0.122546, 0.14195, 0.174181, 0.231418, 0.340852, 0.558967", \
"0.118963, 0.129741, 0.149039, 0.181255, 0.23851, 0.34796, 0.565997", \
"0.132778, 0.143627, 0.162961, 0.195329, 0.252546, 0.36178, 0.580806", \
"0.15168, 0.163267, 0.183752, 0.21718, 0.275367, 0.384449, 0.603755", \
"0.175302, 0.187736, 0.209691, 0.245647, 0.30545, 0.415576, 0.633962");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.034598, 0.044453, 0.062707, 0.099097, 0.175969, 0.32981, 0.649427", \
"0.034747, 0.044104, 0.062798, 0.099028, 0.175871, 0.331214, 0.646464", \
"0.034792, 0.043967, 0.063205, 0.09943, 0.175809, 0.331186, 0.646636", \
"0.034322, 0.044036, 0.06307, 0.099441, 0.175819, 0.331063, 0.647803", \
"0.036638, 0.046096, 0.063785, 0.099729, 0.176184, 0.33116, 0.65346", \
"0.041385, 0.051196, 0.069965, 0.104944, 0.177458, 0.333961, 0.647041", \
"0.049636, 0.059261, 0.078894, 0.114886, 0.184906, 0.334775, 0.653959");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.112093, 0.121639, 0.138657, 0.166633, 0.214649, 0.302635, 0.478153", \
"0.115103, 0.124645, 0.141665, 0.169642, 0.217661, 0.30564, 0.481181", \
"0.120884, 0.130441, 0.147411, 0.175504, 0.223534, 0.311715, 0.48647", \
"0.13091, 0.140439, 0.157398, 0.185419, 0.233463, 0.321594, 0.496556", \
"0.148472, 0.158003, 0.174874, 0.20291, 0.251052, 0.338995, 0.514514", \
"0.173241, 0.183591, 0.201592, 0.231135, 0.280279, 0.368806, 0.543857", \
"0.20985, 0.221184, 0.240883, 0.272829, 0.325324, 0.416044, 0.591546");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.032708, 0.041066, 0.057505, 0.088648, 0.149153, 0.276576, 0.533036", \
"0.032692, 0.041066, 0.057499, 0.088643, 0.149133, 0.276573, 0.533013", \
"0.032771, 0.041003, 0.057651, 0.088295, 0.148284, 0.27521, 0.537871", \
"0.032126, 0.041054, 0.057577, 0.088585, 0.148754, 0.275826, 0.536512", \
"0.033823, 0.042285, 0.058342, 0.089332, 0.14937, 0.276721, 0.533405", \
"0.039817, 0.048987, 0.065599, 0.094726, 0.153083, 0.276888, 0.541353", \
"0.051885, 0.060183, 0.075917, 0.107099, 0.163493, 0.283146, 0.542579");
}
}
timing() {
related_pin : "A1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.103153, 0.114053, 0.133436, 0.165746, 0.222948, 0.332374, 0.550544", \
"0.104893, 0.115858, 0.135136, 0.167375, 0.224618, 0.334044, 0.553189", \
"0.108881, 0.11978, 0.139114, 0.171361, 0.228606, 0.338099, 0.556777", \
"0.117944, 0.128805, 0.148019, 0.180151, 0.237333, 0.346761, 0.564603", \
"0.135995, 0.146844, 0.166133, 0.198333, 0.255622, 0.365001, 0.583391", \
"0.16044, 0.171687, 0.19195, 0.225288, 0.283337, 0.392734, 0.610652", \
"0.191565, 0.203833, 0.22564, 0.260644, 0.320397, 0.429634, 0.648226");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.034334, 0.044419, 0.063011, 0.098946, 0.175845, 0.331511, 0.64572", \
"0.034676, 0.044311, 0.062627, 0.099342, 0.175934, 0.332751, 0.650844", \
"0.034724, 0.044359, 0.062878, 0.099184, 0.175898, 0.332444, 0.646968", \
"0.034256, 0.044021, 0.063287, 0.099436, 0.175918, 0.330234, 0.649441", \
"0.036354, 0.045773, 0.064418, 0.10076, 0.176108, 0.331838, 0.645764", \
"0.043386, 0.05249, 0.070353, 0.105999, 0.179332, 0.330333, 0.653339", \
"0.054244, 0.064615, 0.081993, 0.115161, 0.18604, 0.335596, 0.653789");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.104249, 0.113571, 0.130207, 0.157768, 0.205281, 0.293235, 0.468993", \
"0.107053, 0.116351, 0.132972, 0.160575, 0.208089, 0.29604, 0.471744", \
"0.112489, 0.121769, 0.138376, 0.165936, 0.213471, 0.301441, 0.477232", \
"0.122021, 0.131278, 0.147823, 0.175357, 0.222906, 0.310889, 0.486766", \
"0.138634, 0.147974, 0.16454, 0.192227, 0.239871, 0.327594, 0.502579", \
"0.160764, 0.170863, 0.188923, 0.218194, 0.267483, 0.356085, 0.531233", \
"0.19164, 0.202814, 0.222793, 0.254947, 0.308209, 0.399587, 0.575271");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.030234, 0.038925, 0.055482, 0.086503, 0.148561, 0.27409, 0.540492", \
"0.030266, 0.038864, 0.055554, 0.086271, 0.148501, 0.273661, 0.540331", \
"0.030282, 0.038842, 0.055571, 0.086514, 0.148568, 0.274014, 0.540462", \
"0.030099, 0.038656, 0.055469, 0.086931, 0.148606, 0.274963, 0.540648", \
"0.032326, 0.040559, 0.056818, 0.0876, 0.147773, 0.275481, 0.532392", \
"0.03848, 0.047758, 0.064188, 0.093845, 0.15365, 0.278538, 0.536835", \
"0.051043, 0.059993, 0.076314, 0.106438, 0.165219, 0.283823, 0.536613");
}
}
timing() { /* MIN */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.056608, 0.06507, 0.080577, 0.108662, 0.163457, 0.270945, 0.493798", \
"0.059285, 0.067703, 0.083203, 0.111304, 0.166296, 0.273878, 0.493656", \
"0.064719, 0.073069, 0.088492, 0.116804, 0.171343, 0.279784, 0.499121", \
"0.073832, 0.082361, 0.097887, 0.126037, 0.180903, 0.290576, 0.509002", \
"0.084254, 0.093512, 0.110065, 0.139019, 0.193724, 0.301732, 0.522033", \
"0.094154, 0.104326, 0.122278, 0.152534, 0.207879, 0.31603, 0.535298", \
"0.097527, 0.109357, 0.1303, 0.163553, 0.221577, 0.330921, 0.547236");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.022324, 0.031103, 0.049719, 0.088192, 0.167703, 0.323588, 0.644937", \
"0.022382, 0.031104, 0.049567, 0.088874, 0.168458, 0.324158, 0.642574", \
"0.022483, 0.031442, 0.050232, 0.088162, 0.166423, 0.326962, 0.644318", \
"0.024391, 0.032792, 0.050944, 0.088196, 0.167943, 0.324305, 0.648378", \
"0.028706, 0.037273, 0.054489, 0.090561, 0.167223, 0.32688, 0.644481", \
"0.035978, 0.044276, 0.06132, 0.096494, 0.170429, 0.325783, 0.642054", \
"0.048062, 0.056945, 0.074742, 0.107884, 0.179523, 0.329557, 0.644498");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.073147, 0.081642, 0.096715, 0.122197, 0.167653, 0.254728, 0.428841", \
"0.075447, 0.083937, 0.099007, 0.124473, 0.169838, 0.256813, 0.433121", \
"0.081023, 0.089419, 0.104543, 0.129981, 0.175334, 0.262278, 0.439006", \
"0.092566, 0.100912, 0.115839, 0.141146, 0.186477, 0.273432, 0.450109", \
"0.111966, 0.120658, 0.136201, 0.162292, 0.207576, 0.294556, 0.471097", \
"0.139666, 0.149055, 0.165387, 0.192666, 0.240276, 0.32718, 0.501494", \
"0.181976, 0.192503, 0.210879, 0.240547, 0.290104, 0.378999, 0.553687");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.025438, 0.033364, 0.049547, 0.079665, 0.143563, 0.270067, 0.536882", \
"0.025408, 0.033354, 0.049631, 0.07987, 0.143539, 0.270703, 0.531768", \
"0.025291, 0.033684, 0.049748, 0.079906, 0.143515, 0.271462, 0.534815", \
"0.025942, 0.034158, 0.050016, 0.080221, 0.143482, 0.27174, 0.534058", \
"0.030591, 0.038191, 0.053616, 0.082856, 0.143619, 0.273076, 0.535545", \
"0.037593, 0.044906, 0.059847, 0.089979, 0.149273, 0.274385, 0.535209", \
"0.050998, 0.057898, 0.073356, 0.101708, 0.158306, 0.278948, 0.537062");
}
}
timing() { /* MAX */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.060151, 0.069135, 0.085323, 0.114016, 0.168574, 0.277145, 0.49738", \
"0.062855, 0.071814, 0.08799, 0.116695, 0.171321, 0.280025, 0.499806", \
"0.068372, 0.077257, 0.09337, 0.122161, 0.176809, 0.285829, 0.503762", \
"0.078007, 0.086918, 0.103091, 0.131809, 0.186721, 0.294983, 0.515674", \
"0.089684, 0.099468, 0.116628, 0.146154, 0.2011, 0.309587, 0.526701", \
"0.101742, 0.112757, 0.131487, 0.162392, 0.218299, 0.325913, 0.544777", \
"0.108212, 0.120781, 0.142746, 0.177064, 0.235316, 0.344629, 0.562105");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.024264, 0.033211, 0.051652, 0.08921, 0.168693, 0.328321, 0.65309", \
"0.024358, 0.033139, 0.051716, 0.089497, 0.168661, 0.328672, 0.653268", \
"0.024464, 0.033463, 0.05189, 0.089033, 0.168825, 0.328381, 0.653636", \
"0.026384, 0.034534, 0.052471, 0.089541, 0.168583, 0.325785, 0.653501", \
"0.031178, 0.039241, 0.056813, 0.092716, 0.169471, 0.328053, 0.652753", \
"0.038938, 0.047877, 0.064023, 0.098427, 0.171875, 0.328674, 0.650404", \
"0.051527, 0.061609, 0.079627, 0.111364, 0.181437, 0.329931, 0.647075");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.099256, 0.108833, 0.125808, 0.15392, 0.201982, 0.290245, 0.465881", \
"0.100931, 0.110499, 0.127478, 0.155524, 0.203509, 0.291745, 0.467505", \
"0.105375, 0.114973, 0.131916, 0.160008, 0.208049, 0.296354, 0.472246", \
"0.115876, 0.125329, 0.142263, 0.170328, 0.218274, 0.306572, 0.482036", \
"0.13819, 0.14768, 0.164435, 0.192467, 0.240656, 0.32881, 0.504144", \
"0.171288, 0.181395, 0.198927, 0.228237, 0.277537, 0.366209, 0.541619", \
"0.223317, 0.234608, 0.253785, 0.284626, 0.335473, 0.426824, 0.603365");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.032268, 0.041278, 0.057015, 0.088018, 0.149286, 0.275634, 0.541216", \
"0.03218, 0.040955, 0.057576, 0.08864, 0.149809, 0.277095, 0.53561", \
"0.032427, 0.041265, 0.057004, 0.088052, 0.149744, 0.27602, 0.541107", \
"0.03201, 0.040518, 0.057817, 0.088175, 0.14945, 0.275403, 0.540719", \
"0.034987, 0.043252, 0.059136, 0.089168, 0.150779, 0.275953, 0.538877", \
"0.042663, 0.050045, 0.065634, 0.096194, 0.154856, 0.279155, 0.53632", \
"0.055438, 0.062548, 0.077452, 0.108339, 0.163716, 0.284335, 0.545777");
}
}
internal_power() {
related_pin : "A0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"-0.001977, -0.001987, -0.001985, -0.001972, -0.001959, -0.001952,
-0.001955", \
"-0.001991, -0.002, -0.001998, -0.001986, -0.001973, -0.001966,
-0.001968", \
"-0.002009, -0.002019, -0.002018, -0.002006, -0.001991, -0.001984,
-0.001986", \
"-0.002015, -0.002027, -0.002029, -0.002019, -0.002005, -0.001997,
-0.001998", \
"-0.001961, -0.001981, -0.001992, -0.001989, -0.001979, -0.001971,
-0.001971", \
"-0.001732, -0.001765, -0.001795, -0.001809, -0.001811, -0.001808,
-0.001809", \
"-0.001106, -0.001162, -0.001216, -0.001254, -0.001281, -0.001295,
-0.001303");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.008479, 0.008467, 0.008465, 0.008473, 0.008482, 0.008488, 0.008491", \
"0.00847, 0.008458, 0.008456, 0.008464, 0.008474, 0.00848, 0.008482", \
"0.008459, 0.008446, 0.008443, 0.008452, 0.008461, 0.008468, 0.00847", \
"0.008449, 0.008435, 0.008431, 0.008438, 0.008448, 0.008454, 0.008458", \
"0.008477, 0.008457, 0.008446, 0.008446, 0.008452, 0.008459, 0.008461", \
"0.008653, 0.00862, 0.008588, 0.008569, 0.008563, 0.008563, 0.008562", \
"0.009244, 0.009188, 0.009123, 0.009069, 0.009034, 0.009015, 0.009002");
}
}
internal_power() {
related_pin : "A1";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"-0.003322, -0.003332, -0.00333, -0.003318, -0.003305, -0.003298,
-0.0033", \
"-0.003335, -0.003345, -0.003343, -0.003331, -0.003318, -0.003311,
-0.003314", \
"-0.003348, -0.00336, -0.003359, -0.003348, -0.003334, -0.003326,
-0.003328", \
"-0.003337, -0.00335, -0.003354, -0.003347, -0.003334, -0.003326,
-0.003327", \
"-0.003238, -0.003258, -0.003275, -0.003279, -0.003272, -0.003265,
-0.003265", \
"-0.002913, -0.002952, -0.002989, -0.003015, -0.003028, -0.003031,
-0.003034", \
"-0.002154, -0.002219, -0.00229, -0.002345, -0.002388, -0.002416,
-0.002432");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.009542, 0.009536, 0.009543, 0.009557, 0.009568, 0.009573, 0.009574", \
"0.009528, 0.009522, 0.009529, 0.009543, 0.009554, 0.009559, 0.009561", \
"0.009507, 0.009499, 0.009506, 0.00952, 0.009531, 0.009537, 0.009539", \
"0.009486, 0.009477, 0.009481, 0.009493, 0.009505, 0.009512, 0.009514", \
"0.009511, 0.009495, 0.009488, 0.009493, 0.009502, 0.009509, 0.009511", \
"0.009689, 0.009655, 0.009633, 0.009618, 0.009616, 0.009618, 0.009618", \
"0.010302, 0.010246, 0.010183, 0.010136, 0.010108, 0.010092, 0.010082");
}
}
internal_power() {
related_pin : "B0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"-0.001763, -0.001743, -0.001717, -0.001698, -0.001689, -0.001689,
-0.001696", \
"-0.001774, -0.001754, -0.001729, -0.001709, -0.001698, -0.001697,
-0.001703", \
"-0.001777, -0.001762, -0.001739, -0.001718, -0.001705, -0.001702,
-0.001707", \
"-0.001752, -0.001744, -0.001727, -0.001706, -0.00169, -0.001683,
-0.001687", \
"-0.001623, -0.001626, -0.001622, -0.00161, -0.001595, -0.001585,
-0.001587", \
"-0.00125, -0.00127, -0.001284, -0.00129, -0.001284, -0.001277,
-0.001277", \
"-0.000335, -0.00037, -0.000419, -0.000454, -0.000473, -0.000483,
-0.000486");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.007053, 0.007054, 0.007065, 0.007079, 0.007088, 0.007094, 0.007095", \
"0.007034, 0.007034, 0.007045, 0.007059, 0.007069, 0.007075, 0.007077", \
"0.007018, 0.007017, 0.007025, 0.00704, 0.007052, 0.007059, 0.007062", \
"0.007043, 0.007037, 0.007039, 0.007048, 0.007058, 0.007066, 0.007069", \
"0.007176, 0.007157, 0.007144, 0.00714, 0.007146, 0.007152, 0.007154", \
"0.007525, 0.007489, 0.007457, 0.007433, 0.007424, 0.007422, 0.007421", \
"0.008384, 0.008329, 0.008262, 0.008206, 0.00817, 0.008163, 0.008151");
}
}
}
}

/* --------------- *
* Design : AO22X1 *
* --------------- */
cell (AO22X1) {
cell_footprint : AO22;
area : 7.569000;
cell_leakage_power : 43.0873;
pin(A0) {
direction : input;
capacitance : 0.00161779;
rise_capacitance : 0.00161746;
fall_capacitance : 0.00161779;
rise_capacitance_range ( 0.00161522, 0.0016197) ;
fall_capacitance_range ( 0.00161553, 0.00162005) ;
}
pin(A1) {
direction : input;
capacitance : 0.00162797;
rise_capacitance : 0.00162731;
fall_capacitance : 0.00162797;
rise_capacitance_range ( 0.00162523, 0.00162939) ;
fall_capacitance_range ( 0.0016258, 0.00163014) ;
}
pin(B0) {
direction : input;
capacitance : 0.00165342;
rise_capacitance : 0.00165342;
fall_capacitance : 0.00165328;
rise_capacitance_range ( 0.00165324, 0.0016536) ;
fall_capacitance_range ( 0.00165309, 0.00165347) ;
}
pin(B1) {
direction : input;
capacitance : 0.00163593;
rise_capacitance : 0.00163558;
fall_capacitance : 0.00163593;
rise_capacitance_range ( 0.00163544, 0.00163572) ;
fall_capacitance_range ( 0.00163571, 0.00163615) ;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.14296;
function : "((A0 A1)+(B0 B1))";
timing() { /* MIN */
related_pin : "A0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.133172, 0.146453, 0.169849, 0.20749, 0.272542, 0.393268, 0.633803", \
"0.134977, 0.148258, 0.171459, 0.209371, 0.274219, 0.394755, 0.636565", \
"0.138564, 0.151822, 0.175081, 0.213053, 0.277799, 0.398287, 0.640143", \
"0.145878, 0.159124, 0.182553, 0.22019, 0.284992, 0.405694, 0.647425", \
"0.161177, 0.174403, 0.197352, 0.235197, 0.300022, 0.42075, 0.661293", \
"0.184774, 0.198555, 0.222417, 0.261153, 0.326575, 0.447115, 0.687929", \
"0.215687, 0.23051, 0.25568, 0.29688, 0.36425, 0.485926, 0.726924");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.043403, 0.054147, 0.075842, 0.115887, 0.196813, 0.367642, 0.712564", \
"0.043536, 0.054999, 0.075588, 0.116021, 0.19614, 0.367796, 0.718161", \
"0.043573, 0.05488, 0.075513, 0.115956, 0.196211, 0.367844, 0.715452", \
"0.043394, 0.054793, 0.076007, 0.115793, 0.196281, 0.365614, 0.715454", \
"0.043622, 0.054769, 0.075771, 0.116144, 0.196755, 0.364589, 0.711008", \
"0.050068, 0.061163, 0.081058, 0.120407, 0.198642, 0.36622, 0.71086", \
"0.059637, 0.070111, 0.092138, 0.130544, 0.207286, 0.369126, 0.712395");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.122379, 0.133631, 0.153451, 0.18581, 0.240413, 0.339607, 0.536027", \
"0.125532, 0.136793, 0.156584, 0.188992, 0.243549, 0.342672, 0.538831", \
"0.13183, 0.143038, 0.162783, 0.195152, 0.249813, 0.348848, 0.544687", \
"0.143363, 0.154508, 0.174335, 0.206598, 0.261533, 0.360635, 0.557429", \
"0.165431, 0.1766, 0.196236, 0.22836, 0.283011, 0.381974, 0.578263", \
"0.199947, 0.211802, 0.232459, 0.265891, 0.321161, 0.420672, 0.617139", \
"0.245963, 0.259124, 0.28201, 0.318327, 0.377126, 0.478754, 0.6751");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.037071, 0.046477, 0.065729, 0.10006, 0.167414, 0.307408, 0.60474", \
"0.037054, 0.046546, 0.065671, 0.100241, 0.167776, 0.308085, 0.602664", \
"0.036982, 0.046673, 0.065523, 0.100461, 0.16784, 0.308667, 0.602606", \
"0.036924, 0.04621, 0.065914, 0.099958, 0.168193, 0.306456, 0.600482", \
"0.037356, 0.047407, 0.065738, 0.101008, 0.16828, 0.309194, 0.599742", \
"0.045312, 0.054983, 0.072729, 0.106498, 0.172293, 0.311435, 0.597341", \
"0.059698, 0.069473, 0.088472, 0.120338, 0.185021, 0.314745, 0.603753");
}
}
timing() { /* MAX */
related_pin : "A0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.141243, 0.154668, 0.177972, 0.216467, 0.282646, 0.403972, 0.645542", \
"0.142994, 0.156504, 0.17986, 0.218462, 0.28452, 0.405618, 0.647117", \
"0.146743, 0.160118, 0.183529, 0.222031, 0.288149, 0.409138, 0.650511", \
"0.154138, 0.167502, 0.19111, 0.229145, 0.295596, 0.416876, 0.657604", \
"0.169886, 0.183253, 0.206471, 0.244312, 0.310554, 0.431778, 0.671665", \
"0.195068, 0.208903, 0.233445, 0.271805, 0.337813, 0.459081, 0.699505", \
"0.229221, 0.243952, 0.269451, 0.310428, 0.377575, 0.500111, 0.739946");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.046586, 0.057746, 0.079477, 0.11957, 0.200465, 0.369109, 0.721006", \
"0.046517, 0.057914, 0.079459, 0.119623, 0.199986, 0.369174, 0.720437", \
"0.046566, 0.057787, 0.07943, 0.119598, 0.199725, 0.369048, 0.719306", \
"0.046612, 0.057942, 0.079239, 0.118791, 0.200466, 0.368015, 0.720223", \
"0.046806, 0.058331, 0.079222, 0.11954, 0.199543, 0.3673, 0.718463", \
"0.05278, 0.063706, 0.084667, 0.123246, 0.202234, 0.368214, 0.71959", \
"0.062726, 0.07426, 0.095683, 0.133497, 0.210422, 0.372922, 0.72152");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.154109, 0.166104, 0.187079, 0.2213, 0.278216, 0.37892, 0.575695", \
"0.15716, 0.169191, 0.190181, 0.224345, 0.281123, 0.382045, 0.579118", \
"0.163287, 0.175443, 0.196392, 0.230616, 0.287502, 0.388184, 0.585581", \
"0.17389, 0.18602, 0.206954, 0.241279, 0.297913, 0.398861, 0.59612", \
"0.192928, 0.205103, 0.22586, 0.26003, 0.316847, 0.417364, 0.613967", \
"0.225291, 0.237604, 0.259105, 0.293389, 0.35064, 0.451149, 0.647642", \
"0.271477, 0.284875, 0.308042, 0.344997, 0.405348, 0.508192, 0.704917");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.044835, 0.054476, 0.073978, 0.108345, 0.176463, 0.315004, 0.60736", \
"0.045219, 0.054587, 0.073867, 0.108171, 0.176434, 0.315265, 0.603829", \
"0.045139, 0.054771, 0.074083, 0.108632, 0.174689, 0.311808, 0.60799", \
"0.045077, 0.054663, 0.073564, 0.108956, 0.176177, 0.315239, 0.60537", \
"0.044978, 0.05459, 0.073253, 0.108328, 0.17601, 0.314497, 0.607346", \
"0.051349, 0.059958, 0.077585, 0.112158, 0.176917, 0.314537, 0.608081", \
"0.061626, 0.07159, 0.091161, 0.124164, 0.188608, 0.322857, 0.610578");
}
}
timing() { /* MIN */
related_pin : "A1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.129392, 0.142805, 0.166216, 0.203894, 0.268944, 0.389666, 0.62934", \
"0.131252, 0.14456, 0.167891, 0.205546, 0.270593, 0.391247, 0.632043", \
"0.135247, 0.148552, 0.171868, 0.209564, 0.274595, 0.39535, 0.635626", \
"0.143978, 0.157275, 0.18054, 0.218404, 0.283238, 0.404077, 0.643849", \
"0.163576, 0.176773, 0.199751, 0.237404, 0.302304, 0.422956, 0.663055", \
"0.195008, 0.208582, 0.232354, 0.270816, 0.335944, 0.45621, 0.697636", \
"0.235291, 0.249892, 0.275129, 0.31524, 0.382547, 0.503827, 0.743739");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.043887, 0.055065, 0.076112, 0.115927, 0.19786, 0.363892, 0.710293", \
"0.044051, 0.05397, 0.075646, 0.116033, 0.197325, 0.367372, 0.713466", \
"0.043647, 0.054229, 0.075562, 0.11607, 0.197366, 0.365478, 0.710042", \
"0.043375, 0.054806, 0.075566, 0.115868, 0.197593, 0.364089, 0.715072", \
"0.044149, 0.055311, 0.076795, 0.115946, 0.197679, 0.363604, 0.714346", \
"0.051852, 0.062464, 0.081932, 0.120652, 0.199622, 0.366096, 0.713829", \
"0.065053, 0.074232, 0.09505, 0.131483, 0.207765, 0.372019, 0.717099");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.116301, 0.127378, 0.146818, 0.178697, 0.232844, 0.331947, 0.528606", \
"0.11933, 0.130346, 0.149862, 0.181701, 0.235972, 0.335104, 0.531888", \
"0.125325, 0.136371, 0.155855, 0.187783, 0.242146, 0.341069, 0.53732", \
"0.136502, 0.14747, 0.166916, 0.198803, 0.253143, 0.352245, 0.549031", \
"0.157984, 0.168902, 0.188142, 0.219966, 0.274463, 0.373217, 0.568975", \
"0.190234, 0.201932, 0.222497, 0.255806, 0.311247, 0.410333, 0.606826", \
"0.231703, 0.244715, 0.267439, 0.303912, 0.363295, 0.465285, 0.66131");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.035121, 0.045284, 0.063259, 0.099089, 0.167772, 0.309632, 0.600323", \
"0.03517, 0.045168, 0.063732, 0.099064, 0.167784, 0.3086, 0.603757", \
"0.034907, 0.045046, 0.064102, 0.098234, 0.166556, 0.306721, 0.599838", \
"0.035302, 0.044688, 0.063625, 0.098875, 0.167649, 0.307259, 0.60148", \
"0.03592, 0.045778, 0.064604, 0.099889, 0.166983, 0.307966, 0.600457", \
"0.045232, 0.054291, 0.071923, 0.106036, 0.171388, 0.30912, 0.604813", \
"0.059836, 0.069242, 0.08802, 0.120933, 0.183561, 0.318819, 0.603047");
}
}
timing() { /* MAX */
related_pin : "A1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.137513, 0.150912, 0.174449, 0.212836, 0.278913, 0.400191, 0.641786", \
"0.139339, 0.152694, 0.176229, 0.214563, 0.280696, 0.401994, 0.643591", \
"0.143304, 0.156897, 0.180158, 0.218548, 0.284875, 0.405945, 0.646632", \
"0.152252, 0.165717, 0.189124, 0.22728, 0.29357, 0.414855, 0.654566", \
"0.17204, 0.185507, 0.20861, 0.246434, 0.312602, 0.433479, 0.674753", \
"0.205528, 0.219205, 0.242976, 0.281657, 0.347925, 0.46857, 0.709501", \
"0.248495, 0.263341, 0.289022, 0.329757, 0.3974, 0.519314, 0.758965");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.046299, 0.057537, 0.079538, 0.11965, 0.200287, 0.369512, 0.719901", \
"0.046371, 0.05756, 0.079512, 0.119622, 0.200295, 0.369317, 0.720662", \
"0.046627, 0.058282, 0.079167, 0.119392, 0.199051, 0.368337, 0.713014", \
"0.046763, 0.058364, 0.078805, 0.119096, 0.199674, 0.366717, 0.718202", \
"0.046702, 0.058466, 0.079792, 0.119892, 0.199478, 0.368857, 0.720502", \
"0.05458, 0.064987, 0.085821, 0.124476, 0.202443, 0.370125, 0.719047", \
"0.068878, 0.077355, 0.099169, 0.136988, 0.210821, 0.373219, 0.722055");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.146316, 0.158008, 0.17867, 0.212489, 0.268734, 0.368825, 0.565254", \
"0.149337, 0.161029, 0.181684, 0.215517, 0.271782, 0.371852, 0.568446", \
"0.15511, 0.166828, 0.187398, 0.221297, 0.277573, 0.377919, 0.574936", \
"0.165223, 0.176919, 0.197508, 0.231352, 0.287464, 0.388109, 0.585208", \
"0.183753, 0.195459, 0.21583, 0.249391, 0.305755, 0.405965, 0.60243", \
"0.214336, 0.226496, 0.247837, 0.281902, 0.338683, 0.439152, 0.63629", \
"0.256875, 0.270113, 0.29307, 0.329923, 0.390308, 0.493355, 0.690197");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.043044, 0.052719, 0.071647, 0.106553, 0.174064, 0.313241, 0.607161", \
"0.043068, 0.052669, 0.071683, 0.106476, 0.173824, 0.312918, 0.607155", \
"0.042767, 0.052279, 0.07159, 0.105568, 0.173414, 0.313144, 0.608072", \
"0.042742, 0.052522, 0.071523, 0.106747, 0.174356, 0.313956, 0.606189", \
"0.04242, 0.052266, 0.07138, 0.106156, 0.17436, 0.31363, 0.606184", \
"0.048578, 0.058722, 0.077007, 0.110525, 0.176312, 0.31296, 0.606829", \
"0.061108, 0.071276, 0.090125, 0.123534, 0.188583, 0.321948, 0.610719");
}
}
timing() { /* MIN */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.11316, 0.125752, 0.147994, 0.184132, 0.247414, 0.366813, 0.605426", \
"0.115066, 0.127756, 0.149766, 0.186078, 0.249427, 0.368862, 0.607721", \
"0.118563, 0.131223, 0.153339, 0.189553, 0.252869, 0.371866, 0.61368", \
"0.125822, 0.138407, 0.160419, 0.196488, 0.259841, 0.379009, 0.619932", \
"0.139907, 0.152508, 0.174495, 0.210753, 0.274042, 0.393407, 0.634976", \
"0.158471, 0.171872, 0.195186, 0.233335, 0.297841, 0.4175, 0.658259", \
"0.180435, 0.194688, 0.220008, 0.260421, 0.327807, 0.449184, 0.688384");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.038417, 0.049159, 0.070378, 0.111067, 0.193189, 0.361509, 0.7145", \
"0.038319, 0.049194, 0.070087, 0.110327, 0.192207, 0.361911, 0.714671", \
"0.038592, 0.049009, 0.070838, 0.111113, 0.192537, 0.361727, 0.71314", \
"0.037859, 0.049277, 0.070652, 0.110939, 0.192822, 0.361817, 0.709125", \
"0.040293, 0.051091, 0.071794, 0.111857, 0.193308, 0.364019, 0.716951", \
"0.045884, 0.057712, 0.078668, 0.117253, 0.197778, 0.364258, 0.716951", \
"0.0569, 0.068251, 0.089572, 0.127475, 0.205197, 0.369152, 0.714493");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.103696, 0.114293, 0.133047, 0.163688, 0.216636, 0.315166, 0.512022", \
"0.106031, 0.116682, 0.135435, 0.166088, 0.219032, 0.317555, 0.514376", \
"0.111368, 0.121949, 0.14058, 0.171493, 0.224388, 0.322696, 0.518369", \
"0.122753, 0.133367, 0.151975, 0.182607, 0.235529, 0.333662, 0.530537", \
"0.146747, 0.157098, 0.175583, 0.206369, 0.259176, 0.357546, 0.554337", \
"0.1831, 0.194311, 0.213747, 0.245647, 0.299304, 0.397427, 0.59306", \
"0.233547, 0.245511, 0.267036, 0.301118, 0.357134, 0.456396, 0.65251");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.034588, 0.044467, 0.062048, 0.096515, 0.165562, 0.304693, 0.602369", \
"0.035, 0.044415, 0.062067, 0.096536, 0.165556, 0.305151, 0.604359", \
"0.034945, 0.044304, 0.062115, 0.096548, 0.163617, 0.306908, 0.599039", \
"0.034734, 0.04396, 0.062381, 0.096854, 0.164643, 0.308075, 0.597547", \
"0.036737, 0.045658, 0.063646, 0.097355, 0.165962, 0.305604, 0.603186", \
"0.046148, 0.054929, 0.071785, 0.104305, 0.168781, 0.307207, 0.604363", \
"0.060502, 0.069771, 0.086598, 0.118549, 0.179389, 0.314316, 0.606826");
}
}
timing() { /* MAX */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.11866, 0.131908, 0.154938, 0.192774, 0.257154, 0.376971, 0.616396", \
"0.120647, 0.133882, 0.156961, 0.194662, 0.259173, 0.379101, 0.618119", \
"0.124357, 0.137586, 0.160632, 0.198254, 0.262884, 0.38279, 0.622223", \
"0.13161, 0.144737, 0.167823, 0.205301, 0.269886, 0.38994, 0.630017", \
"0.146143, 0.159238, 0.182201, 0.219979, 0.284397, 0.404351, 0.644692", \
"0.165401, 0.179272, 0.203453, 0.242773, 0.308422, 0.428669, 0.668635", \
"0.189552, 0.204311, 0.230488, 0.271632, 0.341211, 0.462913, 0.702336");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.040955, 0.052063, 0.073803, 0.114401, 0.194829, 0.365442, 0.71661", \
"0.041432, 0.052445, 0.073362, 0.114059, 0.194779, 0.36477, 0.718812", \
"0.04127, 0.052396, 0.073367, 0.113708, 0.196265, 0.364658, 0.717654", \
"0.041292, 0.052354, 0.073944, 0.114427, 0.195099, 0.364561, 0.717802", \
"0.043077, 0.053986, 0.074552, 0.115119, 0.196297, 0.364782, 0.717245", \
"0.048855, 0.060583, 0.081701, 0.120172, 0.199952, 0.364933, 0.71775", \
"0.061222, 0.072129, 0.092741, 0.131931, 0.20836, 0.372435, 0.71801");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.138142, 0.150255, 0.171222, 0.205396, 0.262267, 0.363192, 0.560556", \
"0.14014, 0.152165, 0.173367, 0.207491, 0.26424, 0.36499, 0.561886", \
"0.144641, 0.156724, 0.177674, 0.211854, 0.268616, 0.369555, 0.56665", \
"0.154533, 0.166482, 0.187558, 0.221863, 0.278561, 0.379428, 0.576724", \
"0.177262, 0.189255, 0.20997, 0.243903, 0.300523, 0.401288, 0.59812", \
"0.218702, 0.231066, 0.252464, 0.286754, 0.343696, 0.444208, 0.640542", \
"0.279495, 0.292767, 0.3151, 0.351312, 0.410874, 0.513463, 0.710595");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.045294, 0.05412, 0.07393, 0.108388, 0.176317, 0.314247, 0.608038", \
"0.044991, 0.054484, 0.073909, 0.108137, 0.176579, 0.31521, 0.606638", \
"0.045292, 0.054079, 0.073918, 0.108377, 0.176499, 0.315294, 0.60443", \
"0.044952, 0.054634, 0.073422, 0.109008, 0.175975, 0.315003, 0.607704", \
"0.044603, 0.054846, 0.073513, 0.108842, 0.176072, 0.314516, 0.604569", \
"0.052498, 0.061442, 0.079769, 0.113102, 0.178692, 0.315664, 0.608052", \
"0.067461, 0.076095, 0.093278, 0.127119, 0.189937, 0.323693, 0.611937");
}
}
timing() { /* MIN */
related_pin : "B1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.107246, 0.119862, 0.142029, 0.178389, 0.241722, 0.36116, 0.600665", \
"0.109146, 0.121816, 0.14388, 0.180126, 0.243395, 0.362884, 0.603595", \
"0.113342, 0.125971, 0.148093, 0.184377, 0.247706, 0.367099, 0.607978", \
"0.1225, 0.135004, 0.156948, 0.193219, 0.256501, 0.376161, 0.6157", \
"0.141009, 0.153559, 0.175429, 0.211697, 0.274948, 0.394468, 0.634916", \
"0.16487, 0.178206, 0.201257, 0.239331, 0.303668, 0.422638, 0.663416", \
"0.193605, 0.208301, 0.233127, 0.273743, 0.340525, 0.461692, 0.699981");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.038167, 0.04954, 0.070517, 0.110238, 0.191812, 0.3635, 0.711615", \
"0.038399, 0.049457, 0.069906, 0.111086, 0.193545, 0.362778, 0.711612", \
"0.038434, 0.049018, 0.070647, 0.110611, 0.191679, 0.364978, 0.713114", \
"0.037854, 0.049226, 0.070707, 0.110441, 0.192878, 0.363372, 0.717754", \
"0.040872, 0.051484, 0.072345, 0.111651, 0.194316, 0.36277, 0.710164", \
"0.048712, 0.059479, 0.080576, 0.119057, 0.196725, 0.364866, 0.715921", \
"0.063571, 0.073642, 0.093875, 0.130408, 0.207408, 0.369559, 0.714346");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.095229, 0.105629, 0.124045, 0.154337, 0.207042, 0.304941, 0.50218", \
"0.097687, 0.108095, 0.126447, 0.156805, 0.209521, 0.307633, 0.502995", \
"0.10299, 0.11341, 0.131778, 0.162237, 0.214825, 0.312969, 0.508831", \
"0.114441, 0.124723, 0.14295, 0.173364, 0.225902, 0.323946, 0.519992", \
"0.137698, 0.147939, 0.166122, 0.196338, 0.248757, 0.3467, 0.542815", \
"0.170772, 0.181776, 0.201051, 0.232765, 0.286728, 0.384369, 0.579881", \
"0.214933, 0.227113, 0.24824, 0.282418, 0.339872, 0.439997, 0.634986");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.033218, 0.042595, 0.060666, 0.095281, 0.163115, 0.307025, 0.598281", \
"0.033346, 0.042393, 0.06079, 0.095343, 0.163801, 0.30577, 0.595452", \
"0.032842, 0.04252, 0.060524, 0.094873, 0.163021, 0.305047, 0.59881", \
"0.032912, 0.042526, 0.060634, 0.094872, 0.162582, 0.306631, 0.599272", \
"0.035741, 0.044736, 0.062157, 0.095618, 0.163585, 0.306771, 0.599962", \
"0.045044, 0.053239, 0.070712, 0.103152, 0.169628, 0.309006, 0.602138", \
"0.061372, 0.069415, 0.086845, 0.118345, 0.180334, 0.314544, 0.60252");
}
}
timing() { /* MAX */
related_pin : "B1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.112786, 0.126027, 0.149061, 0.186806, 0.251301, 0.37129, 0.609934", \
"0.114788, 0.128042, 0.151068, 0.188734, 0.253288, 0.372831, 0.613477", \
"0.11915, 0.13237, 0.155354, 0.193035, 0.2576, 0.377485, 0.616522", \
"0.128187, 0.141347, 0.16428, 0.201828, 0.266304, 0.386103, 0.626997", \
"0.14704, 0.160075, 0.182963, 0.220373, 0.284953, 0.404595, 0.644891", \
"0.172715, 0.186653, 0.210625, 0.249679, 0.315414, 0.435183, 0.675038", \
"0.204275, 0.219039, 0.245673, 0.286871, 0.355039, 0.476232, 0.715424");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.04118, 0.052198, 0.073616, 0.114121, 0.195739, 0.365031, 0.718963", \
"0.040751, 0.052031, 0.073801, 0.114464, 0.19439, 0.366224, 0.718341", \
"0.041087, 0.052017, 0.073796, 0.114411, 0.194902, 0.364985, 0.718732", \
"0.041477, 0.052598, 0.073953, 0.114123, 0.195536, 0.365988, 0.718463", \
"0.043067, 0.053783, 0.075168, 0.115583, 0.19485, 0.366031, 0.716678", \
"0.052012, 0.062778, 0.083126, 0.12166, 0.200113, 0.36596, 0.717773", \
"0.066185, 0.076511, 0.097968, 0.133837, 0.209693, 0.372634, 0.719181");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.127154, 0.138854, 0.15951, 0.193282, 0.249374, 0.349917, 0.546875", \
"0.129181, 0.140864, 0.16144, 0.195218, 0.251549, 0.351789, 0.548639", \
"0.133603, 0.145357, 0.165885, 0.199711, 0.256316, 0.356144, 0.55294", \
"0.143578, 0.155292, 0.175853, 0.209574, 0.265671, 0.366001, 0.56253", \
"0.166191, 0.177559, 0.197982, 0.231417, 0.287586, 0.387642, 0.584117", \
"0.205059, 0.216985, 0.237781, 0.272067, 0.328441, 0.429016, 0.625782", \
"0.259508, 0.272496, 0.294718, 0.330798, 0.39011, 0.49234, 0.689948");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.042964, 0.052563, 0.071484, 0.106367, 0.174365, 0.314228, 0.604318", \
"0.042649, 0.052402, 0.071484, 0.106169, 0.173303, 0.3117, 0.607982", \
"0.042933, 0.051657, 0.071511, 0.105745, 0.173372, 0.312216, 0.607803", \
"0.042055, 0.052565, 0.070725, 0.106683, 0.174291, 0.313766, 0.60581", \
"0.042332, 0.052416, 0.071185, 0.106613, 0.174129, 0.313548, 0.605881", \
"0.051327, 0.060346, 0.077573, 0.112256, 0.178014, 0.315972, 0.607746", \
"0.067231, 0.076218, 0.093581, 0.12582, 0.190452, 0.321067, 0.611481");
}
}
internal_power() {
related_pin : "A0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.003206, 0.003142, 0.003105, 0.003098, 0.003106, 0.003111, 0.003105", \
"0.003195, 0.003135, 0.003094, 0.003087, 0.003095, 0.0031, 0.003094", \
"0.003177, 0.003112, 0.003072, 0.003066, 0.003075, 0.003082, 0.003077", \
"0.003162, 0.003096, 0.003053, 0.003045, 0.003056, 0.003065, 0.003061", \
"0.003227, 0.003154, 0.003099, 0.003077, 0.003077, 0.003082, 0.003078", \
"0.003465, 0.003368, 0.003294, 0.003242, 0.00322, 0.003215, 0.003207", \
"0.004173, 0.004042, 0.003897, 0.003786, 0.003718, 0.003681, 0.003658");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.006707, 0.006652, 0.006617, 0.006613, 0.00662, 0.006626, 0.006628", \
"0.006701, 0.006645, 0.006611, 0.006606, 0.006613, 0.006619, 0.006622", \
"0.00669, 0.006635, 0.0066, 0.006596, 0.006603, 0.006609, 0.006612", \
"0.006681, 0.006625, 0.006588, 0.006583, 0.00659, 0.006597, 0.0066", \
"0.006719, 0.006656, 0.006608, 0.006591, 0.006593, 0.006598, 0.0066", \
"0.006946, 0.006851, 0.006767, 0.006713, 0.006691, 0.006684, 0.006679", \
"0.007639, 0.007502, 0.007343, 0.007221, 0.007139, 0.007092, 0.007065");
}
}
internal_power() {
related_pin : "A1";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.001863, 0.001799, 0.001759, 0.001753, 0.001762, 0.001768, 0.001762", \
"0.001854, 0.00179, 0.00175, 0.001742, 0.00175, 0.001757, 0.001751", \
"0.00184, 0.001774, 0.001733, 0.001725, 0.001734, 0.001742, 0.001737", \
"0.001838, 0.00177, 0.001727, 0.001716, 0.001726, 0.001735, 0.001732", \
"0.001939, 0.001872, 0.001812, 0.001783, 0.001779, 0.001783, 0.001779", \
"0.002318, 0.00221, 0.002108, 0.002035, 0.002, 0.001986, 0.001975", \
"0.003222, 0.003058, 0.002885, 0.002763, 0.002662, 0.002594, 0.002554");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.007746, 0.0077, 0.007679, 0.007684, 0.007695, 0.007702, 0.007704", \
"0.007737, 0.00769, 0.00767, 0.007675, 0.007686, 0.007693, 0.007695", \
"0.00772, 0.007672, 0.007651, 0.007656, 0.007668, 0.007675, 0.007678", \
"0.007697, 0.007649, 0.007626, 0.007629, 0.007642, 0.007651, 0.007654", \
"0.00773, 0.007675, 0.007637, 0.007627, 0.007634, 0.007641, 0.007643", \
"0.007961, 0.007871, 0.007789, 0.007746, 0.007728, 0.007724, 0.007721", \
"0.008658, 0.00852, 0.008365, 0.008253, 0.008184, 0.00814, 0.008115");
}
}
internal_power() {
related_pin : "B0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.002898, 0.002866, 0.002862, 0.002879, 0.002893, 0.002896, 0.002884", \
"0.002884, 0.002852, 0.002849, 0.002866, 0.002881, 0.002884, 0.002873", \
"0.002862, 0.002829, 0.002824, 0.002841, 0.002858, 0.002863, 0.002853", \
"0.002849, 0.002813, 0.0028, 0.002815, 0.002833, 0.00284, 0.002832", \
"0.002917, 0.002866, 0.002835, 0.002835, 0.00285, 0.002857, 0.00285", \
"0.003185, 0.003103, 0.003036, 0.003004, 0.002999, 0.003003, 0.002997", \
"0.003922, 0.003791, 0.003663, 0.003575, 0.00353, 0.003508, 0.003492");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.005859, 0.005807, 0.005777, 0.005772, 0.005775, 0.005777, 0.005779", \
"0.005844, 0.005793, 0.005762, 0.005757, 0.005761, 0.005764, 0.005765", \
"0.00583, 0.005774, 0.005743, 0.005739, 0.005743, 0.005747, 0.005748", \
"0.005828, 0.005773, 0.005735, 0.005728, 0.005734, 0.00574, 0.005742", \
"0.005942, 0.005876, 0.005829, 0.005799, 0.005789, 0.005787, 0.005786", \
"0.006334, 0.006232, 0.006129, 0.006052, 0.006014, 0.005994, 0.005983", \
"0.00726, 0.007113, 0.006939, 0.006796, 0.006674, 0.006609, 0.006562");
}
}
internal_power() {
related_pin : "B1";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.001527, 0.001495, 0.001491, 0.00151, 0.001528, 0.001535, 0.001527", \
"0.001519, 0.001488, 0.001484, 0.001501, 0.00152, 0.001527, 0.00152", \
"0.00151, 0.001477, 0.001471, 0.001488, 0.001508, 0.001517, 0.00151", \
"0.001526, 0.001487, 0.001473, 0.001485, 0.001504, 0.001515, 0.001511", \
"0.001664, 0.001607, 0.001562, 0.001553, 0.001562, 0.001571, 0.001567", \
"0.002047, 0.001957, 0.001879, 0.00182, 0.001803, 0.001795, 0.001786", \
"0.002975, 0.002808, 0.002642, 0.002526, 0.002455, 0.002417, 0.002392");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.006834, 0.006795, 0.006779, 0.006785, 0.006795, 0.006802, 0.006805", \
"0.006821, 0.006781, 0.006764, 0.006771, 0.006783, 0.00679, 0.006793", \
"0.006806, 0.006763, 0.006745, 0.006751, 0.006764, 0.006773, 0.006777", \
"0.006809, 0.006763, 0.006737, 0.006741, 0.006754, 0.006764, 0.006769", \
"0.006924, 0.006863, 0.006816, 0.0068, 0.006807, 0.006811, 0.006812", \
"0.007327, 0.007227, 0.007128, 0.007056, 0.007024, 0.007012, 0.007004", \
"0.008293, 0.008135, 0.007955, 0.007807, 0.007687, 0.007622, 0.007586");
}
}
}
}

/* --------------- *
* Design : AO22X2 *
* --------------- */
cell (AO22X2) {
cell_footprint : AO22;
area : 9.082800;
cell_leakage_power : 45.9678;
pin(A0) {
direction : input;
capacitance : 0.00160493;
rise_capacitance : 0.00160449;
fall_capacitance : 0.00160493;
rise_capacitance_range ( 0.00160232, 0.00160666) ;
fall_capacitance_range ( 0.00160254, 0.00160731) ;
}
pin(A1) {
direction : input;
capacitance : 0.00161727;
rise_capacitance : 0.00161644;
fall_capacitance : 0.00161727;
rise_capacitance_range ( 0.00161426, 0.00161862) ;
fall_capacitance_range ( 0.00161513, 0.00161942) ;
}
pin(B0) {
direction : input;
capacitance : 0.00169777;
rise_capacitance : 0.00169777;
fall_capacitance : 0.00169774;
rise_capacitance_range ( 0.00169761, 0.00169792) ;
fall_capacitance_range ( 0.00169755, 0.00169794) ;
}
pin(B1) {
direction : input;
capacitance : 0.00167209;
rise_capacitance : 0.00167165;
fall_capacitance : 0.00167209;
rise_capacitance_range ( 0.0016715, 0.0016718) ;
fall_capacitance_range ( 0.00167187, 0.0016723) ;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.284907;
function : "((A0 A1)+(B0 B1))";
timing() { /* MIN */
related_pin : "A0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.174084, 0.18932, 0.215944, 0.258802, 0.329111, 0.452689, 0.693015", \
"0.175956, 0.191183, 0.217787, 0.260668, 0.330925, 0.454692, 0.695641", \
"0.179543, 0.194688, 0.221668, 0.264441, 0.334674, 0.458261, 0.699407", \
"0.186917, 0.202162, 0.229085, 0.27181, 0.342001, 0.465661, 0.706594", \
"0.202615, 0.217789, 0.244682, 0.287457, 0.357486, 0.481007, 0.721936", \
"0.231898, 0.247281, 0.27401, 0.316565, 0.386961, 0.510716, 0.752089", \
"0.272403, 0.288435, 0.316867, 0.362089, 0.434848, 0.558969, 0.799848");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.057707, 0.06939, 0.092092, 0.132227, 0.211604, 0.376138, 0.720204", \
"0.057644, 0.0693, 0.092121, 0.132082, 0.213472, 0.374798, 0.726092", \
"0.056909, 0.069492, 0.091822, 0.132755, 0.21202, 0.377614, 0.717477", \
"0.056948, 0.068417, 0.091993, 0.132726, 0.21116, 0.375315, 0.71782", \
"0.057038, 0.068993, 0.091332, 0.133368, 0.211552, 0.374828, 0.723075", \
"0.061419, 0.073078, 0.095139, 0.134557, 0.212956, 0.375195, 0.720903", \
"0.073687, 0.084885, 0.107064, 0.148013, 0.222593, 0.381512, 0.725186");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.158473, 0.171321, 0.193716, 0.23, 0.289414, 0.392389, 0.590085", \
"0.161622, 0.17457, 0.196891, 0.233294, 0.292598, 0.395462, 0.593322", \
"0.167959, 0.180869, 0.203232, 0.239528, 0.299058, 0.40179, 0.599134", \
"0.179641, 0.192487, 0.214833, 0.251169, 0.31056, 0.413564, 0.611248", \
"0.202133, 0.214875, 0.23735, 0.27357, 0.332825, 0.435598, 0.633469", \
"0.244119, 0.257007, 0.27921, 0.315256, 0.374766, 0.477368, 0.675039", \
"0.303614, 0.317589, 0.341463, 0.380651, 0.44332, 0.547626, 0.745148");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.049792, 0.059359, 0.079309, 0.115196, 0.183275, 0.32046, 0.60681", \
"0.049453, 0.059687, 0.079168, 0.115615, 0.182274, 0.317522, 0.608916", \
"0.049536, 0.058993, 0.079578, 0.114398, 0.182727, 0.320482, 0.610397", \
"0.049516, 0.059872, 0.079116, 0.115333, 0.183269, 0.320409, 0.60689", \
"0.049448, 0.059561, 0.078849, 0.115017, 0.182023, 0.317726, 0.609301", \
"0.054204, 0.063951, 0.082915, 0.117362, 0.183693, 0.31951, 0.610813", \
"0.070352, 0.080131, 0.099168, 0.133792, 0.196753, 0.327024, 0.605608");
}
}
timing() { /* MAX */
related_pin : "A0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.181625, 0.197036, 0.224169, 0.267284, 0.338882, 0.463332, 0.705053", \
"0.183607, 0.199096, 0.225959, 0.268987, 0.340804, 0.465087, 0.706845", \
"0.187264, 0.202877, 0.229745, 0.272836, 0.344303, 0.468731, 0.709902", \
"0.19478, 0.210252, 0.237077, 0.280419, 0.351553, 0.476144, 0.717519", \
"0.210773, 0.22588, 0.252797, 0.296015, 0.367008, 0.491363, 0.732337", \
"0.241244, 0.256968, 0.283569, 0.326332, 0.397162, 0.521501, 0.762916", \
"0.283943, 0.300236, 0.328543, 0.373956, 0.446491, 0.571132, 0.812585");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.059496, 0.071409, 0.094592, 0.135613, 0.215386, 0.379763, 0.72489", \
"0.059609, 0.071586, 0.094103, 0.135714, 0.215515, 0.380023, 0.726686", \
"0.059744, 0.071422, 0.094404, 0.135304, 0.214766, 0.379481, 0.724338", \
"0.05957, 0.07172, 0.094446, 0.135914, 0.215761, 0.379904, 0.726418", \
"0.060258, 0.071985, 0.094791, 0.135386, 0.214456, 0.378659, 0.726181", \
"0.063319, 0.074698, 0.097167, 0.137838, 0.216965, 0.380541, 0.726088", \
"0.07532, 0.087165, 0.109375, 0.150222, 0.225702, 0.385466, 0.725984");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.201811, 0.215713, 0.239783, 0.278527, 0.341901, 0.447842, 0.646757", \
"0.204926, 0.218841, 0.242841, 0.281623, 0.344961, 0.451012, 0.649966", \
"0.211167, 0.22515, 0.249178, 0.28798, 0.351332, 0.457488, 0.656924", \
"0.221908, 0.235708, 0.259841, 0.298606, 0.361903, 0.467883, 0.666799", \
"0.241005, 0.254791, 0.27896, 0.317637, 0.381028, 0.487214, 0.686654", \
"0.277065, 0.290907, 0.314756, 0.353213, 0.416342, 0.522408, 0.721738", \
"0.334204, 0.348816, 0.374075, 0.414481, 0.480003, 0.587025, 0.786209");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.062725, 0.071829, 0.092748, 0.129131, 0.196501, 0.330874, 0.61812", \
"0.062956, 0.072644, 0.092603, 0.129248, 0.196278, 0.331344, 0.618608", \
"0.062344, 0.072809, 0.093034, 0.128447, 0.197246, 0.329401, 0.612627", \
"0.062773, 0.073363, 0.09183, 0.129441, 0.196474, 0.331103, 0.618327", \
"0.062683, 0.073212, 0.091532, 0.129307, 0.196939, 0.329276, 0.613321", \
"0.065582, 0.074482, 0.093783, 0.129599, 0.197459, 0.331308, 0.618762", \
"0.078134, 0.088448, 0.109374, 0.143166, 0.207992, 0.338044, 0.620348");
}
}
timing() { /* MIN */
related_pin : "A1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.170174, 0.185442, 0.212117, 0.255175, 0.325504, 0.449192, 0.690633", \
"0.172028, 0.187194, 0.213851, 0.256935, 0.327101, 0.450911, 0.692281", \
"0.176071, 0.191309, 0.217851, 0.260891, 0.331028, 0.454893, 0.696377", \
"0.184551, 0.199849, 0.226452, 0.269472, 0.339921, 0.463411, 0.704817", \
"0.204038, 0.219149, 0.245679, 0.2886, 0.35869, 0.482429, 0.723963", \
"0.242448, 0.257917, 0.284229, 0.326798, 0.396806, 0.520412, 0.761745", \
"0.295958, 0.311503, 0.339224, 0.384371, 0.456586, 0.580772, 0.821039");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.058177, 0.068951, 0.090977, 0.133633, 0.212026, 0.373913, 0.719182", \
"0.057588, 0.069056, 0.090928, 0.133024, 0.213369, 0.373547, 0.724189", \
"0.057553, 0.069209, 0.092092, 0.132349, 0.212369, 0.374875, 0.722135", \
"0.057945, 0.06828, 0.091652, 0.133387, 0.210534, 0.377256, 0.719503", \
"0.056375, 0.06873, 0.092176, 0.133061, 0.212992, 0.375631, 0.718992", \
"0.061544, 0.072814, 0.094676, 0.135409, 0.213189, 0.375977, 0.723724", \
"0.079478, 0.088825, 0.110974, 0.150603, 0.22448, 0.38078, 0.724828");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.152425, 0.165114, 0.187138, 0.223144, 0.282052, 0.384433, 0.581566", \
"0.155605, 0.168317, 0.190329, 0.226292, 0.285278, 0.387683, 0.584917", \
"0.161771, 0.174431, 0.196483, 0.232433, 0.291378, 0.393722, 0.590794", \
"0.173035, 0.18576, 0.207742, 0.243716, 0.302527, 0.405013, 0.602306", \
"0.195078, 0.207674, 0.229771, 0.265512, 0.3244, 0.42678, 0.624065", \
"0.235937, 0.248558, 0.270609, 0.306377, 0.365898, 0.468259, 0.665533", \
"0.291914, 0.30572, 0.329462, 0.368375, 0.431204, 0.535692, 0.732867");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.047661, 0.058026, 0.077089, 0.114001, 0.181008, 0.318862, 0.611511", \
"0.047617, 0.057878, 0.077422, 0.113925, 0.180656, 0.319313, 0.610917", \
"0.04767, 0.058106, 0.076951, 0.113821, 0.181404, 0.318197, 0.611626", \
"0.047462, 0.057768, 0.077069, 0.114013, 0.18171, 0.317509, 0.610976", \
"0.047589, 0.057897, 0.077056, 0.114146, 0.181638, 0.317555, 0.611431", \
"0.05423, 0.063755, 0.081788, 0.117193, 0.18322, 0.32105, 0.609045", \
"0.069946, 0.079618, 0.098629, 0.132938, 0.196578, 0.328364, 0.614676");
}
}
timing() { /* MAX */
related_pin : "A1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.177691, 0.193251, 0.220158, 0.263423, 0.335118, 0.459402, 0.700954", \
"0.179672, 0.195165, 0.222039, 0.265082, 0.336366, 0.461374, 0.703108", \
"0.183654, 0.198987, 0.226275, 0.269346, 0.340809, 0.465346, 0.707057", \
"0.192318, 0.207616, 0.2349, 0.277963, 0.34917, 0.473662, 0.714609", \
"0.211771, 0.227397, 0.254161, 0.297099, 0.368142, 0.492806, 0.734226", \
"0.251348, 0.266872, 0.293421, 0.336339, 0.407094, 0.531432, 0.772989", \
"0.307648, 0.323505, 0.351781, 0.396494, 0.469448, 0.593722, 0.833945");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.059708, 0.071643, 0.094189, 0.135776, 0.215573, 0.380078, 0.726257", \
"0.059917, 0.071691, 0.094394, 0.136026, 0.216265, 0.378123, 0.726252", \
"0.060048, 0.071434, 0.094229, 0.135534, 0.215254, 0.378411, 0.725785", \
"0.059798, 0.071108, 0.094513, 0.135111, 0.214415, 0.377614, 0.726659", \
"0.059989, 0.072169, 0.095009, 0.136147, 0.215435, 0.377661, 0.726698", \
"0.064207, 0.075069, 0.098485, 0.138383, 0.217051, 0.379679, 0.726166", \
"0.082366, 0.092001, 0.114354, 0.152938, 0.227284, 0.384541, 0.728669");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.19424, 0.207771, 0.231391, 0.269668, 0.332294, 0.43801, 0.637093", \
"0.197368, 0.210962, 0.234521, 0.272807, 0.335514, 0.441283, 0.640399", \
"0.203446, 0.216989, 0.240551, 0.27895, 0.341611, 0.44734, 0.645995", \
"0.213706, 0.227198, 0.250876, 0.289167, 0.351884, 0.457244, 0.656151", \
"0.232281, 0.24586, 0.269411, 0.307927, 0.370568, 0.475782, 0.674752", \
"0.267724, 0.28109, 0.304418, 0.342505, 0.404673, 0.510288, 0.708941", \
"0.321623, 0.335368, 0.360675, 0.401056, 0.466418, 0.573716, 0.772326");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.060861, 0.070678, 0.090071, 0.12689, 0.194742, 0.328047, 0.615001", \
"0.060963, 0.069795, 0.090459, 0.126561, 0.194301, 0.32886, 0.616405", \
"0.059907, 0.070247, 0.090885, 0.125672, 0.193968, 0.330502, 0.618513", \
"0.060402, 0.070771, 0.089133, 0.126001, 0.194342, 0.329483, 0.616773", \
"0.060831, 0.069783, 0.090757, 0.126116, 0.19515, 0.329438, 0.616455", \
"0.064631, 0.074009, 0.09215, 0.128108, 0.195012, 0.330205, 0.618342", \
"0.076872, 0.087016, 0.106206, 0.141874, 0.206448, 0.337666, 0.6214");
}
}
timing() { /* MIN */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.153448, 0.16814, 0.193933, 0.235458, 0.304101, 0.426356, 0.666569", \
"0.155518, 0.170208, 0.196, 0.237475, 0.306174, 0.428611, 0.667907", \
"0.159082, 0.173833, 0.199542, 0.241173, 0.310107, 0.432059, 0.672551", \
"0.166337, 0.181105, 0.206826, 0.248503, 0.317267, 0.439309, 0.679712", \
"0.182094, 0.196595, 0.222329, 0.263576, 0.332225, 0.454569, 0.694208", \
"0.208382, 0.223293, 0.249588, 0.291483, 0.360495, 0.483035, 0.723177", \
"0.241787, 0.257367, 0.28499, 0.329372, 0.40213, 0.526278, 0.765953");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.051906, 0.062671, 0.086373, 0.126707, 0.207125, 0.371041, 0.714255", \
"0.051758, 0.063286, 0.086093, 0.126632, 0.206238, 0.372365, 0.718106", \
"0.05192, 0.06263, 0.086415, 0.126619, 0.206966, 0.370676, 0.716403", \
"0.051513, 0.063517, 0.086329, 0.127298, 0.206327, 0.374342, 0.715734", \
"0.051276, 0.063237, 0.086908, 0.128164, 0.208219, 0.371466, 0.721481", \
"0.058699, 0.069906, 0.091425, 0.130967, 0.210365, 0.374836, 0.715683", \
"0.070261, 0.08118, 0.104009, 0.143545, 0.219513, 0.378199, 0.719382");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.139887, 0.152391, 0.173914, 0.208811, 0.26656, 0.367705, 0.56543", \
"0.142359, 0.154774, 0.176363, 0.211436, 0.269118, 0.37023, 0.567898", \
"0.147575, 0.160035, 0.181638, 0.216728, 0.27444, 0.375542, 0.572605", \
"0.158818, 0.171249, 0.19283, 0.227876, 0.285566, 0.386591, 0.583882", \
"0.18329, 0.195694, 0.217067, 0.251653, 0.309341, 0.410396, 0.606915", \
"0.229702, 0.242191, 0.263704, 0.298346, 0.355684, 0.456882, 0.654017", \
"0.294196, 0.307691, 0.33107, 0.368128, 0.428699, 0.531283, 0.727293");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.048168, 0.057383, 0.077035, 0.111164, 0.178417, 0.317519, 0.607704", \
"0.04792, 0.057796, 0.076147, 0.1121, 0.177803, 0.317424, 0.603552", \
"0.048106, 0.05793, 0.076293, 0.112101, 0.178057, 0.317424, 0.607255", \
"0.047375, 0.056565, 0.076214, 0.112136, 0.177353, 0.315091, 0.610633", \
"0.04794, 0.057883, 0.076427, 0.111843, 0.179429, 0.317472, 0.609891", \
"0.055848, 0.064692, 0.082923, 0.115813, 0.181795, 0.317607, 0.60752", \
"0.076117, 0.084527, 0.101248, 0.132331, 0.195441, 0.325996, 0.613604");
}
}
timing() { /* MAX */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.158767, 0.173862, 0.200305, 0.24296, 0.313298, 0.436317, 0.675846", \
"0.160925, 0.17595, 0.202581, 0.245273, 0.315251, 0.438719, 0.679022", \
"0.164729, 0.179872, 0.206428, 0.249048, 0.319203, 0.442531, 0.683101", \
"0.17217, 0.187243, 0.213776, 0.256341, 0.326616, 0.449791, 0.690074", \
"0.187743, 0.202805, 0.22905, 0.2715, 0.34184, 0.464628, 0.704798", \
"0.214362, 0.229721, 0.256466, 0.299361, 0.369598, 0.493137, 0.733575", \
"0.249193, 0.265241, 0.293159, 0.338664, 0.412551, 0.537732, 0.77781");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.05468, 0.066476, 0.089049, 0.130039, 0.209102, 0.374748, 0.72303", \
"0.054338, 0.066471, 0.088253, 0.130495, 0.210899, 0.374614, 0.72434", \
"0.054487, 0.066608, 0.088322, 0.130578, 0.211297, 0.375787, 0.723271", \
"0.05426, 0.066371, 0.088441, 0.130446, 0.210844, 0.37628, 0.724167", \
"0.054802, 0.065633, 0.089261, 0.130103, 0.209359, 0.375362, 0.723534", \
"0.060475, 0.071489, 0.093473, 0.134681, 0.213525, 0.376936, 0.717191", \
"0.073289, 0.083237, 0.106518, 0.147293, 0.222525, 0.383147, 0.72447");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.186452, 0.200238, 0.224629, 0.263506, 0.326588, 0.432699, 0.631816", \
"0.18843, 0.20242, 0.226469, 0.26529, 0.328561, 0.434513, 0.633417", \
"0.192976, 0.206935, 0.230959, 0.269767, 0.333085, 0.43929, 0.638544", \
"0.20267, 0.216685, 0.240669, 0.279493, 0.342792, 0.449027, 0.648466", \
"0.22463, 0.238521, 0.262503, 0.301209, 0.364809, 0.470701, 0.670072", \
"0.271947, 0.28554, 0.309307, 0.347545, 0.410043, 0.515937, 0.714665", \
"0.345871, 0.360004, 0.384916, 0.425154, 0.489887, 0.596862, 0.795361");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.062254, 0.073011, 0.092943, 0.129633, 0.19664, 0.332095, 0.619283", \
"0.062345, 0.072835, 0.092923, 0.129017, 0.197224, 0.329539, 0.617876", \
"0.062314, 0.072781, 0.093103, 0.128192, 0.197251, 0.329788, 0.615399", \
"0.062392, 0.072722, 0.093128, 0.128358, 0.197298, 0.330656, 0.61183", \
"0.063124, 0.072763, 0.092726, 0.128696, 0.197292, 0.330745, 0.615168", \
"0.066281, 0.075807, 0.094641, 0.130598, 0.197695, 0.331628, 0.618597", \
"0.085402, 0.09398, 0.111401, 0.145329, 0.209456, 0.338772, 0.620632");
}
}
timing() { /* MIN */
related_pin : "B1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.147717, 0.162385, 0.188161, 0.229686, 0.298407, 0.420882, 0.660912", \
"0.149609, 0.164257, 0.190042, 0.23179, 0.30071, 0.422694, 0.662934", \
"0.153731, 0.16846, 0.194173, 0.235798, 0.304623, 0.426778, 0.666388", \
"0.162439, 0.177255, 0.202966, 0.244612, 0.313208, 0.435437, 0.675348", \
"0.18249, 0.197087, 0.222531, 0.263818, 0.332241, 0.454785, 0.695333", \
"0.217409, 0.232144, 0.258116, 0.299571, 0.368456, 0.490842, 0.731555", \
"0.260378, 0.276243, 0.303304, 0.347341, 0.419455, 0.54352, 0.781357");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.051683, 0.06334, 0.085975, 0.12693, 0.206649, 0.371242, 0.720128", \
"0.052256, 0.063626, 0.085937, 0.128014, 0.205983, 0.373707, 0.714563", \
"0.052253, 0.062626, 0.086181, 0.127389, 0.207746, 0.373119, 0.71669", \
"0.051788, 0.063218, 0.08586, 0.12819, 0.207978, 0.370868, 0.717812", \
"0.051175, 0.063512, 0.085345, 0.128082, 0.208655, 0.371256, 0.720649", \
"0.060083, 0.07075, 0.091933, 0.132121, 0.210618, 0.373211, 0.722664", \
"0.078202, 0.087167, 0.108509, 0.146747, 0.222688, 0.378321, 0.718471");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.131723, 0.143888, 0.165059, 0.199671, 0.256964, 0.357906, 0.555201", \
"0.134202, 0.14639, 0.167537, 0.202034, 0.259705, 0.360593, 0.557303", \
"0.139533, 0.151712, 0.172842, 0.207372, 0.26505, 0.366001, 0.563232", \
"0.150702, 0.16281, 0.184156, 0.218626, 0.276335, 0.376817, 0.573937", \
"0.175149, 0.187219, 0.208189, 0.242408, 0.299488, 0.400145, 0.59671", \
"0.219554, 0.231859, 0.253096, 0.287567, 0.345275, 0.445709, 0.642934", \
"0.279357, 0.292372, 0.315721, 0.352744, 0.413018, 0.516877, 0.713468");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.046036, 0.055836, 0.074811, 0.110111, 0.178498, 0.316109, 0.602863", \
"0.046496, 0.055056, 0.074751, 0.109949, 0.177012, 0.313098, 0.610122", \
"0.046449, 0.05494, 0.074734, 0.109958, 0.177015, 0.315343, 0.609886", \
"0.045798, 0.055388, 0.075, 0.109898, 0.17815, 0.314612, 0.609999", \
"0.045684, 0.055451, 0.075206, 0.110463, 0.178, 0.315765, 0.609048", \
"0.055528, 0.063314, 0.081362, 0.115174, 0.179235, 0.316383, 0.6064", \
"0.075765, 0.083358, 0.100417, 0.132476, 0.195103, 0.326616, 0.613179");
}
}
timing() { /* MAX */
related_pin : "B1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.153056, 0.168137, 0.19453, 0.237304, 0.307406, 0.430664, 0.670167", \
"0.155186, 0.17018, 0.196604, 0.239357, 0.30945, 0.432772, 0.672543", \
"0.159429, 0.174419, 0.201035, 0.243626, 0.313859, 0.437087, 0.677706", \
"0.168241, 0.183379, 0.209805, 0.252342, 0.322624, 0.445843, 0.685959", \
"0.187961, 0.202859, 0.229186, 0.271556, 0.341499, 0.464942, 0.705611", \
"0.223525, 0.238461, 0.265288, 0.307925, 0.377862, 0.501171, 0.741382", \
"0.26885, 0.284905, 0.3127, 0.358386, 0.431163, 0.555949, 0.795898");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.05439, 0.065987, 0.089072, 0.130526, 0.210321, 0.374193, 0.723227", \
"0.053991, 0.065807, 0.089114, 0.130577, 0.210563, 0.374214, 0.723677", \
"0.054241, 0.066502, 0.088294, 0.130486, 0.211012, 0.376174, 0.720731", \
"0.054262, 0.066001, 0.088679, 0.130303, 0.210764, 0.373487, 0.724029", \
"0.054293, 0.066277, 0.088663, 0.130791, 0.211581, 0.37529, 0.723441", \
"0.06174, 0.072446, 0.095189, 0.134954, 0.213026, 0.374756, 0.724239", \
"0.080142, 0.090717, 0.112043, 0.150464, 0.225614, 0.380055, 0.725392");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.175676, 0.189313, 0.212867, 0.251133, 0.313829, 0.419258, 0.618193", \
"0.177955, 0.191498, 0.215073, 0.253371, 0.315992, 0.421768, 0.621013", \
"0.182425, 0.196115, 0.219702, 0.257951, 0.320834, 0.426367, 0.625563", \
"0.192128, 0.205679, 0.229418, 0.267659, 0.330759, 0.436066, 0.635247", \
"0.214039, 0.227471, 0.250938, 0.289158, 0.351694, 0.45733, 0.656331", \
"0.260385, 0.273655, 0.296891, 0.334523, 0.396415, 0.502411, 0.700958", \
"0.33097, 0.344929, 0.369541, 0.408941, 0.473311, 0.58037, 0.778655");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.060556, 0.069536, 0.090387, 0.126322, 0.194276, 0.32907, 0.618163", \
"0.059754, 0.070029, 0.090737, 0.125509, 0.194499, 0.330012, 0.617684", \
"0.060009, 0.070246, 0.090436, 0.125623, 0.195153, 0.329534, 0.617431", \
"0.059935, 0.070436, 0.090262, 0.125823, 0.195589, 0.329058, 0.616893", \
"0.060248, 0.070674, 0.089806, 0.126741, 0.194117, 0.330381, 0.618272", \
"0.064528, 0.074157, 0.093359, 0.127974, 0.194812, 0.331384, 0.617406", \
"0.083593, 0.091848, 0.110055, 0.145463, 0.208831, 0.339203, 0.620734");
}
}
internal_power() {
related_pin : "A0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.012291, 0.011949, 0.011642, 0.011471, 0.011401, 0.011369, 0.011337", \
"0.012276, 0.01194, 0.011632, 0.01146, 0.01139, 0.011357, 0.011325", \
"0.01226, 0.011916, 0.011607, 0.011436, 0.011369, 0.01134, 0.011309", \
"0.01223, 0.011888, 0.011578, 0.011406, 0.011341, 0.011317, 0.011289", \
"0.012288, 0.011944, 0.011625, 0.011439, 0.011356, 0.011325, 0.011295", \
"0.01265, 0.012268, 0.011885, 0.011634, 0.011502, 0.011441, 0.011398", \
"0.013632, 0.013169, 0.012683, 0.012277, 0.012029, 0.011891, 0.011802");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.005779, 0.005485, 0.005184, 0.004999, 0.004917, 0.004878, 0.004857", \
"0.005776, 0.005481, 0.005181, 0.004995, 0.004912, 0.004874, 0.004852", \
"0.005765, 0.005472, 0.005173, 0.004988, 0.004906, 0.004866, 0.004845", \
"0.005761, 0.005465, 0.005164, 0.004976, 0.004894, 0.004856, 0.004835", \
"0.005785, 0.005488, 0.00518, 0.004984, 0.004893, 0.004854, 0.004832", \
"0.006137, 0.005799, 0.005436, 0.005172, 0.005026, 0.004952, 0.004909", \
"0.007202, 0.006797, 0.006316, 0.005884, 0.005597, 0.005408, 0.005293");
}
}
internal_power() {
related_pin : "A1";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.010941, 0.0106, 0.010288, 0.010117, 0.010049, 0.010019, 0.009989", \
"0.01093, 0.010591, 0.01028, 0.010109, 0.01004, 0.010009, 0.009979", \
"0.010913, 0.010573, 0.010264, 0.010092, 0.010023, 0.009994, 0.009965", \
"0.010902, 0.01056, 0.010249, 0.010075, 0.010007, 0.009981, 0.009954", \
"0.01099, 0.010645, 0.010322, 0.010129, 0.010044, 0.01001, 0.009982", \
"0.011485, 0.011098, 0.010713, 0.010443, 0.010283, 0.010204, 0.010152", \
"0.012833, 0.012321, 0.011783, 0.011349, 0.011025, 0.010825, 0.010699");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.006757, 0.006474, 0.006199, 0.00604, 0.005974, 0.005946, 0.005929", \
"0.006752, 0.006468, 0.006194, 0.006035, 0.005969, 0.005941, 0.005924", \
"0.006737, 0.006455, 0.006181, 0.006023, 0.005959, 0.00593, 0.005913", \
"0.00672, 0.006435, 0.00616, 0.006, 0.005936, 0.005909, 0.005893", \
"0.006738, 0.006454, 0.006168, 0.005997, 0.005928, 0.005895, 0.005877", \
"0.007106, 0.006773, 0.006424, 0.006176, 0.006043, 0.005979, 0.005943", \
"0.008173, 0.007792, 0.007289, 0.006858, 0.006589, 0.006412, 0.006309");
}
}
internal_power() {
related_pin : "B0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.011778, 0.011494, 0.011268, 0.011172, 0.011146, 0.011133, 0.011105", \
"0.011771, 0.011486, 0.011261, 0.011163, 0.011138, 0.011126, 0.011098", \
"0.011754, 0.011468, 0.011241, 0.011144, 0.01112, 0.011108, 0.011082", \
"0.011727, 0.011439, 0.011211, 0.011112, 0.011089, 0.011082, 0.011058", \
"0.01181, 0.011516, 0.01127, 0.011142, 0.0111, 0.011085, 0.01106", \
"0.012193, 0.011841, 0.011519, 0.011317, 0.011228, 0.011192, 0.01116", \
"0.013217, 0.012766, 0.012291, 0.011951, 0.011775, 0.011656, 0.011585");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.004919, 0.004628, 0.004338, 0.00416, 0.004078, 0.004036, 0.004014", \
"0.004904, 0.004617, 0.004324, 0.004146, 0.004066, 0.004026, 0.004003", \
"0.004891, 0.0046, 0.004309, 0.00413, 0.004051, 0.00401, 0.00399", \
"0.004888, 0.004594, 0.004297, 0.004116, 0.004035, 0.003998, 0.003979", \
"0.005012, 0.004716, 0.004401, 0.004195, 0.004091, 0.00404, 0.004012", \
"0.005543, 0.005199, 0.004813, 0.004521, 0.004353, 0.004261, 0.004196", \
"0.007014, 0.006564, 0.006, 0.005509, 0.005132, 0.004906, 0.004759");
}
}
internal_power() {
related_pin : "B1";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.010415, 0.010132, 0.009907, 0.00981, 0.009785, 0.009777, 0.009753", \
"0.010413, 0.010128, 0.009901, 0.009803, 0.00978, 0.009772, 0.009748", \
"0.010403, 0.010117, 0.009889, 0.009791, 0.009769, 0.009762, 0.009739", \
"0.010404, 0.010109, 0.009883, 0.00978, 0.009755, 0.009751, 0.009732", \
"0.010555, 0.010258, 0.010001, 0.009861, 0.009808, 0.009791, 0.009767", \
"0.011156, 0.010785, 0.010413, 0.010176, 0.010048, 0.009986, 0.009942", \
"0.012519, 0.012046, 0.01148, 0.011089, 0.010798, 0.010617, 0.010503");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.005824, 0.005551, 0.005287, 0.005137, 0.005076, 0.005048, 0.00503", \
"0.005813, 0.005538, 0.005274, 0.005126, 0.005068, 0.005039, 0.005023", \
"0.005798, 0.005522, 0.005256, 0.005111, 0.005051, 0.005024, 0.005008", \
"0.005796, 0.005521, 0.005246, 0.005093, 0.005034, 0.005009, 0.004996", \
"0.00593, 0.005644, 0.005354, 0.00517, 0.005083, 0.005046, 0.005026", \
"0.006491, 0.006155, 0.005807, 0.005509, 0.005332, 0.005246, 0.005194", \
"0.007977, 0.007525, 0.006961, 0.006474, 0.006145, 0.005898, 0.005753");
}
}
}
}

/* --------------- *
* Design : AO22X4 *
* --------------- */
cell (AO22X4) {
cell_footprint : AO22;
area : 10.596600;
cell_leakage_power : 72.7882;
pin(A0) {
direction : input;
capacitance : 0.00262941;
rise_capacitance : 0.00262901;
fall_capacitance : 0.00262941;
rise_capacitance_range ( 0.00262535, 0.00263268) ;
fall_capacitance_range ( 0.00262583, 0.00263298) ;
}
pin(A1) {
direction : input;
capacitance : 0.00275279;
rise_capacitance : 0.0027517;
fall_capacitance : 0.00275279;
rise_capacitance_range ( 0.002748, 0.00275539) ;
fall_capacitance_range ( 0.00274905, 0.00275654) ;
}
pin(B0) {
direction : input;
capacitance : 0.00281264;
rise_capacitance : 0.0028126;
fall_capacitance : 0.00281264;
rise_capacitance_range ( 0.00281232, 0.00281289) ;
fall_capacitance_range ( 0.0028123, 0.00281299) ;
}
pin(B1) {
direction : input;
capacitance : 0.00281153;
rise_capacitance : 0.00281137;
fall_capacitance : 0.00281153;
rise_capacitance_range ( 0.00281122, 0.00281152) ;
fall_capacitance_range ( 0.0028112, 0.00281186) ;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.567824;
function : "((A0 A1)+(B0 B1))";
timing() { /* MIN */
related_pin : "A0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.183371, 0.19857, 0.225281, 0.268832, 0.339873, 0.464059, 0.705216", \
"0.185396, 0.200613, 0.227399, 0.271004, 0.342079, 0.466353, 0.7081", \
"0.189358, 0.204496, 0.231277, 0.274782, 0.345876, 0.470172, 0.711247", \
"0.197206, 0.212257, 0.23924, 0.282831, 0.353931, 0.478308, 0.720195", \
"0.2138, 0.228926, 0.255804, 0.29936, 0.370349, 0.494176, 0.735509", \
"0.24585, 0.26095, 0.287472, 0.33059, 0.40169, 0.525879, 0.76697", \
"0.292205, 0.308091, 0.336199, 0.381296, 0.454561, 0.579612, 0.82099");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.062295, 0.073705, 0.096388, 0.13669, 0.217559, 0.380618, 0.725194", \
"0.06193, 0.073022, 0.096182, 0.137919, 0.215535, 0.37803, 0.723363", \
"0.062044, 0.073375, 0.09637, 0.136412, 0.217879, 0.379627, 0.723524", \
"0.062192, 0.073727, 0.095216, 0.138009, 0.216745, 0.379627, 0.727229", \
"0.062113, 0.07366, 0.095845, 0.138058, 0.215175, 0.38086, 0.722638", \
"0.065641, 0.076757, 0.098449, 0.137774, 0.216438, 0.379997, 0.727222", \
"0.078177, 0.089311, 0.111434, 0.150453, 0.226081, 0.386344, 0.722355");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.163699, 0.176463, 0.198856, 0.235417, 0.295398, 0.398758, 0.596872", \
"0.166896, 0.179666, 0.20209, 0.238622, 0.298394, 0.402093, 0.600541", \
"0.17329, 0.186014, 0.208453, 0.245033, 0.304938, 0.408151, 0.606625", \
"0.184842, 0.197591, 0.21998, 0.256562, 0.316343, 0.420006, 0.618474", \
"0.207217, 0.220003, 0.242488, 0.278779, 0.338878, 0.441938, 0.63977", \
"0.249824, 0.262456, 0.284717, 0.320869, 0.380587, 0.484253, 0.682138", \
"0.310203, 0.32392, 0.34753, 0.386776, 0.449483, 0.554462, 0.752116");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.052719, 0.062686, 0.082772, 0.117188, 0.186037, 0.324072, 0.614529", \
"0.053406, 0.062596, 0.082439, 0.118419, 0.186537, 0.322907, 0.607487", \
"0.052949, 0.063246, 0.08195, 0.118833, 0.18479, 0.321889, 0.613795", \
"0.052366, 0.063197, 0.082218, 0.118658, 0.18645, 0.322811, 0.607566", \
"0.052854, 0.062488, 0.081921, 0.117683, 0.18547, 0.323549, 0.615997", \
"0.057573, 0.066789, 0.086558, 0.120712, 0.188057, 0.323281, 0.615027", \
"0.0751, 0.083753, 0.102494, 0.13703, 0.200506, 0.332301, 0.618499");
}
}
timing() { /* MAX */
related_pin : "A0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.190975, 0.206277, 0.233483, 0.277007, 0.348962, 0.474285, 0.71603", \
"0.192974, 0.208434, 0.235537, 0.279355, 0.35102, 0.476244, 0.717878", \
"0.196978, 0.212443, 0.239428, 0.283316, 0.355139, 0.480272, 0.722412", \
"0.204976, 0.220384, 0.247636, 0.291299, 0.362596, 0.488224, 0.730374", \
"0.221885, 0.237204, 0.264173, 0.30803, 0.379396, 0.50454, 0.746531", \
"0.254393, 0.269688, 0.296514, 0.33989, 0.410867, 0.53646, 0.77845", \
"0.303278, 0.31935, 0.347666, 0.393379, 0.466276, 0.590845, 0.832798");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.064703, 0.075579, 0.098494, 0.139682, 0.219366, 0.384042, 0.727038", \
"0.064619, 0.076187, 0.098284, 0.139869, 0.219274, 0.383776, 0.729119", \
"0.064729, 0.075645, 0.09862, 0.139639, 0.219816, 0.383872, 0.729456", \
"0.06481, 0.075798, 0.09871, 0.139413, 0.220613, 0.382046, 0.727956", \
"0.064639, 0.075319, 0.098489, 0.140173, 0.220262, 0.38393, 0.729001", \
"0.067476, 0.078505, 0.100464, 0.142148, 0.221703, 0.381584, 0.729695", \
"0.080651, 0.091178, 0.112962, 0.153172, 0.230038, 0.388844, 0.72786");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.208484, 0.222335, 0.246345, 0.285344, 0.349349, 0.455945, 0.656024", \
"0.211613, 0.225366, 0.249419, 0.288355, 0.352237, 0.459016, 0.658831", \
"0.217796, 0.231665, 0.255679, 0.294684, 0.35877, 0.46524, 0.665321", \
"0.228408, 0.242046, 0.266164, 0.30513, 0.368941, 0.475784, 0.675571", \
"0.247416, 0.261067, 0.285141, 0.324076, 0.387808, 0.494681, 0.694751", \
"0.283509, 0.297103, 0.320865, 0.35962, 0.422955, 0.530357, 0.72991", \
"0.340964, 0.355563, 0.380363, 0.420913, 0.486479, 0.594134, 0.793726");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.066972, 0.077087, 0.097113, 0.132909, 0.201691, 0.333258, 0.619149", \
"0.067231, 0.076053, 0.096876, 0.133204, 0.200386, 0.335992, 0.623731", \
"0.066997, 0.077108, 0.097045, 0.133017, 0.2017, 0.333463, 0.620004", \
"0.067664, 0.077646, 0.09606, 0.133475, 0.200518, 0.336121, 0.623832", \
"0.06717, 0.077567, 0.09674, 0.133511, 0.200267, 0.333257, 0.620281", \
"0.069314, 0.078495, 0.09792, 0.133023, 0.20096, 0.33621, 0.622719", \
"0.083057, 0.095024, 0.113252, 0.147303, 0.211875, 0.341957, 0.622841");
}
}
timing() { /* MIN */
related_pin : "A1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.179718, 0.194804, 0.221659, 0.265282, 0.336214, 0.460602, 0.701505", \
"0.181483, 0.196669, 0.223482, 0.267114, 0.338199, 0.462584, 0.704421", \
"0.185729, 0.200897, 0.227602, 0.271095, 0.342174, 0.466658, 0.708313", \
"0.194471, 0.209719, 0.236491, 0.280077, 0.351309, 0.475596, 0.717441", \
"0.214374, 0.229542, 0.256269, 0.299743, 0.370626, 0.495008, 0.736891", \
"0.255395, 0.270303, 0.29675, 0.339815, 0.410561, 0.534538, 0.775385", \
"0.314768, 0.330138, 0.358, 0.402927, 0.475742, 0.599896, 0.840245");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.062277, 0.073419, 0.095269, 0.13762, 0.217802, 0.377894, 0.726885", \
"0.062162, 0.072394, 0.095847, 0.138127, 0.216327, 0.379164, 0.72856", \
"0.062074, 0.073459, 0.096365, 0.136844, 0.218043, 0.377861, 0.729081", \
"0.062724, 0.072656, 0.096023, 0.137962, 0.215443, 0.379772, 0.72793", \
"0.062116, 0.073457, 0.096542, 0.137, 0.217685, 0.380788, 0.721726", \
"0.065898, 0.076274, 0.098273, 0.138825, 0.217051, 0.380412, 0.727869", \
"0.084809, 0.092866, 0.115406, 0.154472, 0.227326, 0.384968, 0.727493");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.157549, 0.170114, 0.192211, 0.228355, 0.287802, 0.39101, 0.589151", \
"0.160793, 0.173351, 0.195453, 0.231595, 0.291118, 0.393964, 0.591816", \
"0.166998, 0.179569, 0.201653, 0.23779, 0.297477, 0.400483, 0.598616", \
"0.178284, 0.190846, 0.212909, 0.24919, 0.308523, 0.41151, 0.609294", \
"0.20039, 0.21288, 0.23482, 0.270956, 0.330404, 0.433525, 0.631683", \
"0.241788, 0.254293, 0.276323, 0.312182, 0.371859, 0.474899, 0.672639", \
"0.298781, 0.312321, 0.336087, 0.374541, 0.437566, 0.543021, 0.740162");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.051596, 0.060492, 0.080855, 0.115868, 0.185166, 0.319177, 0.613443", \
"0.051576, 0.061154, 0.080645, 0.116443, 0.184573, 0.32123, 0.613694", \
"0.051061, 0.06016, 0.080787, 0.116073, 0.183176, 0.323344, 0.611088", \
"0.051516, 0.060258, 0.080867, 0.117104, 0.184785, 0.321735, 0.615339", \
"0.05046, 0.061095, 0.079871, 0.116216, 0.185198, 0.319577, 0.613553", \
"0.055932, 0.066511, 0.08457, 0.119732, 0.185918, 0.324408, 0.614262", \
"0.074156, 0.083445, 0.103726, 0.13677, 0.200443, 0.331201, 0.618387");
}
}
timing() { /* MAX */
related_pin : "A1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.187152, 0.202599, 0.229693, 0.273535, 0.345138, 0.470448, 0.712161", \
"0.189056, 0.204479, 0.231545, 0.275464, 0.346973, 0.472498, 0.714601", \
"0.193342, 0.208748, 0.235736, 0.279437, 0.351125, 0.476331, 0.717899", \
"0.202203, 0.217468, 0.244929, 0.28858, 0.359957, 0.485129, 0.726394", \
"0.222205, 0.237566, 0.264517, 0.308121, 0.379529, 0.504899, 0.746715", \
"0.26391, 0.279118, 0.305853, 0.349117, 0.419808, 0.545193, 0.787103", \
"0.325926, 0.341613, 0.369797, 0.414644, 0.48797, 0.612326, 0.853538");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.064914, 0.075769, 0.099037, 0.139835, 0.219112, 0.383776, 0.729575", \
"0.064755, 0.075174, 0.098563, 0.140192, 0.220572, 0.381262, 0.729722", \
"0.064863, 0.07574, 0.098868, 0.139485, 0.218829, 0.383171, 0.729828", \
"0.064895, 0.076377, 0.098524, 0.140159, 0.219031, 0.38209, 0.7303", \
"0.064375, 0.076694, 0.098814, 0.140437, 0.219922, 0.381461, 0.730361", \
"0.068245, 0.078495, 0.100719, 0.142413, 0.221877, 0.38131, 0.729606", \
"0.087196, 0.09691, 0.118502, 0.156033, 0.230748, 0.386162, 0.731335");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.200616, 0.214049, 0.237832, 0.276382, 0.339552, 0.445749, 0.645607", \
"0.203759, 0.217159, 0.240766, 0.279248, 0.342381, 0.448585, 0.648177", \
"0.209831, 0.223278, 0.247112, 0.285336, 0.348694, 0.455024, 0.654753", \
"0.220144, 0.233514, 0.257117, 0.295647, 0.358773, 0.464874, 0.664499", \
"0.238625, 0.252046, 0.275528, 0.314167, 0.377351, 0.483902, 0.683387", \
"0.274046, 0.287197, 0.310694, 0.348766, 0.411642, 0.518117, 0.717902", \
"0.328541, 0.342603, 0.367669, 0.407656, 0.473039, 0.580154, 0.780221");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.064271, 0.074817, 0.094138, 0.130928, 0.19959, 0.334443, 0.622126", \
"0.065654, 0.074914, 0.094046, 0.130902, 0.198889, 0.333456, 0.621365", \
"0.064892, 0.074116, 0.094442, 0.130208, 0.199196, 0.33306, 0.621677", \
"0.065019, 0.075114, 0.093528, 0.131084, 0.198926, 0.333603, 0.621357", \
"0.065468, 0.074086, 0.094612, 0.129701, 0.199045, 0.334663, 0.622662", \
"0.068007, 0.076926, 0.095162, 0.131283, 0.199877, 0.333745, 0.615715", \
"0.082274, 0.091758, 0.11209, 0.144865, 0.210573, 0.341744, 0.625158");
}
}
timing() { /* MIN */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.160961, 0.175632, 0.201564, 0.243939, 0.313538, 0.436624, 0.676348", \
"0.163216, 0.177884, 0.203904, 0.245996, 0.315503, 0.43875, 0.679728", \
"0.167163, 0.181791, 0.207807, 0.249991, 0.319575, 0.442833, 0.683524", \
"0.174842, 0.189474, 0.215427, 0.257705, 0.327266, 0.450562, 0.691542", \
"0.191468, 0.206167, 0.232022, 0.273949, 0.343266, 0.466473, 0.706821", \
"0.221229, 0.236048, 0.262261, 0.304368, 0.374177, 0.496973, 0.736903", \
"0.260711, 0.276153, 0.303749, 0.3485, 0.42127, 0.545445, 0.785008");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.056728, 0.067889, 0.090256, 0.131651, 0.212114, 0.375097, 0.725636", \
"0.056306, 0.067579, 0.090611, 0.130862, 0.210586, 0.376, 0.724143", \
"0.056541, 0.068103, 0.090209, 0.131701, 0.210896, 0.374229, 0.725979", \
"0.05619, 0.067845, 0.090666, 0.131267, 0.210792, 0.376183, 0.723868", \
"0.055967, 0.0675, 0.090706, 0.13255, 0.212931, 0.374227, 0.726936", \
"0.062633, 0.072368, 0.094934, 0.135699, 0.213992, 0.374783, 0.722345", \
"0.074919, 0.085517, 0.10746, 0.149, 0.224093, 0.38029, 0.725143");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.143698, 0.155974, 0.177661, 0.21279, 0.271271, 0.372973, 0.571077", \
"0.146155, 0.15858, 0.180139, 0.215328, 0.273872, 0.375439, 0.572829", \
"0.151679, 0.164082, 0.185629, 0.220848, 0.279226, 0.380986, 0.578977", \
"0.162939, 0.175293, 0.196937, 0.23203, 0.2905, 0.392241, 0.590097", \
"0.187448, 0.199659, 0.221212, 0.256324, 0.314197, 0.416092, 0.613962", \
"0.234496, 0.246775, 0.268183, 0.302948, 0.360648, 0.462447, 0.660106", \
"0.299715, 0.312933, 0.336071, 0.373096, 0.433877, 0.536435, 0.732733");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.051928, 0.06073, 0.079631, 0.114435, 0.180883, 0.320932, 0.605462", \
"0.051192, 0.060218, 0.079831, 0.114709, 0.181241, 0.320616, 0.61288", \
"0.051549, 0.060935, 0.079591, 0.115093, 0.181673, 0.321059, 0.6056", \
"0.051204, 0.060453, 0.079208, 0.114226, 0.180802, 0.32065, 0.613822", \
"0.051372, 0.060934, 0.079251, 0.115227, 0.183055, 0.321067, 0.605287", \
"0.058967, 0.067527, 0.085901, 0.118665, 0.185265, 0.321815, 0.608827", \
"0.080692, 0.088751, 0.105061, 0.135859, 0.197957, 0.32799, 0.614982");
}
}
timing() { /* MAX */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.166695, 0.181765, 0.208472, 0.251769, 0.322703, 0.447109, 0.688122", \
"0.168898, 0.183978, 0.21079, 0.254, 0.325196, 0.44936, 0.690529", \
"0.173049, 0.188133, 0.214931, 0.258193, 0.32922, 0.453557, 0.694681", \
"0.180903, 0.195959, 0.222784, 0.266027, 0.337191, 0.461396, 0.702566", \
"0.19748, 0.212494, 0.239015, 0.282337, 0.353148, 0.477311, 0.717571", \
"0.227242, 0.242453, 0.269193, 0.312408, 0.383607, 0.507526, 0.748081", \
"0.268303, 0.28391, 0.312018, 0.357652, 0.431796, 0.55734, 0.798245");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.058947, 0.070064, 0.093088, 0.135275, 0.2162, 0.377616, 0.727716", \
"0.059604, 0.071026, 0.09307, 0.135022, 0.215942, 0.379665, 0.727437", \
"0.05953, 0.07117, 0.092875, 0.135187, 0.216138, 0.378618, 0.727441", \
"0.059529, 0.070975, 0.093351, 0.135123, 0.215937, 0.379732, 0.72702", \
"0.059732, 0.071024, 0.093663, 0.13508, 0.216261, 0.378099, 0.727695", \
"0.064178, 0.075092, 0.097837, 0.137814, 0.215751, 0.380451, 0.725856", \
"0.077096, 0.088306, 0.110714, 0.151307, 0.226397, 0.386508, 0.728709");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.191942, 0.205653, 0.229911, 0.26893, 0.332634, 0.439492, 0.639431", \
"0.194093, 0.207827, 0.231839, 0.270855, 0.33474, 0.441529, 0.641104", \
"0.198709, 0.212385, 0.23651, 0.275452, 0.339234, 0.446506, 0.646495", \
"0.208586, 0.222378, 0.246465, 0.285417, 0.349465, 0.456095, 0.655959", \
"0.230584, 0.244349, 0.268271, 0.307522, 0.371289, 0.477892, 0.677879", \
"0.277417, 0.290875, 0.314609, 0.353054, 0.416324, 0.522284, 0.721776", \
"0.353479, 0.367653, 0.392611, 0.43126, 0.496101, 0.603807, 0.802928");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.066269, 0.077311, 0.09675, 0.133556, 0.200907, 0.336638, 0.623713", \
"0.066783, 0.076961, 0.097355, 0.132482, 0.201599, 0.332764, 0.622278", \
"0.067545, 0.077489, 0.095558, 0.133474, 0.200482, 0.336399, 0.615958", \
"0.0665, 0.077189, 0.096952, 0.132342, 0.201888, 0.332724, 0.620898", \
"0.067868, 0.076179, 0.097276, 0.132987, 0.202015, 0.335808, 0.622814", \
"0.069691, 0.079865, 0.098175, 0.134135, 0.201727, 0.335817, 0.623396", \
"0.090191, 0.097556, 0.115555, 0.148566, 0.213879, 0.342363, 0.626462");
}
}
timing() { /* MIN */
related_pin : "B1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.156272, 0.170917, 0.196869, 0.239131, 0.308902, 0.431924, 0.672897", \
"0.158395, 0.173083, 0.199087, 0.241184, 0.31074, 0.434016, 0.674426", \
"0.162748, 0.177409, 0.203322, 0.245758, 0.315215, 0.438364, 0.679343", \
"0.171661, 0.186264, 0.212313, 0.254486, 0.324099, 0.447011, 0.686981", \
"0.192086, 0.206645, 0.232548, 0.274427, 0.343869, 0.466828, 0.70785", \
"0.23036, 0.244845, 0.270816, 0.312763, 0.38253, 0.505359, 0.745338", \
"0.280243, 0.29534, 0.322224, 0.366667, 0.43907, 0.563594, 0.80245");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.056311, 0.067432, 0.090486, 0.131606, 0.211367, 0.375922, 0.724061", \
"0.056272, 0.067546, 0.090175, 0.130908, 0.211568, 0.374853, 0.726802", \
"0.056355, 0.067632, 0.090358, 0.132154, 0.211957, 0.376358, 0.725189", \
"0.055922, 0.068105, 0.090446, 0.131957, 0.212128, 0.374192, 0.725084", \
"0.056681, 0.06774, 0.090526, 0.131486, 0.212221, 0.376636, 0.723605", \
"0.063432, 0.074319, 0.095876, 0.136027, 0.214184, 0.378761, 0.720396", \
"0.08347, 0.092599, 0.112649, 0.151404, 0.227332, 0.384442, 0.721477");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.136606, 0.148722, 0.169971, 0.204921, 0.263014, 0.364386, 0.561406", \
"0.139258, 0.151324, 0.1726, 0.207493, 0.265308, 0.367164, 0.564912", \
"0.144591, 0.156722, 0.178087, 0.212933, 0.270996, 0.372451, 0.5699", \
"0.155936, 0.167994, 0.189342, 0.224287, 0.282164, 0.383666, 0.581617", \
"0.180196, 0.192243, 0.213243, 0.247842, 0.305397, 0.406701, 0.60376", \
"0.225335, 0.237423, 0.258929, 0.293246, 0.351324, 0.452616, 0.649364", \
"0.286251, 0.299217, 0.322065, 0.359279, 0.419879, 0.523048, 0.718752");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.049473, 0.058518, 0.077944, 0.113151, 0.180624, 0.317056, 0.613157", \
"0.049641, 0.058824, 0.078082, 0.112827, 0.181071, 0.318302, 0.61334", \
"0.050033, 0.058718, 0.078071, 0.113118, 0.180573, 0.316423, 0.610216", \
"0.049407, 0.058814, 0.077385, 0.113586, 0.180656, 0.319629, 0.610438", \
"0.049034, 0.059209, 0.077761, 0.113377, 0.181719, 0.318586, 0.613492", \
"0.058268, 0.066217, 0.084011, 0.117292, 0.183035, 0.321693, 0.612537", \
"0.07972, 0.087279, 0.103764, 0.136038, 0.199001, 0.327545, 0.611787");
}
}
timing() { /* MAX */
related_pin : "B1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.161964, 0.177025, 0.203707, 0.247138, 0.318113, 0.442333, 0.682639", \
"0.164122, 0.17919, 0.205948, 0.249309, 0.320248, 0.444576, 0.685249", \
"0.168636, 0.183686, 0.210379, 0.253776, 0.324683, 0.449106, 0.690131", \
"0.177724, 0.192765, 0.219442, 0.262786, 0.333678, 0.458118, 0.69919", \
"0.197875, 0.212863, 0.239456, 0.282551, 0.353365, 0.477702, 0.718583", \
"0.2367, 0.251669, 0.278058, 0.320984, 0.391921, 0.516007, 0.75692", \
"0.288563, 0.304427, 0.332054, 0.377817, 0.451167, 0.576452, 0.815826");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.059596, 0.070906, 0.093433, 0.135365, 0.215632, 0.377752, 0.727838", \
"0.059682, 0.071052, 0.093325, 0.135437, 0.21593, 0.376879, 0.728019", \
"0.05968, 0.071091, 0.09319, 0.13542, 0.216134, 0.377677, 0.72746", \
"0.059625, 0.07103, 0.093209, 0.135451, 0.216149, 0.377855, 0.727185", \
"0.059725, 0.071238, 0.09356, 0.13574, 0.21616, 0.378139, 0.727709", \
"0.065811, 0.076617, 0.099053, 0.138232, 0.217901, 0.381053, 0.724747", \
"0.085638, 0.095596, 0.116246, 0.153797, 0.229768, 0.386996, 0.729433");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.182793, 0.196263, 0.219827, 0.258315, 0.321471, 0.427874, 0.627982", \
"0.184968, 0.198443, 0.222004, 0.260502, 0.323691, 0.429998, 0.629818", \
"0.189631, 0.203096, 0.226711, 0.265257, 0.328335, 0.434719, 0.63421", \
"0.199464, 0.212877, 0.236429, 0.275086, 0.33812, 0.444649, 0.644395", \
"0.221189, 0.234443, 0.258103, 0.29664, 0.359569, 0.466153, 0.665639", \
"0.267621, 0.280906, 0.304044, 0.341854, 0.40422, 0.510502, 0.710079", \
"0.339138, 0.352736, 0.377082, 0.416531, 0.480705, 0.58844, 0.787302");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.065805, 0.074226, 0.094386, 0.130739, 0.198668, 0.334489, 0.622247", \
"0.065493, 0.074134, 0.094506, 0.130597, 0.198481, 0.334241, 0.623051", \
"0.065476, 0.07487, 0.094931, 0.131083, 0.199031, 0.334502, 0.622839", \
"0.064476, 0.074654, 0.094832, 0.129773, 0.198945, 0.334475, 0.622076", \
"0.065329, 0.074878, 0.094529, 0.129651, 0.198546, 0.334784, 0.623165", \
"0.068955, 0.077178, 0.097238, 0.132544, 0.199354, 0.333148, 0.622565", \
"0.088616, 0.097158, 0.115343, 0.14991, 0.213546, 0.343229, 0.625281");
}
}
internal_power() {
related_pin : "A0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.027893, 0.027144, 0.026437, 0.026023, 0.025849, 0.025769, 0.025699", \
"0.027885, 0.027131, 0.026409, 0.025997, 0.025825, 0.025751, 0.02568", \
"0.027835, 0.027088, 0.026374, 0.025964, 0.025795, 0.025718, 0.025652", \
"0.027787, 0.027033, 0.026312, 0.0259, 0.025735, 0.025673, 0.025615", \
"0.027865, 0.027105, 0.026376, 0.025935, 0.025744, 0.025673, 0.025611", \
"0.028451, 0.027629, 0.026799, 0.026242, 0.025961, 0.025839, 0.025757", \
"0.03037, 0.029388, 0.028276, 0.027413, 0.026891, 0.026596, 0.02642");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.008266, 0.007632, 0.00696, 0.00653, 0.006335, 0.006244, 0.006198", \
"0.008262, 0.007625, 0.006947, 0.006517, 0.006325, 0.006236, 0.006189", \
"0.008248, 0.007614, 0.00694, 0.006507, 0.006316, 0.006224, 0.006176", \
"0.008238, 0.007598, 0.00692, 0.006485, 0.006292, 0.006206, 0.00616", \
"0.008287, 0.007644, 0.006956, 0.006505, 0.006294, 0.006202, 0.006153", \
"0.008941, 0.008235, 0.007444, 0.006858, 0.006537, 0.006376, 0.006283", \
"0.011029, 0.010221, 0.009133, 0.00819, 0.007548, 0.007191, 0.006951");
}
}
internal_power() {
related_pin : "A1";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.025828, 0.025073, 0.02435, 0.023936, 0.023767, 0.023695, 0.023628", \
"0.025798, 0.025045, 0.024325, 0.023915, 0.023746, 0.023678, 0.023611", \
"0.025772, 0.025023, 0.024305, 0.023889, 0.023718, 0.023647, 0.023584", \
"0.025748, 0.024994, 0.024271, 0.023856, 0.023685, 0.023621, 0.023562", \
"0.02588, 0.02512, 0.024381, 0.023933, 0.023734, 0.023658, 0.023598", \
"0.026761, 0.02594, 0.025091, 0.024496, 0.024157, 0.023987, 0.02388", \
"0.029362, 0.028286, 0.027043, 0.026164, 0.025477, 0.025065, 0.02481");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.009626, 0.009016, 0.00839, 0.008018, 0.007867, 0.007797, 0.00776", \
"0.009624, 0.009011, 0.008385, 0.008011, 0.007859, 0.00779, 0.007753", \
"0.009605, 0.008988, 0.008362, 0.00799, 0.007836, 0.007772, 0.007733", \
"0.009568, 0.008956, 0.008328, 0.007953, 0.0078, 0.007738, 0.007701", \
"0.009607, 0.00899, 0.008343, 0.007946, 0.007787, 0.007712, 0.007672", \
"0.010296, 0.009597, 0.008829, 0.008283, 0.007993, 0.007858, 0.007782", \
"0.012382, 0.011531, 0.010513, 0.009584, 0.009024, 0.008641, 0.008423");
}
}
internal_power() {
related_pin : "B0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.026907, 0.026263, 0.025709, 0.025453, 0.025375, 0.025344, 0.02529", \
"0.026898, 0.026248, 0.025698, 0.02544, 0.025364, 0.025335, 0.025281", \
"0.026867, 0.026219, 0.025668, 0.02541, 0.025335, 0.025309, 0.025257", \
"0.026825, 0.026169, 0.025614, 0.025356, 0.025283, 0.025264, 0.025217", \
"0.02696, 0.026298, 0.025714, 0.025404, 0.025296, 0.025262, 0.025213", \
"0.027654, 0.026897, 0.026163, 0.025714, 0.025514, 0.025433, 0.025369", \
"0.029594, 0.028641, 0.027708, 0.026909, 0.026442, 0.026212, 0.026059");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.006603, 0.005978, 0.00532, 0.004909, 0.004725, 0.004638, 0.004592", \
"0.006582, 0.005957, 0.005302, 0.004896, 0.004712, 0.004622, 0.004578", \
"0.006569, 0.005938, 0.005283, 0.004869, 0.004683, 0.004599, 0.004553", \
"0.006568, 0.005931, 0.005264, 0.004845, 0.004663, 0.004581, 0.004543", \
"0.006806, 0.006165, 0.005466, 0.005001, 0.004769, 0.00466, 0.004604", \
"0.007803, 0.007077, 0.006244, 0.005613, 0.005252, 0.005065, 0.004931", \
"0.010688, 0.009755, 0.008547, 0.007533, 0.00671, 0.006208, 0.005905");
}
}
internal_power() {
related_pin : "B1";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.024837, 0.024187, 0.023635, 0.023377, 0.023302, 0.023279, 0.02323", \
"0.024825, 0.024177, 0.023627, 0.023369, 0.023295, 0.023271, 0.023223", \
"0.024808, 0.024159, 0.023609, 0.023348, 0.023273, 0.023252, 0.023206", \
"0.024807, 0.024146, 0.023587, 0.023319, 0.023247, 0.02323, 0.023189", \
"0.025055, 0.024386, 0.023787, 0.023456, 0.023329, 0.023288, 0.023242", \
"0.026157, 0.025362, 0.024543, 0.024023, 0.023732, 0.023609, 0.023527", \
"0.028788, 0.027744, 0.026504, 0.025699, 0.025089, 0.024684, 0.024468");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.007929, 0.007324, 0.006719, 0.006362, 0.006217, 0.006153, 0.006117", \
"0.007915, 0.007306, 0.006703, 0.006347, 0.006203, 0.00614, 0.006103", \
"0.007882, 0.007278, 0.006678, 0.006319, 0.006177, 0.006114, 0.00608", \
"0.007881, 0.007272, 0.006653, 0.006288, 0.006146, 0.006086, 0.006057", \
"0.008132, 0.007511, 0.006854, 0.006436, 0.006238, 0.006154, 0.006112", \
"0.009186, 0.008479, 0.007712, 0.00707, 0.006688, 0.00651, 0.006404", \
"0.012068, 0.011126, 0.009916, 0.00887, 0.008135, 0.007673, 0.007368");
}
}
}
}

/* --------------- *
* Design : AO22XL *
* --------------- */
cell (AO22XL) {
cell_footprint : AO22;
area : 7.569000;
cell_leakage_power : 38.8115;
pin(A0) {
direction : input;
capacitance : 0.0015803;
rise_capacitance : 0.00157993;
fall_capacitance : 0.0015803;
rise_capacitance_range ( 0.00157783, 0.00158204) ;
fall_capacitance_range ( 0.00157817, 0.00158243) ;
}
pin(A1) {
direction : input;
capacitance : 0.00168061;
rise_capacitance : 0.00167979;
fall_capacitance : 0.00168061;
rise_capacitance_range ( 0.00167771, 0.00168187) ;
fall_capacitance_range ( 0.00167851, 0.00168271) ;
}
pin(B0) {
direction : input;
capacitance : 0.00170733;
rise_capacitance : 0.00170733;
fall_capacitance : 0.00170714;
rise_capacitance_range ( 0.00170714, 0.00170753) ;
fall_capacitance_range ( 0.00170702, 0.00170726) ;
}
pin(B1) {
direction : input;
capacitance : 0.00171071;
rise_capacitance : 0.00171044;
fall_capacitance : 0.00171071;
rise_capacitance_range ( 0.00171021, 0.00171067) ;
fall_capacitance_range ( 0.00171047, 0.00171096) ;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.0789167;
function : "((A0 A1)+(B0 B1))";
timing() { /* MIN */
related_pin : "A0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.114529, 0.125787, 0.145816, 0.178914, 0.236968, 0.346674, 0.565725", \
"0.116398, 0.127664, 0.147732, 0.1808, 0.238919, 0.348624, 0.567076", \
"0.119982, 0.131344, 0.151383, 0.184412, 0.242548, 0.351942, 0.57189", \
"0.127342, 0.138552, 0.158565, 0.191609, 0.249548, 0.359163, 0.578979", \
"0.141812, 0.153055, 0.172914, 0.205909, 0.263956, 0.373655, 0.593017", \
"0.162459, 0.174281, 0.195337, 0.229538, 0.288275, 0.397836, 0.617618", \
"0.188359, 0.201283, 0.223546, 0.260264, 0.32109, 0.431615, 0.650675");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.036839, 0.04657, 0.065276, 0.10166, 0.177177, 0.331021, 0.649183", \
"0.036818, 0.046741, 0.065139, 0.101951, 0.176862, 0.329738, 0.646244", \
"0.036835, 0.045903, 0.065679, 0.101862, 0.175822, 0.331297, 0.652269", \
"0.036566, 0.04658, 0.065505, 0.101822, 0.177014, 0.33255, 0.646997", \
"0.038381, 0.04772, 0.066196, 0.102328, 0.177429, 0.331184, 0.646567", \
"0.043082, 0.052794, 0.071789, 0.107032, 0.179656, 0.333219, 0.650242", \
"0.050706, 0.061069, 0.080659, 0.11505, 0.184984, 0.33626, 0.648193");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.105698, 0.115222, 0.132088, 0.159988, 0.207883, 0.296068, 0.471717", \
"0.108772, 0.118287, 0.135195, 0.16315, 0.211112, 0.299344, 0.475036", \
"0.114982, 0.124527, 0.141393, 0.16924, 0.217236, 0.305193, 0.480397", \
"0.126522, 0.135979, 0.152878, 0.180805, 0.228819, 0.316941, 0.491935", \
"0.147773, 0.157277, 0.174075, 0.202014, 0.250075, 0.337999, 0.513216", \
"0.177183, 0.187651, 0.205877, 0.235652, 0.284839, 0.373356, 0.548589", \
"0.215217, 0.22695, 0.247563, 0.280376, 0.333351, 0.424251, 0.59949");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.030125, 0.038732, 0.056009, 0.086606, 0.148605, 0.275793, 0.535123", \
"0.030568, 0.03902, 0.05611, 0.086273, 0.148575, 0.273433, 0.536421", \
"0.030325, 0.038821, 0.055776, 0.087176, 0.148028, 0.275462, 0.53269", \
"0.03018, 0.039161, 0.055557, 0.087053, 0.147383, 0.273388, 0.538119", \
"0.031594, 0.040515, 0.056956, 0.088032, 0.148323, 0.27531, 0.533225", \
"0.039572, 0.048591, 0.065126, 0.094083, 0.151977, 0.27423, 0.536377", \
"0.051602, 0.060798, 0.077813, 0.108677, 0.165219, 0.282298, 0.538442");
}
}
timing() { /* MAX */
related_pin : "A0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.12323, 0.134583, 0.154543, 0.188034, 0.247297, 0.357347, 0.577108", \
"0.125144, 0.136561, 0.156562, 0.189942, 0.249167, 0.359158, 0.578115", \
"0.12882, 0.140179, 0.160203, 0.193504, 0.252964, 0.362844, 0.580983", \
"0.136148, 0.147502, 0.167503, 0.200619, 0.259824, 0.369593, 0.589812", \
"0.151253, 0.162502, 0.182444, 0.215397, 0.274648, 0.384594, 0.603528", \
"0.173813, 0.185688, 0.206663, 0.240736, 0.299548, 0.409836, 0.62954", \
"0.203559, 0.216568, 0.238735, 0.274947, 0.335314, 0.44602, 0.664836");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.039992, 0.050218, 0.068583, 0.105101, 0.179441, 0.33311, 0.653714", \
"0.039963, 0.050168, 0.068689, 0.105156, 0.179049, 0.333635, 0.653456", \
"0.040019, 0.050037, 0.06863, 0.10499, 0.17971, 0.333778, 0.654371", \
"0.03962, 0.049258, 0.069015, 0.105545, 0.17882, 0.334776, 0.654675", \
"0.040564, 0.050249, 0.069692, 0.105545, 0.180234, 0.332356, 0.654372", \
"0.046024, 0.055833, 0.075462, 0.110161, 0.1827, 0.334942, 0.65492", \
"0.054154, 0.065341, 0.084639, 0.119506, 0.190042, 0.337139, 0.656714");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.131681, 0.141752, 0.159477, 0.188845, 0.238092, 0.327297, 0.503265", \
"0.13467, 0.144841, 0.162518, 0.191893, 0.241167, 0.33037, 0.506373", \
"0.14075, 0.150893, 0.168649, 0.197959, 0.247265, 0.336165, 0.511671", \
"0.151435, 0.161527, 0.179267, 0.208644, 0.257977, 0.347141, 0.523212", \
"0.170523, 0.180599, 0.198194, 0.227356, 0.276714, 0.36594, 0.542036", \
"0.199151, 0.209731, 0.228585, 0.258786, 0.309032, 0.39801, 0.573778", \
"0.238801, 0.250509, 0.271042, 0.303953, 0.357078, 0.448887, 0.62494");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.035792, 0.044809, 0.061427, 0.092109, 0.152951, 0.279201, 0.536077", \
"0.035948, 0.044674, 0.061397, 0.091958, 0.153033, 0.279123, 0.539142", \
"0.036093, 0.04475, 0.061036, 0.092451, 0.152661, 0.277968, 0.539008", \
"0.036214, 0.044233, 0.061434, 0.091921, 0.152682, 0.2758, 0.541447", \
"0.036185, 0.044927, 0.061351, 0.092582, 0.153243, 0.278075, 0.540843", \
"0.042421, 0.050901, 0.067492, 0.096962, 0.155562, 0.279623, 0.542227", \
"0.053611, 0.062612, 0.078836, 0.109799, 0.165776, 0.285985, 0.543794");
}
}
timing() { /* MIN */
related_pin : "A1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.11083, 0.122149, 0.142213, 0.175191, 0.233265, 0.342955, 0.562172", \
"0.112551, 0.123859, 0.143923, 0.176944, 0.234931, 0.344486, 0.564289", \
"0.1166, 0.127869, 0.147795, 0.180981, 0.239057, 0.348863, 0.567112", \
"0.125397, 0.136699, 0.156683, 0.189742, 0.247724, 0.357545, 0.5758", \
"0.144404, 0.15557, 0.175331, 0.208268, 0.266296, 0.375945, 0.594529", \
"0.171134, 0.182851, 0.203507, 0.237633, 0.296378, 0.405923, 0.625284", \
"0.205054, 0.217873, 0.239829, 0.275892, 0.336241, 0.445633, 0.664206");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.036863, 0.046026, 0.065571, 0.10173, 0.176966, 0.331589, 0.649216", \
"0.036875, 0.045997, 0.065663, 0.10189, 0.175958, 0.332723, 0.650459", \
"0.036808, 0.046718, 0.064939, 0.101559, 0.17757, 0.330177, 0.649892", \
"0.036569, 0.046464, 0.065575, 0.101626, 0.176607, 0.331503, 0.650128", \
"0.037768, 0.047096, 0.066409, 0.102357, 0.177387, 0.33055, 0.64696", \
"0.044659, 0.054396, 0.071912, 0.107795, 0.179081, 0.331789, 0.651555", \
"0.055074, 0.064581, 0.082655, 0.116816, 0.186055, 0.336385, 0.651087");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.099301, 0.108657, 0.125231, 0.152639, 0.200213, 0.288082, 0.463655", \
"0.102308, 0.111632, 0.128195, 0.155583, 0.203163, 0.29107, 0.466588", \
"0.108185, 0.11751, 0.134033, 0.161435, 0.20904, 0.296915, 0.472514", \
"0.11931, 0.128593, 0.145089, 0.17262, 0.22013, 0.307683, 0.482856", \
"0.13949, 0.148862, 0.165438, 0.193085, 0.24084, 0.328747, 0.50391", \
"0.165897, 0.176189, 0.194455, 0.224104, 0.273473, 0.361893, 0.536435", \
"0.19829, 0.210154, 0.230764, 0.263934, 0.31759, 0.409082, 0.584781");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.028445, 0.0373, 0.054373, 0.085644, 0.147483, 0.274458, 0.539006", \
"0.028778, 0.037653, 0.054441, 0.0854, 0.147582, 0.273381, 0.539036", \
"0.028881, 0.037648, 0.054386, 0.085664, 0.1475, 0.273743, 0.539066", \
"0.028825, 0.037639, 0.054295, 0.085753, 0.146809, 0.27449, 0.531792", \
"0.031068, 0.039496, 0.0557, 0.085997, 0.147897, 0.271362, 0.538159", \
"0.039378, 0.047943, 0.063857, 0.093666, 0.151795, 0.275087, 0.533403", \
"0.051236, 0.061062, 0.078055, 0.108315, 0.166283, 0.282884, 0.540289");
}
}
timing() { /* MAX */
related_pin : "A1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.1195, 0.130854, 0.150811, 0.184354, 0.243589, 0.353634, 0.571996", \
"0.121268, 0.132616, 0.152593, 0.186169, 0.245301, 0.355346, 0.57358", \
"0.125316, 0.13665, 0.15661, 0.190039, 0.249317, 0.359316, 0.578381", \
"0.134171, 0.145438, 0.165393, 0.198675, 0.257811, 0.367775, 0.587891", \
"0.153639, 0.164932, 0.184775, 0.217701, 0.276767, 0.38673, 0.605229", \
"0.183098, 0.194855, 0.215484, 0.249551, 0.308752, 0.418682, 0.638385", \
"0.220433, 0.233532, 0.25549, 0.29139, 0.352008, 0.463187, 0.682296");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.040008, 0.050087, 0.068711, 0.105113, 0.179397, 0.333238, 0.654138", \
"0.039965, 0.050194, 0.068952, 0.105383, 0.179063, 0.333661, 0.654826", \
"0.039893, 0.050166, 0.068537, 0.104762, 0.179969, 0.331496, 0.654084", \
"0.039668, 0.049735, 0.068872, 0.105219, 0.17957, 0.334357, 0.654885", \
"0.04089, 0.050651, 0.069903, 0.105808, 0.179588, 0.3328, 0.65324", \
"0.047528, 0.057144, 0.076339, 0.111098, 0.181346, 0.335027, 0.654761", \
"0.058412, 0.068417, 0.087272, 0.121307, 0.1905, 0.337396, 0.65597");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.123346, 0.133083, 0.150459, 0.179217, 0.228058, 0.316507, 0.491552", \
"0.126259, 0.136011, 0.153391, 0.182282, 0.231016, 0.319552, 0.494666", \
"0.131946, 0.141729, 0.159181, 0.188013, 0.236707, 0.325417, 0.501139", \
"0.142099, 0.151948, 0.169354, 0.197925, 0.246685, 0.335485, 0.51129", \
"0.160592, 0.170323, 0.187558, 0.216171, 0.264994, 0.35364, 0.529021", \
"0.186942, 0.197319, 0.21563, 0.245704, 0.295602, 0.384693, 0.560091", \
"0.221895, 0.233493, 0.253915, 0.28681, 0.340549, 0.432386, 0.608168");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.033677, 0.042337, 0.058815, 0.089777, 0.150643, 0.276479, 0.540027", \
"0.033687, 0.042381, 0.058817, 0.09052, 0.150327, 0.276419, 0.53972", \
"0.033633, 0.04248, 0.059082, 0.0904, 0.150661, 0.274452, 0.541205", \
"0.033448, 0.042548, 0.058785, 0.090389, 0.151485, 0.278021, 0.536501", \
"0.034304, 0.043185, 0.059801, 0.090388, 0.150652, 0.275238, 0.540696", \
"0.040498, 0.050154, 0.065466, 0.096526, 0.155667, 0.279288, 0.541226", \
"0.052827, 0.06288, 0.079931, 0.109496, 0.166888, 0.284004, 0.544534");
}
}
timing() { /* MIN */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.093042, 0.1035, 0.122113, 0.153392, 0.209589, 0.317858, 0.537226", \
"0.094835, 0.105229, 0.123823, 0.155042, 0.211425, 0.319404, 0.54016", \
"0.098275, 0.108763, 0.127343, 0.158427, 0.214852, 0.322864, 0.54368", \
"0.105424, 0.115716, 0.134234, 0.165459, 0.221579, 0.329951, 0.547448", \
"0.116977, 0.127742, 0.146849, 0.17852, 0.234929, 0.343214, 0.560484", \
"0.131464, 0.1428, 0.163132, 0.196564, 0.254607, 0.363159, 0.581875", \
"0.146498, 0.159024, 0.181325, 0.217263, 0.278324, 0.388222, 0.606195");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.031137, 0.040934, 0.059756, 0.096618, 0.172252, 0.328118, 0.64412", \
"0.031102, 0.040759, 0.059356, 0.096835, 0.171041, 0.329741, 0.645442", \
"0.031197, 0.040452, 0.059826, 0.096847, 0.171252, 0.330292, 0.644885", \
"0.031204, 0.040683, 0.059793, 0.095773, 0.172551, 0.32726, 0.644397", \
"0.034664, 0.043804, 0.062699, 0.097952, 0.173656, 0.326356, 0.65086", \
"0.039049, 0.049347, 0.067993, 0.103578, 0.177885, 0.328071, 0.647485", \
"0.049245, 0.059076, 0.078383, 0.113702, 0.185291, 0.334216, 0.645807");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.084503, 0.093299, 0.108962, 0.135298, 0.181447, 0.268815, 0.444133", \
"0.086839, 0.095691, 0.111447, 0.137585, 0.183818, 0.271165, 0.446126", \
"0.092229, 0.101033, 0.116691, 0.142927, 0.189052, 0.276416, 0.452122", \
"0.103719, 0.112403, 0.127908, 0.1542, 0.200178, 0.287442, 0.46357", \
"0.125232, 0.13414, 0.149883, 0.176338, 0.222519, 0.30984, 0.485269", \
"0.154962, 0.164613, 0.181339, 0.209301, 0.257087, 0.344589, 0.51977", \
"0.196977, 0.207748, 0.226993, 0.256923, 0.306432, 0.395556, 0.571337");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.027849, 0.036005, 0.051977, 0.082124, 0.145296, 0.271013, 0.536724", \
"0.027444, 0.036192, 0.052096, 0.082769, 0.144523, 0.272595, 0.530158", \
"0.027481, 0.036068, 0.052271, 0.082439, 0.145241, 0.27201, 0.537577", \
"0.027959, 0.036414, 0.052188, 0.082205, 0.144843, 0.273831, 0.5347", \
"0.03139, 0.039239, 0.054675, 0.084013, 0.146162, 0.272023, 0.536164", \
"0.039424, 0.04718, 0.061923, 0.091478, 0.150399, 0.27543, 0.537001", \
"0.052793, 0.059563, 0.074477, 0.103447, 0.159027, 0.278744, 0.536395");
}
}
timing() { /* MAX */
related_pin : "B0";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.099199, 0.110498, 0.130279, 0.163135, 0.220455, 0.328907, 0.547111", \
"0.101091, 0.112388, 0.132171, 0.164996, 0.222259, 0.330706, 0.549824", \
"0.104742, 0.116007, 0.135746, 0.168539, 0.226025, 0.334241, 0.553482", \
"0.111913, 0.123079, 0.142839, 0.175595, 0.233009, 0.341577, 0.55935", \
"0.124415, 0.135879, 0.155928, 0.188957, 0.246601, 0.355201, 0.57259", \
"0.139897, 0.152156, 0.17349, 0.208281, 0.267674, 0.376104, 0.59371", \
"0.158123, 0.171312, 0.194636, 0.232173, 0.29414, 0.405115, 0.623342");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.03445, 0.044385, 0.06324, 0.099673, 0.175706, 0.330742, 0.653768", \
"0.034456, 0.044452, 0.063104, 0.099411, 0.175462, 0.330387, 0.648761", \
"0.034512, 0.044459, 0.063122, 0.099541, 0.173749, 0.331264, 0.653309", \
"0.035124, 0.044843, 0.063889, 0.100243, 0.175621, 0.328555, 0.652709", \
"0.037688, 0.047433, 0.066041, 0.101829, 0.175776, 0.329187, 0.651642", \
"0.043672, 0.053242, 0.071628, 0.107269, 0.17993, 0.331552, 0.652906", \
"0.053613, 0.063473, 0.083139, 0.118716, 0.189342, 0.335708, 0.653388");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.113998, 0.124133, 0.142046, 0.171234, 0.220543, 0.309742, 0.485786", \
"0.115914, 0.125961, 0.143865, 0.173159, 0.222274, 0.311535, 0.487457", \
"0.12028, 0.130403, 0.148289, 0.177592, 0.226812, 0.315707, 0.491248", \
"0.130544, 0.140585, 0.15839, 0.18761, 0.236952, 0.326142, 0.502227", \
"0.152967, 0.162917, 0.180528, 0.209468, 0.258488, 0.347556, 0.523224", \
"0.188682, 0.199195, 0.217694, 0.247896, 0.298212, 0.387332, 0.562241", \
"0.241945, 0.253611, 0.273472, 0.304982, 0.357014, 0.447902, 0.624139");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.036144, 0.044721, 0.061741, 0.09223, 0.15313, 0.27751, 0.540802", \
"0.035842, 0.045009, 0.060856, 0.092418, 0.152989, 0.27924, 0.535236", \
"0.03609, 0.044988, 0.060906, 0.092436, 0.152704, 0.278067, 0.539128", \
"0.035662, 0.044737, 0.061469, 0.092039, 0.153034, 0.278058, 0.540902", \
"0.036748, 0.045323, 0.061995, 0.092829, 0.153335, 0.278758, 0.539187", \
"0.044674, 0.052653, 0.068878, 0.098857, 0.157389, 0.278667, 0.542382", \
"0.057391, 0.065201, 0.081643, 0.110775, 0.168029, 0.286247, 0.544074");
}
}
timing() { /* MIN */
related_pin : "B1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.087802, 0.098268, 0.116856, 0.1481, 0.204475, 0.312253, 0.530649", \
"0.089645, 0.10009, 0.118666, 0.149888, 0.206294, 0.313992, 0.533272", \
"0.093911, 0.104316, 0.122923, 0.154176, 0.210336, 0.318391, 0.535708", \
"0.103017, 0.11332, 0.131719, 0.162973, 0.219251, 0.327722, 0.547535", \
"0.118271, 0.128979, 0.148018, 0.179774, 0.236002, 0.343874, 0.564468", \
"0.136324, 0.147636, 0.167554, 0.200896, 0.258536, 0.366834, 0.585845", \
"0.157305, 0.169804, 0.1916, 0.227851, 0.288152, 0.39716, 0.615172");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.031167, 0.04096, 0.059324, 0.096752, 0.171742, 0.327687, 0.645563", \
"0.030883, 0.040962, 0.059332, 0.096756, 0.171689, 0.329226, 0.646389", \
"0.031287, 0.040597, 0.059829, 0.095985, 0.172705, 0.327188, 0.647367", \
"0.03147, 0.041163, 0.059896, 0.096535, 0.173044, 0.330017, 0.653035", \
"0.035281, 0.044685, 0.063279, 0.098061, 0.172415, 0.329826, 0.64613", \
"0.04193, 0.050944, 0.069507, 0.104407, 0.177321, 0.33029, 0.651698", \
"0.052799, 0.063011, 0.081297, 0.116407, 0.186108, 0.335015, 0.65438");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.076755, 0.085323, 0.100743, 0.126553, 0.172479, 0.259685, 0.43381", \
"0.079077, 0.087683, 0.10308, 0.129007, 0.174759, 0.261957, 0.43752", \
"0.084475, 0.093052, 0.108473, 0.134338, 0.180043, 0.267144, 0.443434", \
"0.095778, 0.104236, 0.119498, 0.145203, 0.191047, 0.277903, 0.454459", \
"0.115385, 0.124321, 0.140017, 0.166123, 0.212363, 0.298821, 0.47349", \
"0.141392, 0.150943, 0.167592, 0.195355, 0.243163, 0.330693, 0.505079", \
"0.177271, 0.188231, 0.206863, 0.236645, 0.28714, 0.37707, 0.551299");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.026493, 0.034467, 0.050579, 0.081795, 0.144226, 0.269858, 0.533299", \
"0.026259, 0.034464, 0.050713, 0.081314, 0.144261, 0.270723, 0.534494", \
"0.02624, 0.034638, 0.050765, 0.080984, 0.144133, 0.272065, 0.534017", \
"0.026521, 0.034758, 0.051053, 0.081836, 0.143589, 0.27314, 0.539081", \
"0.030752, 0.038692, 0.054023, 0.08429, 0.144104, 0.273738, 0.533363", \
"0.038221, 0.046193, 0.061411, 0.090425, 0.150462, 0.273874, 0.531527", \
"0.052515, 0.060374, 0.075884, 0.104313, 0.160462, 0.28009, 0.535139");
}
}
timing() { /* MAX */
related_pin : "B1";
timing_sense : positive_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.093968, 0.105269, 0.125054, 0.157988, 0.215277, 0.323898, 0.540812", \
"0.09594, 0.107207, 0.126985, 0.15971, 0.217228, 0.325396, 0.544971", \
"0.100243, 0.111492, 0.131312, 0.163977, 0.221467, 0.330033, 0.548809", \
"0.109452, 0.12059, 0.140233, 0.17292, 0.230436, 0.338661, 0.558227", \
"0.125931, 0.137358, 0.157305, 0.190162, 0.247823, 0.356396, 0.574156", \
"0.146085, 0.158351, 0.179529, 0.214212, 0.273384, 0.38225, 0.60047", \
"0.171285, 0.184838, 0.207963, 0.245161, 0.306792, 0.417221, 0.635213");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.034639, 0.044474, 0.063207, 0.099924, 0.175622, 0.32994, 0.649403", \
"0.034571, 0.044509, 0.063418, 0.100058, 0.174258, 0.331248, 0.652597", \
"0.034943, 0.044626, 0.063709, 0.100403, 0.175593, 0.329079, 0.65275", \
"0.035183, 0.044865, 0.063722, 0.10012, 0.174474, 0.331221, 0.653724", \
"0.038564, 0.048046, 0.066515, 0.102119, 0.175384, 0.330544, 0.651415", \
"0.045696, 0.055071, 0.073757, 0.108342, 0.179921, 0.332153, 0.65284", \
"0.058296, 0.068185, 0.087315, 0.1198, 0.189281, 0.335403, 0.654798");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.103648, 0.113446, 0.130892, 0.159649, 0.20858, 0.29733, 0.473136", \
"0.105576, 0.115357, 0.132788, 0.161548, 0.210482, 0.299026, 0.474177", \
"0.109982, 0.119778, 0.137174, 0.166069, 0.214736, 0.303562, 0.479296", \
"0.120199, 0.130006, 0.147383, 0.176099, 0.224861, 0.313428, 0.488381", \
"0.14204, 0.151664, 0.16882, 0.197479, 0.246387, 0.335178, 0.510557", \
"0.174434, 0.184681, 0.202643, 0.232508, 0.282739, 0.371638, 0.547189", \
"0.220347, 0.23184, 0.251546, 0.283393, 0.335825, 0.426542, 0.602486");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.034023, 0.042564, 0.059134, 0.090347, 0.150988, 0.276352, 0.541252", \
"0.033485, 0.04272, 0.058721, 0.09007, 0.150267, 0.276194, 0.539787", \
"0.033647, 0.042439, 0.058898, 0.090463, 0.151252, 0.278109, 0.537406", \
"0.033308, 0.042103, 0.059499, 0.090241, 0.15039, 0.276302, 0.540372", \
"0.034989, 0.043672, 0.060053, 0.090539, 0.151752, 0.277854, 0.540662", \
"0.043625, 0.051548, 0.067581, 0.097742, 0.156366, 0.279309, 0.541344", \
"0.056604, 0.064817, 0.080365, 0.109888, 0.166106, 0.285801, 0.545688");
}
}
internal_power() {
related_pin : "A0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.000216, 0.000199, 0.000193, 0.000198, 0.000206, 0.000211, 0.000208", \
"0.000205, 0.000187, 0.00018, 0.000185, 0.000194, 0.000199, 0.000196", \
"0.000187, 0.000169, 0.000161, 0.000166, 0.000176, 0.000182, 0.000179", \
"0.000176, 0.000158, 0.000148, 0.000151, 0.000161, 0.000167, 0.000165", \
"0.00022, 0.000197, 0.00018, 0.000176, 0.000181, 0.000186, 0.000184", \
"0.000426, 0.00039, 0.000357, 0.000337, 0.00033, 0.000331, 0.000328", \
"0.001026, 0.00097, 0.000914, 0.00087, 0.000838, 0.00082, 0.000809");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.006853, 0.006842, 0.00684, 0.006848, 0.006856, 0.006861, 0.006863", \
"0.006845, 0.006834, 0.006832, 0.006839, 0.006848, 0.006853, 0.006855", \
"0.006835, 0.006823, 0.006821, 0.006828, 0.006836, 0.006841, 0.006843", \
"0.006824, 0.006811, 0.006808, 0.006814, 0.006823, 0.006829, 0.006831", \
"0.00685, 0.006832, 0.006822, 0.006821, 0.006826, 0.00683, 0.006832", \
"0.007014, 0.006978, 0.006949, 0.006928, 0.006921, 0.006919, 0.006917", \
"0.007579, 0.007523, 0.007458, 0.0074, 0.007362, 0.007338, 0.007323");
}
}
internal_power() {
related_pin : "A1";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"-0.001128, -0.001145, -0.001151, -0.001147, -0.001138, -0.001133,
-0.001136", \
"-0.001139, -0.001157, -0.001164, -0.001159, -0.00115, -0.001145,
-0.001148", \
"-0.001154, -0.001171, -0.001179, -0.001175, -0.001166, -0.00116,
-0.001162", \
"-0.00115, -0.001169, -0.00118, -0.001178, -0.001169, -0.001162,
-0.001164", \
"-0.00106, -0.001085, -0.001105, -0.001112, -0.001111, -0.001107,
-0.001109", \
"-0.000749, -0.000791, -0.000833, -0.000864, -0.000881, -0.000887,
-0.000893", \
"-0.000005, -0.000062, -0.000135, -0.000197, -0.000247, -0.000281,
-0.000303");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.007886, 0.007882, 0.007888, 0.0079, 0.00791, 0.007914, 0.007915", \
"0.007873, 0.00787, 0.007875, 0.007888, 0.007897, 0.007902, 0.007903", \
"0.007854, 0.007849, 0.007854, 0.007866, 0.007876, 0.007881, 0.007882", \
"0.00783, 0.007824, 0.007827, 0.007838, 0.007849, 0.007856, 0.007858", \
"0.00785, 0.007838, 0.007831, 0.007835, 0.007843, 0.007849, 0.007851", \
"0.008022, 0.007989, 0.007961, 0.007947, 0.007942, 0.007942, 0.007941", \
"0.008607, 0.008554, 0.008488, 0.008437, 0.008401, 0.008382, 0.008369");
}
}
internal_power() {
related_pin : "B0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"-0.000143, -0.000141, -0.000128, -0.000112, -0.000102, -0.000102,
-0.00011", \
"-0.000158, -0.000157, -0.000145, -0.000128, -0.000118, -0.000117,
-0.000125", \
"-0.00018, -0.000181, -0.00017, -0.000153, -0.000141, -0.000139,
-0.000146", \
"-0.000189, -0.000193, -0.000187, -0.000173, -0.000161, -0.000156,
-0.000162", \
"-0.00013, -0.000143, -0.000149, -0.000142, -0.000131, -0.000126,
-0.000129", \
"0.000109, 0.000082, 0.000059, 0.000051, 0.000054, 0.000057, 0.000054", \
"0.000779, 0.000727, 0.000676, 0.000639, 0.000621, 0.000611, 0.000604");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.005969, 0.005961, 0.005962, 0.005969, 0.005975, 0.005978, 0.00598", \
"0.005955, 0.005946, 0.005947, 0.005954, 0.00596, 0.005964, 0.005965", \
"0.005938, 0.005929, 0.005928, 0.005935, 0.005943, 0.005947, 0.005949", \
"0.005947, 0.005935, 0.005929, 0.005933, 0.00594, 0.005945, 0.005947", \
"0.006049, 0.006027, 0.006009, 0.006004, 0.006004, 0.006006, 0.006006", \
"0.00636, 0.006322, 0.006281, 0.006252, 0.006235, 0.006227, 0.006221", \
"0.007144, 0.007082, 0.007021, 0.006956, 0.006901, 0.006863, 0.00684");
}
}
internal_power() {
related_pin : "B1";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"-0.001493, -0.001492, -0.00148, -0.001463, -0.001451, -0.001448,
-0.001454", \
"-0.001503, -0.001502, -0.00149, -0.001474, -0.001461, -0.001457,
-0.001463", \
"-0.001513, -0.001513, -0.001503, -0.001487, -0.001473, -0.001468,
-0.001472", \
"-0.001494, -0.0015, -0.001496, -0.001484, -0.00147, -0.001463,
-0.001466", \
"-0.001374, -0.001393, -0.001404, -0.001403, -0.001395, -0.001389,
-0.001391", \
"-0.001053, -0.001079, -0.001109, -0.001128, -0.001135, -0.001136,
-0.00114", \
"-0.000247, -0.000307, -0.00037, -0.000421, -0.000456, -0.000477,
-0.000489");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.000395, 0.001027, 0.00237, 0.005056, 0.010428, 0.02109,
0.04266");
values ( \
"0.006977, 0.006974, 0.006983, 0.006995, 0.007003, 0.007008, 0.00701", \
"0.006961, 0.006958, 0.006966, 0.006979, 0.006988, 0.006993, 0.006995", \
"0.006943, 0.00694, 0.006946, 0.006958, 0.006969, 0.006975, 0.006977", \
"0.006954, 0.006946, 0.006949, 0.006957, 0.006967, 0.006973, 0.006976", \
"0.007056, 0.007037, 0.007023, 0.007022, 0.007026, 0.007031, 0.007033", \
"0.007389, 0.007352, 0.007313, 0.007285, 0.007272, 0.007262, 0.007263", \
"0.008162, 0.008101, 0.008044, 0.007981, 0.007929, 0.007897, 0.007873");
}
}
}
}
/* ----------------- *
* Design : AOI211X1 *
* ----------------- */
cell (AOI211X1) {
cell_footprint : AOI211;
area : 5.298300;
cell_leakage_power : 19.9453;
pin(A0) {
direction : input;
capacitance : 0.00262635;
rise_capacitance : 0.00262635;
fall_capacitance : 0.00262629;
}
pin(A1) {
direction : input;
capacitance : 0.00279266;
rise_capacitance : 0.0027914;
fall_capacitance : 0.00279266;
}
pin(B0) {
direction : input;
capacitance : 0.00277545;
rise_capacitance : 0.00277545;
fall_capacitance : 0.00277432;
rise_capacitance_range ( 0.00277529, 0.00277561) ;
fall_capacitance_range ( 0.00277356, 0.00277509) ;
}
pin(C0) {
direction : input;
capacitance : 0.00281332;
rise_capacitance : 0.00281332;
fall_capacitance : 0.00281143;
rise_capacitance_range ( 0.00281304, 0.00281359) ;
fall_capacitance_range ( 0.0028109, 0.00281196) ;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.0496996;
function : "(!(((A0 A1)+B0)+C0))";
timing() {
related_pin : "A0";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.103178, 0.123626, 0.167085, 0.253052, 0.425246, 0.758843, 1.44401", \
"0.105782, 0.126449, 0.169723, 0.25603, 0.427812, 0.768229, 1.4574", \
"0.11132, 0.132013, 0.175604, 0.261654, 0.434174, 0.769206, 1.45502", \
"0.119972, 0.140751, 0.18445, 0.270379, 0.442913, 0.779966, 1.46602", \
"0.134278, 0.155326, 0.198693, 0.284896, 0.456858, 0.792497, 1.47856", \
"0.150399, 0.174701, 0.222638, 0.309732, 0.480074, 0.81812, 1.50699", \
"0.172786, 0.201354, 0.256232, 0.354386, 0.531469, 0.866249, 1.55236");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.088666, 0.11794, 0.180421, 0.305605, 0.55376, 1.04925, 2.0462", \
"0.088736, 0.118258, 0.180363, 0.30397, 0.551809, 1.04177, 2.03579", \
"0.088919, 0.117762, 0.180942, 0.305902, 0.554607, 1.04846, 2.04597", \
"0.089123, 0.118123, 0.181046, 0.305967, 0.555968, 1.04716, 2.04267", \
"0.095433, 0.122543, 0.182715, 0.30545, 0.55492, 1.0487, 2.04548", \
"0.117196, 0.142983, 0.197947, 0.312645, 0.555902, 1.04658, 2.03668", \
"0.16473, 0.190576, 0.246226, 0.352092, 0.574215, 1.05284, 2.04033");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.060326, 0.073297, 0.099031, 0.148365, 0.245293, 0.432892, 0.805694", \
"0.06231, 0.075173, 0.101094, 0.150912, 0.24596, 0.435722, 0.809437", \
"0.066072, 0.078881, 0.104787, 0.153722, 0.250069, 0.438836, 0.812723", \
"0.074053, 0.086678, 0.112517, 0.161556, 0.257093, 0.447776, 0.821215", \
"0.086459, 0.101046, 0.128551, 0.177982, 0.274879, 0.46363, 0.838074", \
"0.101787, 0.11933, 0.152269, 0.20877, 0.306736, 0.495335, 0.872664", \
"0.117099, 0.139747, 0.18026, 0.250357, 0.365712, 0.560754, 0.935711");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.065981, 0.083548, 0.119472, 0.190133, 0.332195, 0.609497, 1.17478", \
"0.065936, 0.082937, 0.1194, 0.191316, 0.327824, 0.607947, 1.17509", \
"0.066185, 0.083397, 0.118429, 0.189207, 0.327964, 0.608321, 1.17416", \
"0.070035, 0.085587, 0.119521, 0.189852, 0.330395, 0.608204, 1.17407", \
"0.082259, 0.09677, 0.127784, 0.193067, 0.332528, 0.61022, 1.17373", \
"0.112256, 0.125787, 0.157327, 0.215439, 0.343332, 0.610593, 1.17266", \
"0.167079, 0.183561, 0.217282, 0.276702, 0.389957, 0.637242, 1.17762");
}
}
timing() {
related_pin : "A1";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.092227, 0.113198, 0.156464, 0.242975, 0.414882, 0.748297, 1.43269", \
"0.094558, 0.115869, 0.159307, 0.245749, 0.417602, 0.757821, 1.44634", \
"0.099388, 0.12072, 0.164469, 0.25166, 0.423979, 0.758054, 1.44198", \
"0.107552, 0.128594, 0.172631, 0.260181, 0.432871, 0.77431, 1.46321", \
"0.120635, 0.142472, 0.186398, 0.273215, 0.446115, 0.78804, 1.46654", \
"0.134006, 0.159457, 0.208776, 0.297251, 0.468705, 0.806634, 1.49423", \
"0.151136, 0.182602, 0.239935, 0.340419, 0.518623, 0.855368, 1.54009");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.07438, 0.10348, 0.164761, 0.287542, 0.536425, 1.03222, 2.03033", \
"0.074552, 0.103588, 0.165372, 0.289462, 0.539082, 1.03089, 2.02955", \
"0.074737, 0.103589, 0.16479, 0.287304, 0.535369, 1.03201, 2.03038", \
"0.075072, 0.103774, 0.164973, 0.287787, 0.535213, 1.0308, 2.03101", \
"0.082445, 0.10928, 0.166692, 0.287721, 0.535476, 1.02615, 2.03027", \
"0.105727, 0.131101, 0.184682, 0.298441, 0.537419, 1.0321, 2.0302", \
"0.158174, 0.182357, 0.23536, 0.340485, 0.560103, 1.02762, 2.0105");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.057157, 0.070175, 0.095783, 0.145151, 0.240994, 0.429904, 0.807991", \
"0.058948, 0.071876, 0.097941, 0.147342, 0.242124, 0.429733, 0.812349", \
"0.063157, 0.07593, 0.101906, 0.150677, 0.247734, 0.435112, 0.816885", \
"0.072897, 0.085127, 0.110474, 0.159867, 0.255648, 0.443949, 0.819969", \
"0.088666, 0.103656, 0.130448, 0.178507, 0.273872, 0.462008, 0.841287", \
"0.107914, 0.125623, 0.160504, 0.217587, 0.313065, 0.498158, 0.874885", \
"0.126018, 0.149722, 0.194822, 0.267654, 0.386846, 0.579021, 0.951032");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.066692, 0.083852, 0.118497, 0.190458, 0.327925, 0.606918, 1.17416", \
"0.066266, 0.083037, 0.119842, 0.191059, 0.331989, 0.610355, 1.17217", \
"0.066136, 0.0834, 0.11968, 0.189219, 0.332144, 0.61056, 1.1667", \
"0.072141, 0.086584, 0.120237, 0.190926, 0.332466, 0.605843, 1.17463", \
"0.092387, 0.103892, 0.132944, 0.197057, 0.332874, 0.604724, 1.17041", \
"0.129587, 0.147176, 0.175882, 0.22622, 0.349614, 0.610291, 1.17013", \
"0.198144, 0.211285, 0.24766, 0.314533, 0.420207, 0.652196, 1.17402");
}
}
timing() { /* MIN */
related_pin : "B0";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.064717, 0.082203, 0.118299, 0.189983, 0.331166, 0.614028, 1.18799", \
"0.067013, 0.084378, 0.120495, 0.192988, 0.336361, 0.615217, 1.19424", \
"0.071272, 0.088691, 0.124942, 0.197512, 0.341172, 0.619118, 1.19569", \
"0.079306, 0.096558, 0.132904, 0.204502, 0.346978, 0.630417, 1.20273", \
"0.091268, 0.111722, 0.149765, 0.220673, 0.364225, 0.646052, 1.21391", \
"0.109783, 0.134122, 0.179703, 0.257394, 0.398155, 0.680232, 1.25688", \
"0.143218, 0.172226, 0.225023, 0.316534, 0.473533, 0.751248, 1.32073");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.059265, 0.083303, 0.135688, 0.238984, 0.446615, 0.861608, 1.70009", \
"0.059538, 0.083873, 0.13584, 0.239445, 0.44729, 0.85586, 1.69854", \
"0.059716, 0.083906, 0.135658, 0.238532, 0.446749, 0.858112, 1.68948", \
"0.062947, 0.085193, 0.13483, 0.239494, 0.447263, 0.861583, 1.69839", \
"0.078105, 0.097229, 0.143207, 0.240711, 0.444271, 0.85598, 1.6899", \
"0.104983, 0.125464, 0.169424, 0.258719, 0.446858, 0.856639, 1.69297", \
"0.15507, 0.176995, 0.223614, 0.311231, 0.486398, 0.865024, 1.68349");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.026997, 0.033203, 0.045855, 0.070446, 0.120241, 0.216456, 0.413481", \
"0.029822, 0.035966, 0.04857, 0.073192, 0.1223, 0.219533, 0.415536", \
"0.035505, 0.041801, 0.054356, 0.079035, 0.128294, 0.225242, 0.421808", \
"0.042637, 0.050995, 0.065717, 0.090234, 0.139304, 0.236215, 0.432836", \
"0.049676, 0.061109, 0.081097, 0.112665, 0.162201, 0.259259, 0.455082", \
"0.053468, 0.068644, 0.096254, 0.139518, 0.205747, 0.305222, 0.499224", \
"0.047317, 0.067805, 0.104872, 0.164784, 0.254771, 0.39044, 0.59375");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.024165, 0.03311, 0.051634, 0.088092, 0.163176, 0.309653, 0.604471", \
"0.024431, 0.033124, 0.051639, 0.088318, 0.162492, 0.3076, 0.601138", \
"0.028659, 0.035934, 0.05279, 0.088293, 0.161999, 0.307634, 0.605267", \
"0.039084, 0.045895, 0.059923, 0.092209, 0.161449, 0.307839, 0.604639", \
"0.057912, 0.066884, 0.082104, 0.109407, 0.169701, 0.309519, 0.602286", \
"0.09106, 0.102872, 0.122085, 0.156069, 0.208209, 0.32868, 0.607234", \
"0.148454, 0.163619, 0.190384, 0.234735, 0.298808, 0.407352, 0.643741");
}
}
timing() { /* MAX */
related_pin : "B0";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.092403, 0.1131, 0.156914, 0.243232, 0.415865, 0.754782, 1.43413", \
"0.094107, 0.11488, 0.158867, 0.245415, 0.418, 0.757871, 1.4332", \
"0.097605, 0.118895, 0.162217, 0.249026, 0.421692, 0.762134, 1.45227", \
"0.105083, 0.12582, 0.16987, 0.256264, 0.428746, 0.766903, 1.45259", \
"0.119981, 0.141894, 0.185141, 0.270982, 0.443808, 0.785094, 1.46238", \
"0.142354, 0.167964, 0.217952, 0.305761, 0.47611, 0.812779, 1.50272", \
"0.184411, 0.214098, 0.271027, 0.371913, 0.549437, 0.882864, 1.56556");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.088841, 0.118165, 0.180077, 0.3048, 0.551556, 1.04795, 2.04637", \
"0.088682, 0.118146, 0.179967, 0.304835, 0.551656, 1.04491, 2.04795", \
"0.088686, 0.118563, 0.180738, 0.306208, 0.552894, 1.04184, 2.03851", \
"0.089739, 0.118141, 0.180983, 0.305826, 0.556106, 1.04703, 2.04553", \
"0.101388, 0.12741, 0.184264, 0.303972, 0.553292, 1.04642, 2.04829", \
"0.128544, 0.156242, 0.206676, 0.318819, 0.55678, 1.04845, 2.03884", \
"0.176437, 0.205007, 0.261597, 0.362978, 0.585763, 1.05214, 2.04528");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.028192, 0.034385, 0.047141, 0.072046, 0.121276, 0.218336, 0.416664", \
"0.031026, 0.037243, 0.049949, 0.074804, 0.124157, 0.221199, 0.419371", \
"0.037034, 0.043105, 0.055728, 0.080529, 0.129774, 0.22688, 0.424756", \
"0.044864, 0.053059, 0.067284, 0.091944, 0.140895, 0.237568, 0.436584", \
"0.053163, 0.064005, 0.08342, 0.114438, 0.16434, 0.260535, 0.458584", \
"0.059561, 0.07393, 0.10014, 0.142363, 0.20751, 0.307336, 0.500547", \
"0.058054, 0.077103, 0.11201, 0.169463, 0.258219, 0.391855, 0.594718");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.030071, 0.038873, 0.057248, 0.09388, 0.167312, 0.31249, 0.607344", \
"0.030508, 0.038848, 0.057126, 0.093904, 0.167482, 0.313346, 0.607353", \
"0.034588, 0.041619, 0.058365, 0.093929, 0.167397, 0.31429, 0.611717", \
"0.045285, 0.0517, 0.065545, 0.097377, 0.167345, 0.314846, 0.611471", \
"0.067688, 0.07467, 0.087749, 0.114947, 0.175347, 0.313879, 0.607774", \
"0.104284, 0.112823, 0.133071, 0.161905, 0.213091, 0.332016, 0.60788", \
"0.169184, 0.179207, 0.20421, 0.243834, 0.304835, 0.411344, 0.645798");
}
}
timing() { /* MIN */
related_pin : "C0";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.054079, 0.0724, 0.109832, 0.181144, 0.322936, 0.60878, 1.17465", \
"0.055735, 0.073608, 0.110667, 0.181827, 0.326227, 0.607389, 1.17654", \
"0.059568, 0.077176, 0.114138, 0.186286, 0.330273, 0.616071, 1.18839", \
"0.070103, 0.086777, 0.122867, 0.194009, 0.338868, 0.623348, 1.18665", \
"0.085379, 0.106919, 0.144427, 0.214226, 0.355278, 0.642, 1.21626", \
"0.10977, 0.134826, 0.18245, 0.260585, 0.398806, 0.679081, 1.25096", \
"0.149393, 0.181506, 0.238445, 0.333969, 0.491188, 0.764526, 1.3268");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.059442, 0.083441, 0.136653, 0.238032, 0.448342, 0.86302, 1.67834", \
"0.058847, 0.084095, 0.134778, 0.24011, 0.445587, 0.853363, 1.69413", \
"0.058792, 0.083523, 0.136031, 0.240359, 0.447919, 0.86414, 1.69745", \
"0.066027, 0.086856, 0.134691, 0.239677, 0.446169, 0.861413, 1.68609", \
"0.085695, 0.104, 0.146057, 0.241481, 0.447186, 0.858203, 1.69872", \
"0.111044, 0.134652, 0.181264, 0.263803, 0.450057, 0.855501, 1.68483", \
"0.158323, 0.187998, 0.240224, 0.335523, 0.502313, 0.871826, 1.69305");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.023266, 0.029285, 0.041741, 0.066334, 0.11512, 0.212066, 0.407293", \
"0.026131, 0.032181, 0.044609, 0.069259, 0.118078, 0.215128, 0.411798", \
"0.031062, 0.0381, 0.050427, 0.074996, 0.123844, 0.220845, 0.418125", \
"0.036, 0.045362, 0.061347, 0.086368, 0.134932, 0.232111, 0.426576", \
"0.039955, 0.0525, 0.074468, 0.107674, 0.158068, 0.254519, 0.449751", \
"0.03891, 0.055657, 0.085792, 0.131673, 0.199952, 0.301023, 0.494561", \
"0.024086, 0.047998, 0.089414, 0.152338, 0.247226, 0.383486, 0.589283");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.018214, 0.027018, 0.045087, 0.081814, 0.154771, 0.304097, 0.598213", \
"0.019227, 0.027026, 0.045053, 0.081584, 0.154732, 0.302908, 0.597056", \
"0.024062, 0.030792, 0.047041, 0.081704, 0.155066, 0.302839, 0.602233", \
"0.034995, 0.0415, 0.055024, 0.086573, 0.155186, 0.303761, 0.597391", \
"0.051789, 0.061079, 0.077897, 0.103611, 0.166185, 0.303996, 0.600853", \
"0.083651, 0.094019, 0.117214, 0.15171, 0.202422, 0.322515, 0.602919", \
"0.141342, 0.156332, 0.185879, 0.226588, 0.299743, 0.402261, 0.644783");
}
}
timing() { /* MAX */
related_pin : "C0";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.076416, 0.098271, 0.142652, 0.227907, 0.400807, 0.73992, 1.41934", \
"0.077369, 0.09862, 0.142902, 0.230234, 0.403233, 0.74002, 1.4283", \
"0.080762, 0.102037, 0.145861, 0.233669, 0.406814, 0.746999, 1.42557", \
"0.089818, 0.110244, 0.154109, 0.241386, 0.414195, 0.752202, 1.43569", \
"0.110591, 0.132172, 0.173864, 0.258759, 0.430364, 0.768462, 1.45673", \
"0.139843, 0.166323, 0.216391, 0.301439, 0.469872, 0.805613, 1.49328", \
"0.189906, 0.221554, 0.279866, 0.3837, 0.557567, 0.88733, 1.56462");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.088744, 0.118406, 0.181257, 0.306283, 0.55174, 1.04808, 2.05062", \
"0.088647, 0.118217, 0.180944, 0.304124, 0.55187, 1.0498, 2.03714", \
"0.088284, 0.118157, 0.180831, 0.30396, 0.552204, 1.04751, 2.04649", \
"0.090233, 0.117596, 0.179972, 0.304578, 0.555283, 1.04595, 2.05226", \
"0.106416, 0.130771, 0.184827, 0.304057, 0.555296, 1.04988, 2.04903", \
"0.134741, 0.16325, 0.213622, 0.321201, 0.556257, 1.04469, 2.03288", \
"0.180923, 0.211501, 0.277686, 0.380667, 0.593465, 1.04955, 2.04923");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.024149, 0.030199, 0.042795, 0.067642, 0.116884, 0.213768, 0.411358", \
"0.02705, 0.033119, 0.045686, 0.07054, 0.11979, 0.217026, 0.414894", \
"0.032436, 0.039149, 0.051588, 0.076309, 0.125518, 0.222965, 0.422286", \
"0.038117, 0.047221, 0.062946, 0.087737, 0.136718, 0.233387, 0.43278", \
"0.043129, 0.055565, 0.076897, 0.109625, 0.159861, 0.256055, 0.452774", \
"0.044544, 0.060959, 0.090042, 0.134738, 0.202269, 0.303123, 0.496905", \
"0.034864, 0.056427, 0.095699, 0.157148, 0.250169, 0.385902, 0.59087");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.023806, 0.032588, 0.05094, 0.087614, 0.160691, 0.308274, 0.606701", \
"0.024694, 0.03269, 0.050995, 0.087356, 0.160588, 0.308395, 0.605693", \
"0.02948, 0.036246, 0.052254, 0.087501, 0.16074, 0.308228, 0.604392", \
"0.041572, 0.047001, 0.060317, 0.091246, 0.161072, 0.30842, 0.606646", \
"0.061012, 0.069313, 0.083902, 0.109177, 0.170508, 0.307798, 0.605644", \
"0.096633, 0.106655, 0.125555, 0.157109, 0.206589, 0.328323, 0.610989", \
"0.161036, 0.176443, 0.198055, 0.235257, 0.301865, 0.404487, 0.651142");
}
}
internal_power() {
related_pin : "A0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.022773, 0.022776, 0.022776, 0.022767, 0.022743, 0.022691, 0.022583", \
"0.022756, 0.022761, 0.022764, 0.022758, 0.022735, 0.022685, 0.022577", \
"0.022732, 0.022739, 0.022746, 0.022744, 0.022725, 0.022676, 0.02257", \
"0.022702, 0.022711, 0.02272, 0.022723, 0.02271, 0.022664, 0.02256", \
"0.02268, 0.022688, 0.022694, 0.022698, 0.022688, 0.022647, 0.022546", \
"0.022765, 0.02275, 0.022731, 0.022711, 0.022686, 0.022636, 0.022532", \
"0.023324, 0.023239, 0.023121, 0.022983, 0.022861, 0.022733, 0.022573");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"-0.004677, -0.004677, -0.004675, -0.004675, -0.004675, -0.004675,
-0.004676", \
"-0.0047, -0.004699, -0.004697, -0.004695, -0.004694, -0.004694,
-0.004695", \
"-0.004729, -0.004726, -0.004722, -0.004719, -0.004717, -0.004717,
-0.004717", \
"-0.004749, -0.004746, -0.004742, -0.004738, -0.004736, -0.004735,
-0.004735", \
"-0.004714, -0.004719, -0.004725, -0.00473, -0.004735, -0.00474,
-0.004744", \
"-0.004472, -0.004503, -0.004552, -0.004604, -0.004652, -0.004692,
-0.004719", \
"-0.003662, -0.003755, -0.003899, -0.004082, -0.004276, -0.004443,
-0.004569");
}
}
internal_power() {
related_pin : "A1";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.024342, 0.024361, 0.024374, 0.024374, 0.024351, 0.024291, 0.024162", \
"0.024315, 0.024339, 0.02436, 0.024366, 0.024348, 0.024291, 0.024164", \
"0.024274, 0.024301, 0.024331, 0.024348, 0.024337, 0.024285, 0.024161", \
"0.024226, 0.024252, 0.024285, 0.024312, 0.024313, 0.02427, 0.024151", \
"0.0242, 0.024212, 0.024236, 0.024263, 0.024274, 0.024242, 0.024132", \
"0.024297, 0.024278, 0.02426, 0.024254, 0.024246, 0.024212, 0.024104", \
"0.024928, 0.024814, 0.024656, 0.02451, 0.024389, 0.024278, 0.024124");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"-0.005295, -0.005294, -0.005292, -0.005291, -0.00529, -0.00529,
-0.005291", \
"-0.00532, -0.005317, -0.005316, -0.005312, -0.005311, -0.00531,
-0.005311", \
"-0.005347, -0.005344, -0.00534, -0.005337, -0.005335, -0.005334,
-0.005334", \
"-0.005349, -0.005348, -0.005349, -0.005349, -0.005351, -0.005352,
-0.005353", \
"-0.00526, -0.005274, -0.005292, -0.005312, -0.00533, -0.005345,
-0.005353", \
"-0.004901, -0.004951, -0.005024, -0.005107, -0.005191, -0.005259,
-0.00531", \
"-0.003924, -0.004028, -0.004197, -0.004415, -0.004675, -0.004912,
-0.0051");
}
}
internal_power() {
related_pin : "B0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.02059, 0.020606, 0.02062, 0.020623, 0.020607, 0.020561, 0.02046", \
"0.020564, 0.020583, 0.020603, 0.020611, 0.020599, 0.020557, 0.020457", \
"0.020531, 0.02055, 0.020573, 0.020589, 0.020584, 0.020547, 0.020449", \
"0.020507, 0.02052, 0.02054, 0.020559, 0.020563, 0.020532, 0.02044", \
"0.020539, 0.020535, 0.020535, 0.020539, 0.020538, 0.020511, 0.020424", \
"0.020807, 0.020748, 0.020683, 0.020626, 0.020575, 0.020517, 0.020416", \
"0.021725, 0.021551, 0.021322, 0.021088, 0.020877, 0.020693, 0.0205");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"-0.005352, -0.005344, -0.005336, -0.00533, -0.005327, -0.005324,
-0.005323", \
"-0.005363, -0.005353, -0.005343, -0.005335, -0.005329, -0.005326,
-0.005324", \
"-0.005367, -0.005359, -0.005347, -0.005337, -0.005331, -0.005327,
-0.005325", \
"-0.005335, -0.005333, -0.00533, -0.005327, -0.005323, -0.005322,
-0.005323", \
"-0.005176, -0.0052, -0.005223, -0.005248, -0.005272, -0.005291,
-0.005304", \
"-0.004701, -0.004765, -0.004853, -0.004956, -0.005056, -0.005148,
-0.005219", \
"-0.003539, -0.003656, -0.003849, -0.004113, -0.004387, -0.004676,
-0.004915");
}
}
internal_power() {
related_pin : "C0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"0.019696, 0.019728, 0.01976, 0.019776, 0.019768, 0.019728, 0.019628", \
"0.019663, 0.019696, 0.019733, 0.019757, 0.019757, 0.019721, 0.019625", \
"0.019639, 0.019663, 0.019698, 0.019729, 0.019738, 0.019709, 0.019618", \
"0.019658, 0.019664, 0.019679, 0.019702, 0.019714, 0.019691, 0.019605", \
"0.019795, 0.019767, 0.019738, 0.019719, 0.019706, 0.019676, 0.019591", \
"0.020196, 0.020117, 0.020009, 0.0199, 0.019807, 0.019717, 0.019599", \
"0.021265, 0.021081, 0.020817, 0.020534, 0.020257, 0.019999, 0.019748");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00079, 0.002054, 0.00474, 0.010112, 0.020856, 0.042186,
0.08532");
values ( \
"-0.005312, -0.005284, -0.005257, -0.005236, -0.005223, -0.005216,
-0.005212", \
"-0.005317, -0.005289, -0.005257, -0.005231, -0.005213, -0.005203,
-0.005198", \
"-0.005314, -0.005289, -0.005257, -0.005227, -0.005205, -0.005191,
-0.005182", \
"-0.005268, -0.005257, -0.005236, -0.005213, -0.005194, -0.00518,
-0.005173", \
"-0.005093, -0.005112, -0.005131, -0.005136, -0.005139, -0.005145,
-0.005152", \
"-0.004593, -0.004666, -0.004775, -0.004861, -0.004942, -0.005009,
-0.005068", \
"-0.003381, -0.003507, -0.003779, -0.004056, -0.004341, -0.00456,
-0.004777");
}
}
}
}

/* ----------------- *
* Design : AOI211X2 *
* ----------------- */
cell (AOI211X2) {
cell_footprint : AOI211;
area : 10.596600;
cell_leakage_power : 39.9667;
pin(A0) {
direction : input;
capacitance : 0.00534621;
rise_capacitance : 0.00534548;
fall_capacitance : 0.00534621;
}
pin(A1) {
direction : input;
capacitance : 0.00526432;
rise_capacitance : 0.00526241;
fall_capacitance : 0.00526432;
}
pin(B0) {
direction : input;
capacitance : 0.00525786;
rise_capacitance : 0.00525786;
fall_capacitance : 0.00525632;
rise_capacitance_range ( 0.0052577, 0.00525803) ;
fall_capacitance_range ( 0.00525535, 0.00525729) ;
}
pin(C0) {
direction : input;
capacitance : 0.00527158;
rise_capacitance : 0.00527158;
fall_capacitance : 0.00526871;
rise_capacitance_range ( 0.0052711, 0.00527206) ;
fall_capacitance_range ( 0.00526776, 0.00526966) ;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.0995363;
function : "(!(((A0 A1)+B0)+C0))";
timing() {
related_pin : "A0";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.10386, 0.124541, 0.167984, 0.254402, 0.426699, 0.761333, 1.44846", \
"0.106636, 0.127481, 0.170588, 0.25708, 0.429173, 0.770325, 1.46056", \
"0.111801, 0.13275, 0.176344, 0.261831, 0.433585, 0.775009, 1.46484", \
"0.120341, 0.141389, 0.184883, 0.27157, 0.444016, 0.785614, 1.47566", \
"0.134541, 0.155732, 0.199268, 0.285554, 0.456908, 0.798656, 1.48845", \
"0.150112, 0.174714, 0.22304, 0.310175, 0.481897, 0.819292, 1.51008", \
"0.171707, 0.200549, 0.255847, 0.354705, 0.532225, 0.868417, 1.55546");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.086183, 0.115645, 0.1773, 0.303188, 0.552365, 1.04875, 2.04776", \
"0.086456, 0.115934, 0.178089, 0.30177, 0.551101, 1.041, 2.03925", \
"0.086517, 0.115795, 0.178555, 0.303519, 0.554023, 1.04578, 2.03924", \
"0.086384, 0.116235, 0.178253, 0.301334, 0.550703, 1.04331, 2.04257", \
"0.092329, 0.119877, 0.179063, 0.303518, 0.552656, 1.04566, 2.04497", \
"0.114181, 0.140393, 0.195293, 0.311499, 0.55403, 1.04842, 2.0448", \
"0.162388, 0.188841, 0.24387, 0.350514, 0.573857, 1.0532, 2.03634");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.059756, 0.072898, 0.098918, 0.149135, 0.244551, 0.433763, 0.808576", \
"0.061689, 0.07484, 0.100984, 0.15095, 0.245329, 0.43611, 0.811482", \
"0.06555, 0.078704, 0.104882, 0.154849, 0.251419, 0.438846, 0.81455", \
"0.073467, 0.08627, 0.112315, 0.161722, 0.257443, 0.448138, 0.821493", \
"0.08582, 0.100777, 0.128428, 0.177957, 0.275327, 0.464092, 0.838956", \
"0.100816, 0.118898, 0.151916, 0.208797, 0.30701, 0.495779, 0.873851", \
"0.116463, 0.138364, 0.17986, 0.249755, 0.366121, 0.56125, 0.940338");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.065206, 0.08172, 0.11821, 0.189804, 0.327639, 0.608156, 1.17577", \
"0.064962, 0.081553, 0.118065, 0.190112, 0.329863, 0.607269, 1.17552", \
"0.064929, 0.081581, 0.118099, 0.190165, 0.331952, 0.609783, 1.17229", \
"0.06847, 0.083658, 0.119262, 0.188788, 0.329454, 0.60728, 1.17493", \
"0.081111, 0.095404, 0.126329, 0.193108, 0.332113, 0.609847, 1.17416", \
"0.110868, 0.125798, 0.156263, 0.214444, 0.342433, 0.610882, 1.17172", \
"0.16587, 0.18289, 0.214231, 0.275893, 0.388163, 0.638248, 1.16696");
}
}
timing() {
related_pin : "A1";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.090957, 0.112348, 0.155596, 0.242699, 0.415413, 0.752306, 1.44342", \
"0.09331, 0.11482, 0.158751, 0.245703, 0.418584, 0.760862, 1.43924", \
"0.09826, 0.119513, 0.164143, 0.250493, 0.423766, 0.765867, 1.45823", \
"0.106506, 0.127805, 0.172326, 0.259589, 0.432895, 0.772051, 1.46208", \
"0.119239, 0.141662, 0.185845, 0.273027, 0.44505, 0.788099, 1.47965", \
"0.132746, 0.158424, 0.207793, 0.297379, 0.46953, 0.808623, 1.50089", \
"0.148011, 0.180008, 0.237855, 0.339161, 0.518705, 0.857268, 1.54565");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.071376, 0.100713, 0.162111, 0.286051, 0.534132, 1.03435, 2.02847", \
"0.071469, 0.100372, 0.162683, 0.286904, 0.535789, 1.03106, 2.0374", \
"0.071235, 0.100127, 0.162825, 0.28769, 0.538567, 1.03083, 2.03385", \
"0.072111, 0.101084, 0.162783, 0.28755, 0.536888, 1.03433, 2.02924", \
"0.079944, 0.106363, 0.164962, 0.287612, 0.538137, 1.03409, 2.04103", \
"0.102296, 0.128196, 0.181358, 0.295927, 0.535247, 1.034, 2.03742", \
"0.155134, 0.178042, 0.232236, 0.337732, 0.561488, 1.03305, 2.02253");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.055473, 0.068623, 0.094758, 0.144064, 0.240603, 0.429153, 0.803938", \
"0.057286, 0.070369, 0.09638, 0.145842, 0.242104, 0.431057, 0.80623", \
"0.061526, 0.074509, 0.100698, 0.150159, 0.244959, 0.436005, 0.810341", \
"0.071425, 0.083757, 0.109404, 0.159059, 0.253561, 0.443396, 0.822118", \
"0.087033, 0.10221, 0.129278, 0.177515, 0.272345, 0.460602, 0.836212", \
"0.106118, 0.123984, 0.159214, 0.216878, 0.31223, 0.497419, 0.875026", \
"0.124162, 0.147819, 0.193387, 0.266488, 0.385956, 0.578333, 0.950656");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.065503, 0.082638, 0.11812, 0.188602, 0.329368, 0.61036, 1.17361", \
"0.065227, 0.082451, 0.117187, 0.188421, 0.327604, 0.609607, 1.17559", \
"0.064942, 0.082037, 0.118155, 0.189309, 0.330529, 0.607423, 1.17546", \
"0.070944, 0.085493, 0.119082, 0.190042, 0.330194, 0.604148, 1.17401", \
"0.091065, 0.10218, 0.132159, 0.196017, 0.331915, 0.606109, 1.17481", \
"0.128809, 0.145724, 0.174597, 0.228158, 0.348445, 0.609765, 1.17003", \
"0.197803, 0.209183, 0.246206, 0.313092, 0.419571, 0.646251, 1.1734");
}
}
timing() { /* MIN */
related_pin : "B0";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.066644, 0.084142, 0.120613, 0.192893, 0.335528, 0.61745, 1.19455", \
"0.068748, 0.086387, 0.123048, 0.194801, 0.337312, 0.622609, 1.20056", \
"0.073319, 0.090866, 0.127754, 0.199909, 0.34403, 0.625969, 1.19454", \
"0.081891, 0.099241, 0.135903, 0.207631, 0.352438, 0.638083, 1.21452", \
"0.093688, 0.114384, 0.152586, 0.224371, 0.368466, 0.654342, 1.23273", \
"0.11086, 0.135654, 0.181613, 0.259989, 0.401937, 0.685435, 1.25367", \
"0.141979, 0.171699, 0.225878, 0.318115, 0.476675, 0.755778, 1.323");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.056759, 0.081034, 0.132645, 0.235396, 0.443407, 0.861093, 1.70411", \
"0.05681, 0.081071, 0.132662, 0.237352, 0.446659, 0.861879, 1.70482", \
"0.056735, 0.080986, 0.13206, 0.236805, 0.442559, 0.854563, 1.69108", \
"0.059784, 0.081698, 0.132247, 0.237491, 0.447514, 0.862652, 1.7048", \
"0.074145, 0.093841, 0.13907, 0.238236, 0.445712, 0.859483, 1.70419", \
"0.101465, 0.122125, 0.166124, 0.254287, 0.44494, 0.857733, 1.69308", \
"0.15294, 0.174836, 0.220755, 0.308166, 0.484131, 0.866465, 1.69658");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.027092, 0.033497, 0.046542, 0.071413, 0.120513, 0.218969, 0.414697", \
"0.029892, 0.036241, 0.049244, 0.074458, 0.123693, 0.221531, 0.417418", \
"0.035737, 0.042112, 0.054918, 0.079739, 0.12941, 0.226873, 0.423938", \
"0.043053, 0.05143, 0.066213, 0.091079, 0.140433, 0.2366, 0.434446", \
"0.050122, 0.061763, 0.081902, 0.11336, 0.163246, 0.260482, 0.45707", \
"0.054364, 0.070213, 0.097262, 0.140648, 0.206832, 0.306323, 0.500892", \
"0.048021, 0.068613, 0.106166, 0.166223, 0.256078, 0.390183, 0.595576");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.024245, 0.033125, 0.05207, 0.089734, 0.16335, 0.308593, 0.602589", \
"0.024671, 0.033256, 0.052017, 0.089266, 0.162195, 0.30759, 0.606266", \
"0.02863, 0.035934, 0.052526, 0.088505, 0.162376, 0.311678, 0.603352", \
"0.038631, 0.045644, 0.060404, 0.09246, 0.162172, 0.31168, 0.609425", \
"0.058118, 0.067697, 0.082198, 0.109516, 0.170589, 0.311526, 0.60501", \
"0.091944, 0.103392, 0.122842, 0.15628, 0.208671, 0.329832, 0.602443", \
"0.148583, 0.163118, 0.190791, 0.235108, 0.303136, 0.408011, 0.642791");
}
}
timing() { /* MAX */
related_pin : "B0";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.095109, 0.116024, 0.159628, 0.246633, 0.419366, 0.759357, 1.44462", \
"0.096616, 0.117793, 0.162103, 0.248071, 0.419541, 0.760282, 1.44998", \
"0.100882, 0.122106, 0.166145, 0.252292, 0.425936, 0.765309, 1.45089", \
"0.108561, 0.129427, 0.173813, 0.26001, 0.43233, 0.773248, 1.46197", \
"0.123606, 0.14558, 0.189134, 0.27532, 0.448506, 0.79065, 1.48194", \
"0.144712, 0.170619, 0.22124, 0.309096, 0.48036, 0.818893, 1.50324", \
"0.184214, 0.214623, 0.272163, 0.374234, 0.552907, 0.886686, 1.57206");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.085796, 0.115491, 0.178252, 0.303741, 0.549538, 1.04796, 2.05268", \
"0.085926, 0.115489, 0.178605, 0.303809, 0.555186, 1.04883, 2.04017", \
"0.086538, 0.115936, 0.178555, 0.303786, 0.550234, 1.05019, 2.0539", \
"0.086597, 0.115357, 0.178642, 0.302901, 0.554188, 1.05008, 2.05361", \
"0.097525, 0.123033, 0.181185, 0.302776, 0.549111, 1.04208, 2.03624", \
"0.12614, 0.151667, 0.202587, 0.314546, 0.551199, 1.04803, 2.05299", \
"0.176573, 0.202681, 0.256447, 0.362997, 0.579839, 1.05052, 2.04794");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.028359, 0.034824, 0.047824, 0.073, 0.122505, 0.219796, 0.415699", \
"0.031227, 0.03763, 0.050591, 0.075749, 0.125279, 0.222657, 0.41855", \
"0.0373, 0.043482, 0.056321, 0.081438, 0.130956, 0.228029, 0.425431", \
"0.045264, 0.053457, 0.067986, 0.092851, 0.14206, 0.239168, 0.438555", \
"0.053909, 0.06476, 0.084287, 0.115399, 0.165372, 0.261558, 0.459349", \
"0.060567, 0.075049, 0.101022, 0.14351, 0.208683, 0.308491, 0.502548", \
"0.059491, 0.078551, 0.113485, 0.170772, 0.260105, 0.392787, 0.596204");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.030847, 0.039529, 0.058093, 0.094565, 0.168406, 0.313196, 0.609675", \
"0.031091, 0.039477, 0.058018, 0.094818, 0.168053, 0.314381, 0.613742", \
"0.035042, 0.042322, 0.058912, 0.094652, 0.168104, 0.315183, 0.610757", \
"0.045576, 0.051818, 0.066315, 0.097835, 0.168282, 0.315772, 0.610493", \
"0.067956, 0.075328, 0.088094, 0.115295, 0.176575, 0.31502, 0.614229", \
"0.105204, 0.114012, 0.132861, 0.162329, 0.213, 0.335055, 0.609287", \
"0.169469, 0.179787, 0.204519, 0.243947, 0.307951, 0.412565, 0.648153");
}
}
timing() { /* MIN */
related_pin : "C0";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.049665, 0.067624, 0.104536, 0.177952, 0.319844, 0.602245, 1.18089", \
"0.0512, 0.06902, 0.106564, 0.179302, 0.320301, 0.605694, 1.18289", \
"0.055461, 0.072874, 0.110126, 0.183491, 0.324378, 0.610118, 1.18846", \
"0.06592, 0.082576, 0.118683, 0.190802, 0.335505, 0.620305, 1.18437", \
"0.08088, 0.102437, 0.140815, 0.210352, 0.353629, 0.63422, 1.20665", \
"0.104895, 0.130145, 0.178298, 0.256967, 0.395285, 0.676873, 1.2457", \
"0.143945, 0.176197, 0.233762, 0.329946, 0.488453, 0.762229, 1.33057");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.056127, 0.080931, 0.13352, 0.238634, 0.443333, 0.861864, 1.70393", \
"0.05571, 0.080683, 0.133787, 0.237317, 0.445954, 0.861352, 1.69932", \
"0.055993, 0.080159, 0.133349, 0.238097, 0.446212, 0.861872, 1.70483", \
"0.063044, 0.084039, 0.131934, 0.237404, 0.443875, 0.862704, 1.6938", \
"0.081713, 0.101476, 0.143387, 0.239436, 0.445162, 0.860067, 1.69646", \
"0.106647, 0.130562, 0.178701, 0.261563, 0.449647, 0.85083, 1.69951", \
"0.152705, 0.184481, 0.237834, 0.331967, 0.500151, 0.869224, 1.69163");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.021984, 0.028019, 0.040548, 0.06511, 0.1139, 0.211325, 0.408823", \
"0.024928, 0.030963, 0.043395, 0.068038, 0.116828, 0.21398, 0.41114", \
"0.029553, 0.036827, 0.049258, 0.073886, 0.122736, 0.219812, 0.416424", \
"0.034107, 0.043761, 0.060089, 0.085264, 0.133917, 0.231128, 0.426062", \
"0.037567, 0.050429, 0.072784, 0.106392, 0.157045, 0.253449, 0.448147", \
"0.035543, 0.053095, 0.083861, 0.129933, 0.198704, 0.299967, 0.493355", \
"0.019614, 0.043823, 0.086112, 0.149055, 0.24558, 0.382072, 0.588528");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.016868, 0.025499, 0.044068, 0.080606, 0.155058, 0.302114, 0.594812", \
"0.018051, 0.025882, 0.043752, 0.080614, 0.155117, 0.300494, 0.598981", \
"0.023254, 0.030031, 0.045784, 0.08035, 0.154367, 0.304177, 0.595719", \
"0.033758, 0.040985, 0.05406, 0.085078, 0.15432, 0.303998, 0.597466", \
"0.050784, 0.059739, 0.076805, 0.102787, 0.165022, 0.304344, 0.598597", \
"0.080361, 0.094064, 0.115837, 0.150895, 0.201772, 0.321013, 0.599935", \
"0.137054, 0.154938, 0.184281, 0.225136, 0.29895, 0.401545, 0.645935");
}
}
timing() { /* MAX */
related_pin : "C0";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.071259, 0.092414, 0.137194, 0.224724, 0.393921, 0.736443, 1.42311", \
"0.072238, 0.093949, 0.13839, 0.225919, 0.396121, 0.738599, 1.4241", \
"0.075872, 0.096787, 0.141207, 0.229505, 0.399665, 0.741676, 1.43086", \
"0.085205, 0.105503, 0.148958, 0.237155, 0.40821, 0.74815, 1.43721", \
"0.105681, 0.127554, 0.16938, 0.254802, 0.425943, 0.767657, 1.45852", \
"0.135039, 0.161658, 0.211799, 0.297435, 0.465502, 0.803169, 1.49177", \
"0.18465, 0.216634, 0.275641, 0.379584, 0.55406, 0.882733, 1.56541");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.085659, 0.115478, 0.177434, 0.303398, 0.554134, 1.04327, 2.04734", \
"0.08541, 0.115413, 0.177391, 0.303786, 0.553072, 1.04123, 2.04987", \
"0.084487, 0.115017, 0.177753, 0.303468, 0.554183, 1.0467, 2.03525", \
"0.08716, 0.115093, 0.177525, 0.303031, 0.554737, 1.04936, 2.05291", \
"0.103201, 0.127125, 0.181678, 0.301355, 0.54921, 1.04436, 2.04209", \
"0.130213, 0.160472, 0.212227, 0.317998, 0.555885, 1.04164, 2.04514", \
"0.176485, 0.207968, 0.273629, 0.377892, 0.591259, 1.05047, 2.05088");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.022899, 0.028988, 0.041574, 0.066403, 0.115614, 0.212636, 0.411291", \
"0.025852, 0.031881, 0.044462, 0.069331, 0.118551, 0.215876, 0.413749", \
"0.030966, 0.038022, 0.050376, 0.075159, 0.124454, 0.221355, 0.419695", \
"0.036371, 0.045569, 0.061518, 0.086632, 0.135683, 0.232447, 0.431833", \
"0.040841, 0.053431, 0.075357, 0.108404, 0.158864, 0.25517, 0.452146", \
"0.041481, 0.05842, 0.087728, 0.133087, 0.200987, 0.301883, 0.495925", \
"0.031031, 0.053063, 0.092923, 0.15506, 0.248245, 0.38476, 0.590172");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.022751, 0.031516, 0.050067, 0.086572, 0.160202, 0.307398, 0.603499", \
"0.023965, 0.031984, 0.05009, 0.086671, 0.160201, 0.305303, 0.604601", \
"0.028939, 0.035569, 0.051484, 0.086737, 0.159757, 0.307916, 0.606276", \
"0.04084, 0.046759, 0.059709, 0.090977, 0.160279, 0.306525, 0.607519", \
"0.060523, 0.068182, 0.083417, 0.108781, 0.170038, 0.308832, 0.605085", \
"0.095874, 0.106257, 0.125107, 0.156505, 0.206286, 0.325972, 0.60475", \
"0.160372, 0.176938, 0.197929, 0.2339, 0.302439, 0.404429, 0.652096");
}
}
internal_power() {
related_pin : "A0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.045498, 0.045508, 0.04551, 0.045495, 0.045448, 0.045344, 0.045128", \
"0.04546, 0.045475, 0.045484, 0.045474, 0.045432, 0.045331, 0.045116", \
"0.045406, 0.045426, 0.045444, 0.045444, 0.045409, 0.045313, 0.045101", \
"0.045344, 0.045364, 0.045389, 0.0454, 0.045376, 0.045288, 0.045081", \
"0.045305, 0.045315, 0.045331, 0.045344, 0.045329, 0.045251, 0.045051", \
"0.045461, 0.04543, 0.045395, 0.045361, 0.045315, 0.045223, 0.045018", \
"0.046557, 0.04639, 0.046147, 0.045882, 0.045641, 0.045398, 0.045091");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"-0.009384, -0.009381, -0.009378, -0.009377, -0.009376, -0.009376,
-0.009378", \
"-0.009431, -0.009426, -0.009421, -0.009418, -0.009416, -0.009415,
-0.009417", \
"-0.009491, -0.009483, -0.009474, -0.009467, -0.009462, -0.00946,
-0.00946", \
"-0.009537, -0.009528, -0.009516, -0.009507, -0.0095, -0.009497,
-0.009497", \
"-0.009474, -0.009481, -0.00949, -0.009497, -0.009502, -0.009509,
-0.009515", \
"-0.008991, -0.009055, -0.009151, -0.009253, -0.009342, -0.009418,
-0.009469", \
"-0.00738, -0.007568, -0.007854, -0.008222, -0.008603, -0.008924,
-0.009175");
}
}
internal_power() {
related_pin : "A1";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.048517, 0.048558, 0.048586, 0.048586, 0.048539, 0.048419, 0.048158", \
"0.048465, 0.048516, 0.048559, 0.048571, 0.048534, 0.048419, 0.048162", \
"0.048386, 0.048442, 0.048502, 0.048534, 0.048512, 0.048408, 0.048156", \
"0.0483, 0.048349, 0.048415, 0.048467, 0.048467, 0.048379, 0.048139", \
"0.048253, 0.048276, 0.04832, 0.048372, 0.048391, 0.048324, 0.0481", \
"0.048447, 0.048402, 0.048367, 0.048351, 0.048335, 0.048263, 0.048046", \
"0.0497, 0.049459, 0.04915, 0.048853, 0.048609, 0.048395, 0.048082");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"-0.010619, -0.010616, -0.010612, -0.01061, -0.010608, -0.010608,
-0.01061", \
"-0.010666, -0.010661, -0.010656, -0.010652, -0.010649, -0.010649,
-0.01065", \
"-0.010717, -0.010712, -0.010705, -0.0107, -0.010697, -0.010696,
-0.010697", \
"-0.010718, -0.010718, -0.010716, -0.010723, -0.010727, -0.010728,
-0.010731", \
"-0.010535, -0.010566, -0.010605, -0.010645, -0.010683, -0.010716,
-0.010733", \
"-0.009817, -0.009918, -0.010068, -0.010258, -0.010404, -0.010544,
-0.010645", \
"-0.00786, -0.008069, -0.008409, -0.008849, -0.009372, -0.009868,
-0.010226");
}
}
internal_power() {
related_pin : "B0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.041028, 0.04107, 0.041107, 0.041117, 0.041087, 0.040997, 0.040794", \
"0.040972, 0.041019, 0.041067, 0.04109, 0.041071, 0.040986, 0.040788", \
"0.040903, 0.040949, 0.041004, 0.041044, 0.04104, 0.040966, 0.040773", \
"0.040851, 0.040882, 0.04093, 0.040977, 0.04099, 0.040933, 0.040752", \
"0.040891, 0.040889, 0.040898, 0.040919, 0.040929, 0.040883, 0.040715", \
"0.04136, 0.041252, 0.04114, 0.041045, 0.040969, 0.040874, 0.040685", \
"0.043147, 0.042802, 0.042352, 0.041907, 0.041525, 0.041182, 0.040821");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"-0.010322, -0.010307, -0.01029, -0.010278, -0.010271, -0.010267,
-0.010265", \
"-0.01035, -0.01033, -0.010308, -0.010292, -0.010281, -0.010274,
-0.010271", \
"-0.010369, -0.01035, -0.010325, -0.010304, -0.010291, -0.010283,
-0.010278", \
"-0.010321, -0.010314, -0.010305, -0.010293, -0.010283, -0.010279,
-0.010278", \
"-0.010027, -0.010058, -0.010106, -0.010149, -0.010191, -0.010223,
-0.010246", \
"-0.009097, -0.009219, -0.009388, -0.009586, -0.009773, -0.009944,
-0.01008", \
"-0.006797, -0.007027, -0.00741, -0.007925, -0.0085, -0.009023,
-0.009484");
}
}
internal_power() {
related_pin : "C0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"0.039168, 0.039233, 0.039295, 0.039325, 0.039308, 0.039224, 0.039025", \
"0.039108, 0.039171, 0.039243, 0.039289, 0.039286, 0.039211, 0.039016", \
"0.039068, 0.039115, 0.03918, 0.039237, 0.03925, 0.039188, 0.039003", \
"0.039118, 0.039124, 0.03915, 0.039188, 0.039206, 0.039156, 0.03898", \
"0.039415, 0.039345, 0.039279, 0.039233, 0.039199, 0.039132, 0.038954", \
"0.040254, 0.040065, 0.03984, 0.039606, 0.03941, 0.039219, 0.038977", \
"0.042405, 0.042011, 0.041464, 0.040877, 0.040297, 0.039788, 0.039279");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00158, 0.004108, 0.00948, 0.020224, 0.041712, 0.08436,
0.17064");
values ( \
"-0.010825, -0.010769, -0.010712, -0.010671, -0.010642, -0.010631,
-0.010625", \
"-0.01083, -0.010771, -0.010707, -0.010655, -0.010621, -0.010602,
-0.010594", \
"-0.010813, -0.010763, -0.010698, -0.01064, -0.010598, -0.010571,
-0.010555", \
"-0.010711, -0.010686, -0.010644, -0.010604, -0.010569, -0.010544,
-0.01053", \
"-0.010335, -0.010386, -0.01043, -0.010443, -0.010454, -0.010469,
-0.010484", \
"-0.009331, -0.009485, -0.009691, -0.009892, -0.010055, -0.010188,
-0.010309", \
"-0.006865, -0.007189, -0.007713, -0.008273, -0.00885, -0.009285,
-0.009725");
}
}
}
}

/* ----------------- *
* Design : AOI211X4 *
* ----------------- */
cell (AOI211X4) {
cell_footprint : AOI211;
area : 19.679400;
cell_leakage_power : 79.5748;
pin(A0) {
direction : input;
capacitance : 0.0108623;
rise_capacitance : 0.0108612;
fall_capacitance : 0.0108623;
}
pin(A1) {
direction : input;
capacitance : 0.010718;
rise_capacitance : 0.0107132;
fall_capacitance : 0.010718;
}
pin(B0) {
direction : input;
capacitance : 0.0103366;
rise_capacitance : 0.0103366;
fall_capacitance : 0.0103334;
rise_capacitance_range ( 0.0103362, 0.0103371) ;
fall_capacitance_range ( 0.0103322, 0.0103346) ;
}
pin(C0) {
direction : input;
capacitance : 0.0104888;
rise_capacitance : 0.0104888;
fall_capacitance : 0.0104819;
rise_capacitance_range ( 0.010488, 0.0104895) ;
fall_capacitance_range ( 0.01048, 0.0104837) ;
}
pin(Y) {
direction : output;
capacitance : 0;
rise_capacitance : 0;
fall_capacitance : 0;
max_capacitance : 0.200227;
function : "(!(((A0 A1)+B0)+C0))";
timing() {
related_pin : "A0";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.102849, 0.123618, 0.167125, 0.253323, 0.425964, 0.762931, 1.44777", \
"0.105363, 0.126137, 0.169947, 0.256399, 0.428969, 0.76447, 1.4528", \
"0.110784, 0.131735, 0.175113, 0.261606, 0.434197, 0.775685, 1.45387", \
"0.11924, 0.140171, 0.184199, 0.270659, 0.443647, 0.780587, 1.47173", \
"0.133495, 0.154629, 0.198351, 0.284752, 0.455705, 0.797738, 1.48826", \
"0.148904, 0.173553, 0.221973, 0.309297, 0.481165, 0.820085, 1.50953", \
"0.170493, 0.199029, 0.254918, 0.354233, 0.531647, 0.867821, 1.55484");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.085411, 0.11463, 0.177923, 0.303205, 0.553448, 1.04817, 2.05585", \
"0.085302, 0.114707, 0.177119, 0.302947, 0.552707, 1.04896, 2.04923", \
"0.085871, 0.115284, 0.17749, 0.301843, 0.549573, 1.04455, 2.05165", \
"0.085447, 0.114782, 0.177695, 0.303278, 0.55418, 1.05139, 2.0498", \
"0.091559, 0.119651, 0.179305, 0.303174, 0.552799, 1.04732, 2.0494", \
"0.113686, 0.14004, 0.194698, 0.309991, 0.553281, 1.04983, 2.05066", \
"0.162055, 0.186621, 0.24384, 0.350977, 0.572873, 1.05329, 2.05128");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.059406, 0.07252, 0.098728, 0.148838, 0.24326, 0.430844, 0.813594", \
"0.061299, 0.074413, 0.100666, 0.150306, 0.246397, 0.434935, 0.814983", \
"0.065161, 0.078315, 0.104492, 0.154347, 0.251092, 0.438787, 0.819213", \
"0.07321, 0.085997, 0.11199, 0.161737, 0.257119, 0.446667, 0.823077", \
"0.085619, 0.100181, 0.128027, 0.177585, 0.27501, 0.464201, 0.838453", \
"0.100146, 0.11826, 0.151436, 0.208319, 0.306709, 0.495649, 0.875819", \
"0.11576, 0.137724, 0.179209, 0.249328, 0.365796, 0.56127, 0.938629");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.064874, 0.081295, 0.118004, 0.18966, 0.329552, 0.61063, 1.166", \
"0.064672, 0.081506, 0.117932, 0.189068, 0.331868, 0.60445, 1.17554", \
"0.064551, 0.0813, 0.117837, 0.189665, 0.330447, 0.610078, 1.16459", \
"0.068326, 0.083931, 0.118396, 0.189317, 0.33089, 0.606533, 1.17624", \
"0.081514, 0.095176, 0.126108, 0.192939, 0.331629, 0.609933, 1.17582", \
"0.110703, 0.125847, 0.155954, 0.213741, 0.341933, 0.610923, 1.1698", \
"0.16578, 0.182366, 0.214448, 0.275752, 0.388609, 0.637791, 1.18172");
}
}
timing() {
related_pin : "A1";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.090546, 0.11176, 0.155225, 0.241786, 0.414629, 0.753556, 1.4398", \
"0.092641, 0.114337, 0.157944, 0.24511, 0.418057, 0.754818, 1.45052", \
"0.097673, 0.118845, 0.163533, 0.250242, 0.423546, 0.76564, 1.44544", \
"0.105792, 0.127298, 0.171666, 0.259149, 0.433018, 0.776188, 1.45439", \
"0.118738, 0.141169, 0.18506, 0.272596, 0.444547, 0.787143, 1.47851", \
"0.132053, 0.157815, 0.207149, 0.296583, 0.469022, 0.809329, 1.4997", \
"0.147244, 0.179524, 0.237774, 0.338883, 0.519128, 0.854078, 1.54353");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.071038, 0.100353, 0.161726, 0.287589, 0.536207, 1.03283, 2.03482", \
"0.071223, 0.100333, 0.16213, 0.287101, 0.535421, 1.03442, 2.03303", \
"0.071108, 0.100063, 0.162683, 0.287451, 0.537332, 1.02951, 2.03821", \
"0.071965, 0.100429, 0.161926, 0.287295, 0.533822, 1.03197, 2.03695", \
"0.079681, 0.106202, 0.164629, 0.286737, 0.538094, 1.03587, 2.04112", \
"0.102303, 0.128113, 0.18148, 0.296104, 0.534661, 1.03368, 2.03982", \
"0.154902, 0.178645, 0.231179, 0.33797, 0.562641, 1.03683, 2.03259");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.055531, 0.068527, 0.094663, 0.144134, 0.240792, 0.429514, 0.804116", \
"0.057311, 0.070404, 0.096583, 0.146581, 0.241096, 0.430417, 0.811485", \
"0.061487, 0.074448, 0.100634, 0.149657, 0.246873, 0.434501, 0.813996", \
"0.071341, 0.083697, 0.109179, 0.159032, 0.254811, 0.444095, 0.817911", \
"0.086857, 0.102024, 0.129156, 0.177427, 0.272252, 0.460192, 0.841652", \
"0.105766, 0.123699, 0.158827, 0.21677, 0.312303, 0.497492, 0.876416", \
"0.123666, 0.147398, 0.193096, 0.266269, 0.385953, 0.578579, 0.95129");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.065027, 0.081731, 0.117564, 0.188803, 0.329318, 0.61063, 1.17704", \
"0.064292, 0.082145, 0.118267, 0.189612, 0.330475, 0.604894, 1.17465", \
"0.064458, 0.081677, 0.118044, 0.187686, 0.330282, 0.61084, 1.16737", \
"0.070517, 0.085962, 0.119065, 0.189832, 0.327627, 0.61048, 1.17589", \
"0.090621, 0.101974, 0.132145, 0.195856, 0.331872, 0.608838, 1.17141", \
"0.128698, 0.145534, 0.174548, 0.228064, 0.348283, 0.610827, 1.17021", \
"0.197429, 0.208992, 0.246258, 0.312973, 0.419765, 0.647563, 1.17573");
}
}
timing() { /* MIN */
related_pin : "B0";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.065693, 0.083063, 0.119745, 0.191663, 0.335354, 0.616152, 1.19479", \
"0.067793, 0.085233, 0.122122, 0.194304, 0.336568, 0.622029, 1.19905", \
"0.072432, 0.089861, 0.126758, 0.198437, 0.342248, 0.627591, 1.20585", \
"0.080868, 0.098329, 0.134617, 0.206955, 0.352003, 0.637993, 1.21686", \
"0.092496, 0.113237, 0.151555, 0.223476, 0.366041, 0.65212, 1.2224", \
"0.109677, 0.134307, 0.180417, 0.259222, 0.401097, 0.683641, 1.26302", \
"0.141521, 0.170871, 0.224781, 0.317351, 0.475961, 0.754778, 1.32255");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.056475, 0.080847, 0.131852, 0.236695, 0.443068, 0.857113, 1.70651", \
"0.056357, 0.08077, 0.132384, 0.236422, 0.445343, 0.863237, 1.70673", \
"0.056729, 0.080794, 0.132458, 0.237672, 0.447408, 0.862786, 1.70589", \
"0.059835, 0.081929, 0.132634, 0.237321, 0.442961, 0.862688, 1.70873", \
"0.074243, 0.093933, 0.139568, 0.236294, 0.44606, 0.862322, 1.69861", \
"0.101046, 0.122911, 0.165881, 0.256528, 0.445697, 0.860766, 1.69935", \
"0.152081, 0.174042, 0.221152, 0.308623, 0.485535, 0.867261, 1.6981");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.026892, 0.033287, 0.046301, 0.071214, 0.120995, 0.219259, 0.416102", \
"0.02974, 0.036041, 0.049016, 0.074123, 0.123299, 0.222199, 0.418523", \
"0.035509, 0.041905, 0.0547, 0.07962, 0.129319, 0.226687, 0.424446", \
"0.042756, 0.051136, 0.065928, 0.090938, 0.140332, 0.236805, 0.435222", \
"0.049734, 0.061311, 0.081536, 0.11311, 0.163132, 0.260555, 0.457585", \
"0.053672, 0.069702, 0.096828, 0.140323, 0.206715, 0.306471, 0.501318", \
"0.047319, 0.068003, 0.105683, 0.165799, 0.255887, 0.390251, 0.595892");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.023953, 0.032829, 0.051995, 0.089674, 0.162798, 0.309686, 0.605588", \
"0.024738, 0.032991, 0.051977, 0.088737, 0.162973, 0.310407, 0.606158", \
"0.02842, 0.035744, 0.052613, 0.089379, 0.162036, 0.312051, 0.606983", \
"0.03848, 0.045623, 0.060144, 0.09202, 0.162449, 0.311891, 0.609757", \
"0.057811, 0.066894, 0.082057, 0.109588, 0.170742, 0.31195, 0.606541", \
"0.091536, 0.102972, 0.122296, 0.156232, 0.208247, 0.330431, 0.608394", \
"0.147909, 0.163028, 0.190644, 0.235075, 0.300317, 0.408548, 0.642666");
}
}
timing() { /* MAX */
related_pin : "B0";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.093359, 0.114599, 0.158591, 0.24474, 0.416534, 0.75618, 1.44644", \
"0.095223, 0.116307, 0.160564, 0.247108, 0.420386, 0.757577, 1.44678", \
"0.09897, 0.120107, 0.164548, 0.251798, 0.424731, 0.760847, 1.44644", \
"0.106949, 0.127863, 0.172123, 0.258762, 0.430995, 0.772094, 1.45744", \
"0.12185, 0.143689, 0.187387, 0.274087, 0.445559, 0.78704, 1.47773", \
"0.142867, 0.168955, 0.219223, 0.307661, 0.478751, 0.819876, 1.50576", \
"0.182741, 0.212764, 0.270529, 0.372863, 0.551721, 0.886143, 1.57243");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.085215, 0.114429, 0.177856, 0.303369, 0.554693, 1.04927, 2.03778", \
"0.085211, 0.114697, 0.177717, 0.303277, 0.553877, 1.05173, 2.04984", \
"0.085198, 0.114709, 0.176926, 0.302797, 0.552455, 1.04937, 2.05183", \
"0.086237, 0.114858, 0.177868, 0.3031, 0.554747, 1.0521, 2.05618", \
"0.097169, 0.122717, 0.181626, 0.30343, 0.5527, 1.04933, 2.05199", \
"0.12587, 0.151486, 0.202132, 0.31524, 0.553139, 1.0494, 2.0559", \
"0.175753, 0.201616, 0.256137, 0.362444, 0.580326, 1.0523, 2.04802");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.028145, 0.034583, 0.047531, 0.072734, 0.122295, 0.219622, 0.41646", \
"0.031042, 0.037393, 0.050344, 0.075511, 0.125128, 0.222306, 0.418977", \
"0.037054, 0.043227, 0.056075, 0.081177, 0.130765, 0.228081, 0.427671", \
"0.044919, 0.053176, 0.067722, 0.092625, 0.141869, 0.238972, 0.439126", \
"0.053466, 0.064303, 0.083912, 0.115101, 0.165199, 0.261645, 0.460385", \
"0.059875, 0.074436, 0.100509, 0.14316, 0.208424, 0.308475, 0.503328", \
"0.058497, 0.077672, 0.112727, 0.170384, 0.259462, 0.392776, 0.59679");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.030285, 0.039076, 0.057539, 0.094328, 0.167985, 0.31336, 0.609932", \
"0.030938, 0.039063, 0.0576, 0.094534, 0.168393, 0.314468, 0.610132", \
"0.034619, 0.04181, 0.058594, 0.094284, 0.167968, 0.315696, 0.609963", \
"0.045155, 0.051572, 0.065702, 0.097604, 0.168483, 0.313767, 0.610922", \
"0.067474, 0.074919, 0.087807, 0.115107, 0.176333, 0.315587, 0.615794", \
"0.10442, 0.113261, 0.132454, 0.162084, 0.213523, 0.334825, 0.616027", \
"0.168566, 0.179049, 0.204202, 0.243899, 0.309112, 0.412782, 0.652055");
}
}
timing() { /* MIN */
related_pin : "C0";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.04996, 0.067847, 0.105028, 0.178612, 0.320903, 0.601665, 1.17996", \
"0.051569, 0.069241, 0.107028, 0.179479, 0.321369, 0.606666, 1.1786", \
"0.055732, 0.073302, 0.10999, 0.183619, 0.325505, 0.611216, 1.19102", \
"0.066214, 0.082887, 0.11907, 0.190493, 0.334026, 0.617735, 1.19551", \
"0.08113, 0.102676, 0.141115, 0.210736, 0.353954, 0.63951, 1.21232", \
"0.10511, 0.130397, 0.178597, 0.257368, 0.395808, 0.677507, 1.24432", \
"0.144089, 0.176442, 0.23406, 0.330327, 0.488885, 0.762986, 1.33115");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.05605, 0.080928, 0.133525, 0.239005, 0.442528, 0.860982, 1.70445", \
"0.055744, 0.080765, 0.133409, 0.236178, 0.447024, 0.858839, 1.68317", \
"0.055954, 0.080013, 0.133117, 0.236774, 0.447112, 0.860628, 1.70644", \
"0.063055, 0.083995, 0.131982, 0.237434, 0.446803, 0.860591, 1.70615", \
"0.081805, 0.101319, 0.143929, 0.239574, 0.446153, 0.856672, 1.68883", \
"0.106751, 0.130778, 0.178827, 0.261899, 0.449805, 0.851547, 1.6979", \
"0.152846, 0.184411, 0.237905, 0.331997, 0.500504, 0.868597, 1.69352");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.022053, 0.0282, 0.040691, 0.065332, 0.114293, 0.212025, 0.409945", \
"0.024996, 0.031022, 0.043534, 0.06823, 0.117477, 0.215798, 0.412749", \
"0.029685, 0.036894, 0.04939, 0.074081, 0.123027, 0.220244, 0.418301", \
"0.034197, 0.043852, 0.060205, 0.085462, 0.134288, 0.23172, 0.428362", \
"0.037708, 0.050549, 0.072891, 0.10658, 0.15738, 0.254145, 0.449911", \
"0.03566, 0.053192, 0.084093, 0.130278, 0.199057, 0.300525, 0.494549", \
"0.019789, 0.044034, 0.086423, 0.149413, 0.245997, 0.382795, 0.589622");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.016944, 0.025728, 0.04417, 0.080983, 0.155202, 0.303048, 0.599049", \
"0.018213, 0.026113, 0.043912, 0.081669, 0.156587, 0.301837, 0.601435", \
"0.023217, 0.029865, 0.046043, 0.080746, 0.154318, 0.305021, 0.599671", \
"0.033846, 0.040742, 0.054194, 0.085378, 0.154778, 0.304955, 0.601039", \
"0.050945, 0.059841, 0.076715, 0.103019, 0.16568, 0.305464, 0.602269", \
"0.080245, 0.094423, 0.116164, 0.151045, 0.202033, 0.321761, 0.60202", \
"0.137492, 0.154787, 0.184404, 0.225418, 0.299306, 0.402522, 0.645623");
}
}
timing() { /* MAX */
related_pin : "C0";
timing_sense : negative_unate;
cell_rise(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.071416, 0.092462, 0.137335, 0.22497, 0.394666, 0.736802, 1.42531", \
"0.07235, 0.094064, 0.138635, 0.225545, 0.397337, 0.739809, 1.42271", \
"0.075842, 0.096997, 0.141394, 0.22939, 0.400164, 0.743026, 1.43172", \
"0.085158, 0.105506, 0.149001, 0.235655, 0.408615, 0.748457, 1.43807", \
"0.105496, 0.127466, 0.169388, 0.254791, 0.425674, 0.768119, 1.45939", \
"0.134655, 0.161378, 0.211915, 0.297527, 0.465797, 0.80381, 1.4931", \
"0.184077, 0.216178, 0.275355, 0.379522, 0.554287, 0.882655, 1.56677");
}
rise_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.085031, 0.114754, 0.176638, 0.302894, 0.554291, 1.04617, 2.04524", \
"0.084615, 0.114684, 0.176713, 0.303542, 0.550717, 1.04473, 2.0545", \
"0.083921, 0.11441, 0.177072, 0.303364, 0.552682, 1.0435, 2.04595", \
"0.086636, 0.114444, 0.176972, 0.302673, 0.554576, 1.05013, 2.05543", \
"0.102913, 0.126678, 0.181033, 0.30099, 0.550366, 1.04187, 2.04025", \
"0.129868, 0.160135, 0.21052, 0.318134, 0.555767, 1.04148, 2.04651", \
"0.176155, 0.206842, 0.273356, 0.377681, 0.591388, 1.0525, 2.05196");
}
cell_fall(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.02292, 0.02909, 0.04172, 0.066593, 0.116078, 0.213256, 0.41258", \
"0.025917, 0.031991, 0.0446, 0.069535, 0.118987, 0.216478, 0.415028", \
"0.031058, 0.038051, 0.050513, 0.075345, 0.124756, 0.221873, 0.421001", \
"0.036386, 0.045709, 0.061628, 0.086817, 0.135952, 0.232986, 0.433035", \
"0.040945, 0.053568, 0.075453, 0.108551, 0.159135, 0.255742, 0.453228", \
"0.041517, 0.058477, 0.087825, 0.133242, 0.201418, 0.302489, 0.497103", \
"0.030907, 0.053019, 0.09303, 0.155202, 0.248529, 0.385344, 0.590501");
}
fall_transition(delay_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.022603, 0.031381, 0.049957, 0.086529, 0.16019, 0.307852, 0.606222", \
"0.023715, 0.031674, 0.049983, 0.086652, 0.160553, 0.30644, 0.606169", \
"0.028676, 0.035348, 0.051435, 0.086649, 0.16006, 0.305561, 0.608236", \
"0.040698, 0.046401, 0.059597, 0.090852, 0.160568, 0.307246, 0.608068", \
"0.060266, 0.068067, 0.08318, 0.10873, 0.170268, 0.309047, 0.60997", \
"0.095067, 0.106214, 0.124981, 0.156094, 0.206372, 0.329086, 0.612465", \
"0.159453, 0.176195, 0.196936, 0.233892, 0.302229, 0.405133, 0.647412");
}
}
internal_power() {
related_pin : "A0";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.090959, 0.090978, 0.090984, 0.090954, 0.090861, 0.090653, 0.090219", \
"0.090883, 0.090913, 0.090932, 0.090913, 0.090828, 0.090625, 0.090196", \
"0.090774, 0.090815, 0.090851, 0.090853, 0.090783, 0.090591, 0.090166", \
"0.090651, 0.090693, 0.090742, 0.090766, 0.090718, 0.090542, 0.090127", \
"0.090576, 0.090596, 0.090629, 0.090654, 0.090624, 0.090467, 0.090067", \
"0.090898, 0.090835, 0.090762, 0.090689, 0.090598, 0.090413, 0.090002", \
"0.093122, 0.092783, 0.092296, 0.091757, 0.091266, 0.09077, 0.090151");
}
fall_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"-0.018888, -0.018884, -0.018878, -0.018874, -0.018873, -0.018874,
-0.018877", \
"-0.018984, -0.018975, -0.018965, -0.018958, -0.018954, -0.018953,
-0.018956", \
"-0.019104, -0.019089, -0.01907, -0.019055, -0.019046, -0.019042,
-0.019042", \
"-0.019191, -0.019176, -0.019157, -0.019137, -0.019122, -0.019116,
-0.019115", \
"-0.019061, -0.019078, -0.019098, -0.019113, -0.019124, -0.019139,
-0.019152", \
"-0.018088, -0.018216, -0.018411, -0.018619, -0.0188, -0.018954,
-0.019058", \
"-0.014846, -0.015224, -0.015803, -0.016545, -0.017316, -0.017969,
-0.018468");
}
}
internal_power() {
related_pin : "A1";
rise_power(energy_template_7x7) {
index_1 ("0.0168, 0.0264, 0.0456, 0.0828, 0.1584, 0.3096, 0.612");
index_2 ("0.00316, 0.008216, 0.01896, 0.040448, 0.083424, 0.16872,
0.34128");
values ( \
"0.097115, 0.097196, 0.097253, 0.097252, 0.097158, 0.096914, 0.096392", \
"0.097009, 0.097112, 0.097198, 0.097223, 0.097148, 0.096918, 0.096404", \
"0.096851, 0.096964, 0.097085, 0.097149, 0.097104, 0.096895, 0.096392", \
"0.096681, 0.096776, 0.09691, 0.097015, 0.097016, 0.096839, 0.096358", \
"0.096587, 0.096633, 0.09672, 0.096824, 0.096861, 0.096728, 0.096279", \
"0.096983, 0.09689, 0.09682, 0.096782, 0.096751, 0.096608, 0.096171", \
"0.099516, 0.099024,

lib.txt

Object 2

Zoomed into item.

You might also like