You are on page 1of 4

INTERNATIONAL JOURNAL OF RESEARCH IN TECHNOLOGY (IJRT) ISSN No.

2394-9007
Vol. V, No. I, February 2018 www.ijrtonline.org

Review on Dual Supply based Low Power 10T


SRAM Cell
Vineet Singh, Mukul Shrivastava

Abstract— The power consumption in commercial processors and The total power in the system is comprised of two
application specific integrated circuits increases with decreasing
components, active power and idle power. Active power is
technology nodes. Power saving techniques has become a first class design
point for current and future VLSI systems. These systems employ large consumed when the processor chip is busy. This power is used
on-chip SRAM memories. Unfortunately, state of the art techniques like while processing data. Since the usage patterns of commercial
power-gating can only be applied to logic as these would destroy the electronic appliances imply that a device need not be busy all
contents of the memory if applied to a SRAM system. Fortunately, the time, there are gaps of idle periods. Transistors consume
previous works have noted large temporal and spatial locality for data
patterns in commercial processors as well as application specific ICs that idle power (leakage power) during all times. At sub-
work on images, audio and video data. This thesis presents a novel nanometer nodes, the leakage power of transistors increases
column based Energy Compression technique that saves SRAM power by and their threshold voltage (Vth) is found to reduce.
selectively turning off cells based on a data pattern. This technique is A lower Vth results in more leaky transistors as the
applied to study the power savings in application specific integrated
circuit SRAM memories and can also be applied for commercial pressure differential of Drain-Source voltages induces a small
processors. The thesis also evaluates the effects of processing images sub-threshold current even when the device is not active. This
before storage and data cluster patterns for optimizing power savings. results in increased idle power, thus increasing the overall
Keywords: SRAM, Dual Power Supply, Low Power. system power even when the processor or application specific
IC is not active. SRAM consumes significant area of the on-
I. INTRODUCTION chip real estate. For video processing, this number has
SRAM (Static Random Access Memories) is used in increased as the number of processing engines has increased.
processing image frame buffers [1, 2, 3]. Videos have multiple Since each processing engine can operate on independent
frames that need to be stored. SRAM provide a low latency portion of memory, an increase in number of processor engines
solution for comparing master video frames with subsequent require an increase in SRAM size for better throughput [2].
frames. SRAM have low access time and can service a request The size of SRAM impact system performance and most
for data elements quicker than DRAM (Dynamic Random processing engines are allocated significant on chip area for
Access Memories). They are fabricated on-chip, thus allowing SRAM system. Leakage power is determined by the number of
them to use the same technology process in their manufacture SRAM cells. As the size of SRAM system in commercial
as that of the core and other on-chip logic. SRAM is a volatile appliances increases leakage power for SRAM is found to
memory element, but does not require refreshing like that of dominate the total power consumption. Commercial processors
DRAM. As there is high amount of temporal locality between and ASICs reduce operating power using techniques like
subsequent video frames, usually the differential between the power gating and frequency scaling for cores or processing
master frame and adjacent frames is stored on disk. The engines and other on-chip logic circuits during idle modes [5].
master frame is stored in a SRAM system that predominantly Power gating drives the supply nodes (VDD/GND) into high
only reads this frame [1, 2]. impedance by using power gating transistors. This results in
SRAM memory system for image and video processing in reduced power into the logic circuitry but also results in tri-
application specific integrated circuits (ASICs) consume upto stating this logic circuitry. Memory elements store data and
81% of the power as standby/leakage power [4]. The power validity of data cannot be compromised. Most large memory
dissipation of the chip is dictated by the amount of power elements like L3 (Level Three) caches have additional error
consumed by unit area. correcting circuits to ensure data fidelity. Since for memories,
one cannot afford to have a loss in data reliability as it results
in incorrect execution or crashing of applications that are run
Manuscript received on February, 2018.
by the cores or incorrect processing of video frames and
Vineet Singh, Research Scholar, Department of Electronics & images by the processing engines. Thus we cannot use
Communication Engineering, Lakshmi Narain College of Technology,
techniques like power gating for SRAM system, as tri-stating
Bhopal, M.P., India.
these elements result in data loss. Studies show that processors
Prof. Mukul Shrivastava, Asst. professor, Department of Electronics & and image, audio or video processing engines operate on data
Communication Engineering, Lakshmi Narain College of Technology,
Bhopal, M.P., India.
that is biased towards a particular data value zero or one [6, 7,

Impact Factor: 4.012 8


Published under
Asian Research & Training Publication
ISO 9001:2015 Certified
INTERNATIONAL JOURNAL OF RESEARCH IN TECHNOLOGY (IJRT) ISSN No. 2394-9007
Vol. V, No. I, February 2018 www.ijrtonline.org
8]. This results in SRAM memories having larger number of transistor increases then the value of read static noise margin
data cells that these biased values. Thus architecting memories decreases whereas cell current increases.
that have biased properties for storing biased values has been T. Heijmen et al. [4], to reduce the leakage current
suggested in some previous literature. present in the access transistors, a 4T-SRAM is proposed that
uses negative word-line scheme which gives lot of
II. LITERATURE REVIEW improvement in terms of power dissipation. As the memory
Amit Chhabra et al. [1], design of a low-energy power-ON size increases, then the leakage currents also increases which
reset (POR) circuit is proposed to reduce the energy consumed results more power dissipation even in standby mode. High
by the stable supply of the dual supply static random access speed and low power memory design is very important and
memory (SRAM), as the other supply is ramping up. The this can be achieved by varying the threshold voltage values of
proposed POR circuit, when embedded inside dual supply access transistors, which are controlled by the word lines. Low
SRAM, removes its ramp-up constraints related to voltage threshold access transistors are used to speed up the read and
sequencing and pin states. The circuit consumes negligible write operation of memory cell [26], whereas high threshold
energy during ramp-up, does not consume dynamic power access transistors are used to reduce the leakage current
during operations, and includes hysteresis to improve noise present in the memory cell. Unlike conventional 6T SRAM,
immunity against voltage fluctuations on the power supply. the 4T SRAM does not contain any load and 4T SRAM
The POR circuit, designed in the 40-nm CMOS technology occupies 35% less area compared to conventional SRAM and
within 10.6-μm2 area, enabled 27 × reductions in the energy it consumes 29% less power dissipation compared to
consumed by the SRAM array supply during periphery power- conventional 6T SRAM Memory cell. It is observed that
up in typical conditions. leakage current in NMOS transistors is greater than the
A. Wang et al. [2], in ultra-low power application design leakage current in PMOS transistors for a particular reverse
of energy efficient SRAM structures is very important. In voltage of same magnitude. Also we can notice that the p type
traditional, the SRAM array contains more number of rows latch n type access 4T SRAM saves more power compared to
than the number of columns, but here at a particular lower n type latch p type access 4T SRAM when negative word line
supply voltage, better energy efficiency can be achieved with scheme used .
a wider SRAM structure where the number of rows is less R. C. Baumann et al. [5], the contribution of leakage
than the number of columns. Here we can get an energy current in power dissipation is increases with the voltage
improvement of 38% for a 64Kb and 10% for 8kb SRAM scaling in case of SRAM based memories. By increasing the
array with same power supply voltage. Minimum energy can reverse bias voltage at body we can increase threshold voltage
be consumed by using a power supply voltage whose value is which results reduction in sub threshold current but speed of
equal or lower than the threshold voltage value. But the lower memory is reduces because of the above reverse biasing.
value of power supply voltage affects the stability of the Hence after reverse biasing at body, the subsequent delay rises
design, i.e. noise margin is reduced. It can be observed that and less number of cells violates the original delay of the
minimize energy consumption is achieved in non-square type SRAM memory block and these cells are replaced by spare
memory array structures, whereas minimum access time is SRAM columns and rows. In the sub 90 nm technologies
achieved in square type memory array structure. Both because of the within-die variations, identical memory cells
dynamic and static energy of word lines and bit lines are also shows different delays in a memory cell array [27]. From
affected by power supply voltage, i.e. energy consumption the Empirical formulae based studies it is known that 3.54%
decreases with decreasing the supply voltage. The limitations of random variation in delay is observed because of within-die
of the 6T SRAM cell are eliminated by 8T cell which uses variation in case of 90 nm field programmable gate arrays
separate port for reading operation. (FPGAs). These variations can be modeled by using Gaussian
S. Fisher et al. [3], compared to conventional 6T SRAM distribution whose results are matched to the results of
the proposed read static noise margin free 7T-SRAM has less statistical based studies. Cache memory leakages can be
area which is 23% smaller than conventional SRAM [25]. To reduced by switch off the unused parts and put them in a
reduce the power dissipation in the memory design, Dynamic sleepy mode which is having lower energy and this can be
Voltage Scaling (DVS) technique is used. With the lower done by using two different power supply voltages. By using
supply voltage, the delays in SRAM increases at a higher rate dual threshold voltages and dual oxide thickness values we
compared to the delays of CMOS logic circuits and during can minimize the leakages present in the SRAM Based cache
read operation, lower supply voltage results loss of data stored memories.
in the memory cell [25]. To find the effect caused by a
transistor mismatch in the memory cell, Monte Carlo III. PROBLEM FORMULATION
Simulations are performed with a standard deviation of (1/10) The main purpose of this thesis is to reduce power dissipation
of threshold voltage. The values of Read Static Noise Margin during the Write operation in CMOS SRAM cell. Other factor
and cell current are highly depending on the driving capability like- cell area, switching delay, power dissipation and how
of NMOS access Transistors. As driving capability of access many transistors are used in the implementation of SRAM are
also optimizing. Cell Area: Cell area is reduced by a proper

Impact Factor: 4.012 9


Published under
Asian Research & Training Publication
ISO 9001:2015 Certified
INTERNATIONAL JOURNAL OF RESEARCH IN TECHNOLOGY (IJRT) ISSN No. 2394-9007
Vol. V, No. I, February 2018 www.ijrtonline.org
selection of the value of width and length. Switching Delay: In the proposed SRAM cell, the added pMOS and nMOS
Switching delay affect the speed of the CMOS gate is limited transistors (M7, M8) become OFF during write operation and
by the time taken to charge and discharge the load transistors (M9, M10) are used to control dynamic power
capacitance. Switching delay is reduced by a proper selection supply which is controlled by WL/WLB. In proposed, before
of the value of rise time and fall time. read and write operation WL/WLB is used to control two kind
of supply VddL and VddH for right sided inverter. Supply
IV. PROPOSED METHODOLOGY VddL connected to right side inverter during standby mode,
In this scheme, in proposed cell, M5 is write access transistor while VddH connected to right side inverter during read or
and M6 is for read access. Having individual access transistors write. The flow chart of proposed design methodology shown
in our cell, it is possible to increase size of write access in figure 2. Here also, M3/M4 is used as pull up transistor and
transistor to improve write-ability and choose minimum size M1/M2 is used as pull-down transistor.
for read access transistor to enhance read stability, whereas in
6T there is a conflict while sizing the access transistors. V. CONCLUSION
Write access time, read access time and power dissipation are
the major issues in high speed SRAM cells. In this paper, a
novel low power 10T dual VDD CMOS based SRAM will
have been proposed, which dissipates less write dynamic
power during write operation due to stack transistor M9 and
M10, Which is dynamically controlled by WL/WLB. Low
static power and low dynamic power obtained by proposed
design using two supplies VDDH and VDDL. VDDL provide
the supply to inverter during standby mode, while VDDH
provide the supply to inverter during read/write mode. The
simulation shows that the proposed SRAM cell dissipates
lesser dynamic power during write; has better write access
time; dissipates lesser current leakage during the mode
transitions than the other existing SRAM cells. This cell
Fig. 1: Dual Supply Based Low Power 10T SRAM Cell occupies similar area like WRE8Tbut shows better
performance in term of write access time and writes power.
REFERENCES
[1] Rakesh Biswas, Siddarth Reddy Malreddy and Swapna
Banerjee, “Area and Energy-Efficient Complementary Dual-
Modular Redundancy Dynamic Memory for Space
Applications”, IEEE Transactions on Very Large Scale
Integration (VLSI) Systems, Volume:25, Issue: 2, Feb.2017.
[2] E. Ibe, H. Taniguchi, Y. Yahagi, K. Shimbo, and T. Toba,
“Impact of scaling on neutron-induced soft error in SRAMs
from a 250 nm to a 22 nm design rule,” IEEE Trans. Electron
Devices, vol. 57, no. 7, pp. 1527–1538, Jul. 2010.
[3] L. W. Massengill, B. L. Bhuva, W. T. Holman, M. L. Alles, and
T. D. Loveless, “Technology scaling and soft error reliability,”
in Proc. IEEE Int. Rel. Phys. Symp. (IRPS), Apr. 2012, pp.
3C.1.1–3C.1.7.
[4] R. C. Baumann, “Soft errors in advanced computer systems,”
IEEE Des. Test Comput., vol. 22, no. 3, pp. 258–266, May/Jun.
2005.
[5] S. Lutkemeier, T. Jungeblut, H. K. O. Berge, S. Aunet, M.
Porrmann, and U. Ruckert, “A 65 nm 32 b sub-threshold
processor with 9T multi-Vt SRAM and adaptive supply voltage
control,” IEEE J. Solid- State Circuits, vol. 48, no. 1, pp. 8–19,
Jan. 2013.
[6] L. Atias, A. Teman, and A. Fish, “Single event upset mitigation
in low power SRAM design,” in Proc. IEEE Conv. Elect.
Electron. Eng. Israel (IEEEI), Dec. 2014, pp. 1–5.
[7] M. A. Bajura et al., “Models and algorithmic limits for an ECC-
based approach to hardening sub-100-nm SRAMs,” IEEE Trans.
Fig. 2: Design Flow
Nucl. Sci., vol. 54, no. 4, pp. 935–945, Aug. 2007.

Impact Factor: 4.012 10


Published under
Asian Research & Training Publication
ISO 9001:2015 Certified
INTERNATIONAL JOURNAL OF RESEARCH IN TECHNOLOGY (IJRT) ISSN No. 2394-9007
Vol. V, No. I, February 2018 www.ijrtonline.org
[8] J. Maiz, S. Hareland, K. Zhang, and P. Armstrong, [12] T. Calin, M. Nicolaidis, and R. Velazco, “Upset hardened
“Characterization of multi-bit soft error events in advanced memory design for submicron CMOS technology,” IEEE Trans.
SRAMs,” in IEDM Tech. Dig., 2003, pp. 21.4.1–21.4.4. Nucl. Sci., vol. 43, no. 6, pp. 2874–2878, Dec. 1996.
[9] J. Teifel, “Self-voting dual-modular-redundancy circuits for [13] S. M. Jahinuzzaman, D. J. Rennie, and M. Sachdev, “A soft
singleevent- transient mitigation,” IEEE Trans. Nucl. Sci., vol. error tolerant 10T SRAM bit-cell with differential read
55, no. 6, pp. 3435–3439, Dec. 2008. capability,” IEEE Trans. Nucl. Sci., vol. 56, no. 6, pp. 3768–
[10] L. Sterpone and M. Violante, “Analysis of the robustness of the 3773, Dec. 2009.
TMR architecture in SRAM-based FPGAs,” IEEE Trans. Nucl. [14] O. Chertkow, A. Pescovsky, L. Atias, and A. Fish, “A novel low
Sci., vol. 52, no. 5, pp. 1545–1549, Oct. 2005. power bitcell design featuring inherent SEU prevention and self-
[11] K. C. Mohr and L. T. Clark, “Delay and area efficient first-level correction capabilities,” J. Low Power Electron. Appl., vol. 5,
cache soft error detection and correction,” in Proc. Int. Conf. no. 2, pp. 130–150, 2015.
Comput. Design (ICCD), Oct. 2006, pp. 88–92.

Impact Factor: 4.012 11


Published under
Asian Research & Training Publication
ISO 9001:2015 Certified

You might also like