You are on page 1of 5

International Journal of Advanced and Innovative Research (2278-7844) /

Volume 7 Issue 2

DDR-SDRAM Controller ASIC


Design for High Speed Interfacing
Zeba khan, GGITS, Jabalpur
Dr. Vinod Kapse, GGITS, Jabalpur

Abstract: The goal of this work is to The first devices were supporting the clock
develop DRAM controller between Main frequencies of 66 to 100 MHz but more
Processor and the main memory for fast recent 64 Mb.
interfacing of the data and this is achieved II-PROPOSED DESIGN
with the help of a new Super Harvard type of The main function of DDR SDRAM is to
interfacing parallel interfacing for the data, double the bandwidth of the memory by
program data and instructions, also the transferring data (either read operation or
proposed work used four stage pipelining to write operation) twice per cycle on both the
achieve high throughput and high speed falling and raising edges of the clock signal.
interfacing. Vertex Corse grain FPGA has The designed DDR Controller generates the
been used for the design of the work hence control signals as synchronous command
the area can be minimized also the mix interface between the DRAM Memory and
modeling architecture is been used. The other modules. Fast interfacing of the data
architecture is designed in Xilinx EDA using and this is achieved with the help of a new
Verilog HDL and verification of the design Super Harvard type of interfacing parallel
is been done of ISE. The result in terms of interfacing for the data, program data and
speed and area are found better. instructions, also the proposed work used
Keywords: SDRAM, DDR, FPGA, RTS four stage pipelining to achieve high
throughput and high speed interfacing.
I-INTRODUCTION Vertex Corse grain FPGA has been used for
The SDRAM has a synchronous interface the design of the work hence the area can be
and is operated by a predefined set of minimized also the mix modeling
commands. Because only the bits of the architecture is been used. The architecture is
active row are directly accessible, In general designed in Xilinx EDA using Verilog HDL
case the read/write operations involve a and verification of the design is been done of
sequence of SDRAM com- minds, also ISE. The result in terms of speed and area are
called transactions. Three command signals found better.
(RAS#, CAS# and WE#) allow representing Choice of FPGA: Various FPGA’s are
8 dif- ferment commands. available now a day’s which give us good
Synchronous Dynamic Random-Access hands on research work in the field of ASIC
Memory, This is the first SDRAM standard designing. And as we knew a hard core
and is now referred as Single Data Rate ASIC will gives us a better throughput over
(SDR) to distinguish it from later Double the software based library routine if our
Data Rate (DDR) standards. Single application is specific. If our application is
command and/or data word is transferred in defined then there is nothing to trade off, for
one clock cycle. Unfortunately the standards better performance FPGA based IP
document does not seem to be publicly (Intellectual Property) is batter choice.
accessible, so a datasheets of specific chips Hybrid FPGA is an FPGA with a Hybrid
were used when preparing this section. The Interconnect Structure
memory device might have 2 or 4 banks.

46
©2018 IJAIR. All Rights Reserved
http://ijairjournal.com
International Journal of Advanced and Innovative Research (2278-7844) /
Volume 7 Issue 2

Figure 2: Elaborated Architecture of


Proposed Processor Module
Figure 1: Block diagram of proposed
controller architecture Proposed concept to have an isolated
memory for the immediate data in Super
Figure 1 shown below is the outlook
Harvard architecture with three level
architecture of proposed work; here it can
SDRAM memory. With the proposed
easily observe that there are total three
Controller architecture it was possible to
isolated memory first instruction SDRAM
execute CISC instruction set with RISC
second data SDRAM and third immediate
feature that is every instruction in one clock
data SDRAM. As known from the super
cycle. It helps to achieve pipeline efficiently.
Harvard architecture each memory has its
It is been achieved with proficient design of
separate data and address line. Proposed
Decoder and execution module of proposed
Memory controller work also has separate
work. Because proposed concept fetch
data and address line for each memory. The
module does not requires to wait for decoder
instruction SDRAM is there to store
module, it keep fetching the OPCODE at
OPCODE of instructions; the data SDRAM
each clock without taking care about decoder
is there for storing temporary data generated
signal, decoder signal is been dedicated to
during execution of program. And the
execution unit only.
immediate SDRAM memory is there for
storing direct data of Program if any

47
©2018 IJAIR. All Rights Reserved
http://ijairjournal.com
International Journal of Advanced and Innovative Research (2278-7844) /
Volume 7 Issue 2

Figure 5 the synthesis summary


Figure 3 Tian Jin[1] pipeline architecture for
Design Fmax Slices LUT
high throughput
(MHz)

Xilinx 251 97 72
Mhz

Edgar 202Mhz 101


Lakis
et al
[3]
Satish 104
reddy
et al
[2]
Tian 349 127
Jin et Mhz
al [1]
Ours 374 93 74
Figure 4 Proposed pipeline architecture for Mhz
high throughput

III-RESULTS
Table 1: comparison of Synthesis results for
evaluated SDR controllers.
It can be observe that proposed design is
working better than available work. Xilinx
design is clearly optimized for speed, so the
high frequency is not surprising. The
design is pipelined and the control logic is
distributed always depending on just few

48
©2018 IJAIR. All Rights Reserved
http://ijairjournal.com
International Journal of Advanced and Innovative Research (2278-7844) /
Volume 7 Issue 2
bits. The critical path is on some wide Application of Reliable Computing and
multiplexer used to initialize a delay counter Communication, pages 29–34, Dec. 2015.
according the configuration register. [4] Deepali S h a r m a, S hruti bhargava, M
a h e n d r a Vucha, Design and VLSI
IV-CONCLUSIONS Implementation of DDR SDRAM Controller
The open source SDR SDRAM controller for High Speed Applications, Deepali
has been created. Its initial integration into Sharma et al, / (IJCSIT) International Journal
two RTS platforms (FPGA and JOP) was of Computer Science and Information
performed and tested. The different options Technologies, Vol. 2 (4) , 2011, 1625-1632
of memory access scheduling for the FPGA [5] D. Vanden Bout,, application note on
plat- form have been investigated. The XSA SDRAM Controller, September 5, 2002
analysis included estimates of their RTS (Version 1.1)
efficiency and the hardware implementation [6] Benny Åkesson, An introduction to
feasibility. For hard-RTS, the round robin SDRAM and memory controllers, Philips
(RR) does not have advantages over time [7] Shabana Aqueel and Kavita Khare,
division. Multiplexing (TDM), whereas Design and FPGA Implementation of DDR3
WCET bounds can be made tighter with SDRAM Controller for High Performance,
TDM. The static priority (SP) arbiters like International Journal of Computer Science &
CCSP and PBS are not scalable for WCET Information Technology (IJCSIT) Vol 3, No
analysis because the least priority requester 4, August 2011, DOI :
will suffer from latency proportional to the 10.5121/ijcsit.2011.3408 101
total bandwidth allocation of other [8] Altera. SDRAM Controller Core, Quartus
requesters. The memory access timing II Handbook Version 9.1 Volume 5:
analysis performed at WCET level suffers Embedded Peripherals, v9.1 edition,
from fundamental limitations in reducing November 2009.
memory bandwidth over-allocation. The [9] Altera Corporation. SDR SDRAM
local worst case required bandwidth has to Controller White Paper, ver. 1.1 edition,
be allocated for the whole task’s execution August 2002
period. [10] JEDEC. Synchronous Dynamic Random
Access Memory (SDRAM). JEDEC Solid
REFERENCES State Technology Association, JESD21-C,
[1] Tian Jin, Wenxin Li, Xiangyu Hu, A June 1994.
Tow-Level Buffered SDRAM [11] XILINX, Inc. LogiCORE IP Multi-Port
Controller,2016 3rd International Conference Memory Controller(V6.02.a). Data Sheet,
on Information Science and Control Xilinx, Inc., September, 2010.
Engineering, 978-1-5090-2534-3 /16 -2016 [12]Priyanka Bibay, Anil Kumar Sahu,
IEEE, DOI 10.1109/ICISCE.2016.37 Vivek Kumar Chandra “Design and
[2] SATISH REDDY N, GANESH Implementation of DDR SDRAM Controller
CHOKKAKULA, BHUMARAPU using Verilog”, International Journal of
DEVENDRA, ASIC Implementation of High Science and Research (IJSR), India Online
Speed Pipelined DDR SDRAM Controller, ISSN: 2319-7064. [13]Amit Bakshi,
ICICES2014 - S.A.Engineering College, Sudhanshu Shekhar Pandey, Tribikram
Chennai, Tamil Nadu, India, ISBN No.978- Pradhan, Ratnadip Dey, “ASIC
1-4799-3834-6/14/2014 IEEE Implementation of DDR SDRAM Memory
[3] Edgar Lakis, Martin Schoeber,An Controller”, 2013 IEEE International
SDRAM Controller for Real-Time Systems, Conference on Emerging Trends in
In Proc. IEEE International Workshop on Computing, Communication and
Nanotechnology.

49
©2018 IJAIR. All Rights Reserved
http://ijairjournal.com
International Journal of Advanced and Innovative Research (2278-7844) /
Volume 7 Issue 2
[14] Marco Paolieri, Eduardo Qui˜nones,
Francisco J. Cazorla, and Mateo Valero. An
analyzable memory controller for hard real-
time CMPs. Embedded Systems Letters,
1(4):86–90, 2009.
[15] Jan Reineke, Isaac Liu, Hiren D. Patel,
Sungjun Kim, and Edward A. Lee. PRET
DRAM controller: bank privatization for
predictability and temporal isolation. In
Robert P. Dick and Jan Madsen, editors,
Proceedings of the 9th International
Conference on Hardware/Software Codesign
and System Synthesis, CODES+ISSS 2011,
part of ESWeek ’11 Seventh Embedded
Systems Week, Taipei, Taiwan, 9-14
October, 2011, pages 99–108. ACM, 2011.

50
©2018 IJAIR. All Rights Reserved
http://ijairjournal.com

You might also like