You are on page 1of 5

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMSII: EXPRESS BRIEFS, VOL. 53, NO.

11, NOVEMBER 2006 1165

Design of High-Speed Power-Efficient MOS


Current-Mode Logic Frequency Dividers
Massimo Alioto, Member, IEEE, Rosario Mita, Member, IEEE, and Gaetano Palumbo, Senior Member, IEEE

AbstractA methodology to design high-speed power-efficient


MOS current-mode logic (MCML) static frequency dividers is pro-
posed. Analytical criteria to exploit the speed potential of MCML
gates are first introduced. Then, an analytical strategy is formu-
lated to progressively reduce the bias currents through the stages
without affecting the divider operation speed, thereby reducing the
overall power consumption. The proposed design approach is gen-
eral and independent of the process adopted. Due to its simplicity,
it can be used in a pencil-and-paper approach, avoiding a tedious Fig. 1. Architecture of a static frequency divider.
and time-consuming trial-and-error approach based on simula-
tions. Moreover, the analytical approach allows for a deeper un- In this brief, an analytical strategy is proposed to size the bias
derstanding of the powerdelay tradeoff involved in the design. As currents in the stages of a static frequency divider to achieve a
a design example, a 1:8 frequency divider is designed and simu- high-speed performance. Moreover, since each stage operates at
lated by using a 0.18- m CMOS process.
a halved frequency as compared to the previous one, an analyt-
Index TermsCMOS, high speed, integrated circuit, low power, ical strategy to progressively reduce the bias currents (and thus
MOS current-mode logic (MCML), prescaler, RF, source coupled the power consumption) of the successive stages is developed.
logic, static frequency divider. The analytical results are a useful tool for designing frequency
dividers since they also afford a deeper understanding of the
powerdelay tradeoff. Furthermore, the closed-form bias cur-
I. INTRODUCTION rent design equations avoid the time-consuming approach based
on simulations with trial-and-error values of the design param-
TATIC frequency dividers are fundamental building blocks
S in a number of applications, such as frequency synthesis in
mobile or satellite communication systems, clock generation,
eters.
This brief is organized as follows. Section II deals with the
timing analysis of the basic MCML 1:2 divider stage, and its
data recovery, synchronization, and multiple gigabits per second powerdelay tradeoff is analyzed in Section III. A practical de-
optic-fiber systems [1][6]. In these applications, the frequency sign strategy for more general dividers to properly size
divider is often the speed-limiting block; thus, a high-speed per- the bias currents is presented in Section IV. Design examples
formance is required. Moreover, current applications require a are discussed in Section V. Finally, conclusions are reported in
low power consumption to reduce the heat generation, as well Section VI.
as to extend the battery lifetime in portable devices. In this sce-
nario, the speed improvement allowed by the technology scaling II. TIMING ANALYSIS OF THE MCML 1:2 DIVIDER STAGE
has made the CMOS technology suitable for several high-speed
applications, thereby enabling the integration of RF blocks in Let us consider the generic frequency divider depicted
complex systems-on-chip [7]. in Fig. 1, in which the first stage has an input frequency ,
In general, a static frequency divider is obtained by and the generic th 1:2 divider stage (with ) has an
cascading 1:2 dividers whose output frequency is half that input frequency given by
of their input waveform, as depicted in Fig. 1. When adopting
a CMOS technology, all the stages are usually implemented with (1)
with the MOS current-mode logic (MCML) approach and a dif-
ferential signaling to achieve a very high speed performance [8], since each stage has an input frequency that is halved as com-
[9]. However, the latter is obtained at the cost of a significant pared to the previous one. Since the 1:2 divider stage is the fun-
static power consumption, which is associated with the bias cur- damental block of the divider, its speed performance is
rent of the divider stages. evaluated in the following.
A 1:2 divider stage implemented in the MCML logic style is
structured as in Fig. 2, where the two cross-coupled D latches
implement a masterslave T flip-flop (T-FF) with its clock ter-
Manuscript received November 17, 2005. This paper was recommended by
Associate Editor V. Kursun. minals being driven by the differential input signal. Indeed, the
M. Alioto is with the Dipartimento di Ingegneria dellInformazione, Univer- two D latches are driven by opposite clock signals; hence, the
sit di Siena, 53100 Siena, Italy (e-mail: malioto@dii.unisi.it). input of each latch crosses both gates and turns to the oppo-
R. Mita and G. Palumbo are with the Dipartimento di Ingegneria Elettrica
Elettronica e dei Sistemi, Universit di Catania, 95125 Catania, Italy (e-mail:
site value every two clock edges due to the inversion associated
rmita@diees.unict.it; gpalumbo@diees.unict.it). with the cross coupling. The topology of the D latch in Fig. 2 is
Digital Object Identifier 10.1109/TCSII.2006.882350 depicted in Fig. 3, where is the gate bias current [10],
1057-7130/$20.00 2006 IEEE
1166 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMSII: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006

A. By taking the strict equality in (2), the maximum operating


frequency of the 1:2 stage results to

(3)

From (3), it is apparent that a high-speed performance is


achieved by reducing the delay of the level shifter and the
latch. These timing parameters can be modeled by resorting to
the general approach proposed in [2] and [3], which is valid
for arbitrary MCML gates. Following this approach, the latch
delay can be expressed as a function of the bias
current according to [2] and [3]

Fig. 2. Structure of a 1:2 divider stage. (4)

where is the logic swing; is the external load


capacitance; and , , and are coefficients that depend on the
adopted technology, the voltage gain around the logic threshold
, and the supply voltage , which are evaluated according
to [2] and [3].
The level shifter delay can also be modeled by re-
sorting to the methodology in [2] and [3] according to

(5)
where is the external load capacitance of the level shifter,
is the gatesource capacitance of its transistor (M1M2),
and are its effective channel width and length, and
is its small-signal transconductance. According to [2], the
latter was expressed with the traditional small-signal model after
substituting a suitable effective mobility .
In the following section, the timing model discussed until
now is used to better understand the powerdelay tradeoff.
Fig. 3. Topology of the MCML D latch.
III. POWERDELAY TRADEOFF IN THE
MCML 1:2 DIVIDER STAGES
[11]. Moreover, a level shifter (i.e., a common-drain stage im-
plemented by M1M2) must be inserted at the clock input of The bias currents of the 1:2 divider stages in Fig. 1 must
the T-FF to avoid the operation in the triode region of transis- be sized to allow their correct operation, i.e., by setting their
tors M3M4 (see Fig. 3) [10], [11]. Let be the bias current maximum operating frequency (3) greater than their input fre-
of the level shifter circuits. quency (1). This is equivalent to make the level shifter and the
Now, let us evaluate the maximum operating frequency latch delay in (3) sufficiently low, which is achieved by making
of a 1:2 frequency divider. To this aim, observe that, after the their bias current sufficiently high. However, an increase in the
rising edge of the input signal (which is assumed to occur at time bias currents determines an increase in the power consump-
), latch A must generate a valid output within , tion (equal to the product of and the bias current); thus,
being the input period. Since the output evaluation of latch A a powerdelay tradeoff exists.
is performed after the delay of the level shifter and the To better understand the powerdelay tradeoff, let us express
delay1 of latch A, the following condition must be the dependence of the delay of the latch
satisfied to ensure correct operation (level shifter) on its bias currents . In regard to
the latch delay in (4), from Fig. 2, its load capac-
(2) itance is approximately2 equal to the input capac-
itance of an equal latch since latch A drives latch
B and vice versa. From an analytical point of view, the latch
The same consideration leading to (2) holds in the negative
half period for latch B; thus, it must have the same speed as latch 2In this evaluation, the input capacitance of the successive stage is neglected
for latch B since from Fig. 2, it is only that of a common-drain stage (i.e., an
1The latch delay is measured from the clock transition to the output transition, overlap gatedrain capacitance [2]), which is much lower than the latch input
which is usually referred to as the CK-Q delay. capacitance.
ALIOTO et al.: DESIGN OF HIGH-SPEED POWER-EFFICIENT MCML FREQUENCY DIVIDERS 1167

TABLE I IV. DESIGN OF FREQUENCY DIVIDERS


PROCESS PARAMETERS FOR THE ADOPTED 0.18-m TECHNOLOGY
In practical applications, the frequency divider is often the
speed-limiting block; thus, it must be designed for an almost
maximum speed for a given process. Since from (1) the first
stage works at the highest frequency, it is the most critical block
from a speed point of view, and its design is discussed in Sec-
tion IV-A, whereas the design of the successive stages is dealt
with in Section IV-B. Section IV-C reports a simplified design
procedure.

TABLE II
NUMERICAL VALUES OF COEFFICIENTS IN (8)
A. Design of the First Stage
From (3), to fully exploit the speed potential of a given
process, the latch and level shifter delay in the first stage must
be kept as low as possible by properly setting their bias currents
and . Moreover, from (7), the minimum value
of is obtained for ,
input capacitance depends on its bias current according to the i.e.,
following relationship, as demonstrated in [2]:
(10)
(6)
In practical cases, the latch delay must be set close to (10) while
where coefficient depends on the process parameters and the keeping within reasonable limits. Thus, defining for
preliminarily assigned voltage gain . Relationship (6) can the first stage the ratio ,
be substituted into (4) to achieve the explicit dependence of the we get
latch delay on its bias current, i.e.,

(7) (11)
whose value must be chosen from considerations on the
powerdelay tradeoff. By inverting (11), the resulting bias
with coefficients , , and being defined as follows: current that allows to achieve an assigned value of
is

(8)

To have an idea about the order of magnitude of coefficients in (12)


(8), let us consider a 0.18- m CMOS process, whose main pa- which, as expected, increases as decreases (i.e., improving
rameters are reported in Table I. The resulting numerical values the speed performance).
of coefficients by applying the procedure in [2] and [3], as- To find a suitable value of that ensures high speed without
suming V and , are reported in Table II. excessive power consumption, observe that for sufficiently high
values of , the second and third terms in (11) are much
In regard to the level shifter delay , from Fig. 2, its lower than unity; thus, a very high speed performance is ob-
load capacitance consists of the input capacitance of the tained, but a further increase in does not bring a sig-
two latches A and B, which are driven by the level shifter. By nificant delay reduction. Rather, for low values of , the
substituting the latch input capacitance in (6), the level shifter second and third terms in (11) are much greater than unity; thus,
delay results to a poor speed performance is achieved, but a further increase in
greatly improves the speed performance. As a compro-
mise, we suggest to make the sum of the second and third terms
in (11) equal to unity, which leads to

(13)
(9)
Obviously, different values of may be adopted depending
on the application requirements. For example, a choice that
The numerical value of the capacitance in (9) for a min- optimally balances speed and power consumption is achieved
imum-sized transistor in the adopted 0.18- m CMOS process is by minimizing the powerdelay product [PDP; defined as the
about 1.2 fF. product of the power consumption and the delay
1168 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMSII: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006

(7)]. By finding the value of that minimizes PDP and whereas parameter of the th stage (defined in Section IV-A)
substituting it into (11), the value of minimizing PDP is is equal to that of the first stage, i.e., . Thus, from (12) and
(17), the latch current of the th stage is

(14)

In regard to the choice of the bias current , it is well


known that the level shifter delay can be made much (18)
smaller than (7). Indeed, the common-drain stage is often added
to MCML gates to achieve a higher performance since it is able where it was observed that the term
to achieve a much lower delay than an MCML gate for a given is much greater than unity for submicrometer
load capacitance and bias current [2]. Moreover, in Section III, technologies (for example, is equal to 17.8
the level shifter load capacitance was shown to be compa- for the adopted 0.18- m process). For the adopted CMOS
rable to (more precisely, twice) that of the latch, i.e., . process, this approximation leads to an error lower than 20%
As a consequence, the level shifter delay can be made much as compared to the exact expression for and and
smaller than that of the latch with a bias current much rapidly tends to zero for greater values of and . From (15)
lower than in (12). From these considerations and (3), and (17), the level shifter current of the th stage is
a reasonable approach to achieve a high-speed performance is
to find the value of that makes the level shifter delay equal
to a small fraction of . In the following, let be
the ratio . When choosing parameter , it
is worth noting that from (9), very low values of lead to a very
high bias current , whereas values comparable to unity sig- (19)
nificantly reduce the maximum operating frequency in (3). As
a compromise, values of ranging from 0.1 to 0.2 are sug-
The design equations (18), (19) express the bias currents of the
gested, which make the level shifter delay equal to 10%20%
generic th stage (including the first one) as a function of the
of the latch delay. By inverting (9), the resulting level shifter
parameters and , which have been preliminarily assigned
bias current is
in the design of the first stage, as discussed in Section IV-A.

C. Simplified Design Procedure


To quickly evaluate the stage bias currents, an easy scaling
law through the divider stages can be found by approximating
(15) (18). Indeed, from (18), the ratio of the latch current of the
th stage and the preceding th stage results to
where the transistor aspect ratio is minimum due
to the small load capacitance of the level shifter (simulations
also confirmed that a nonminimum always leads to a with
speed degradation). (20)
From the above design considerations, the maximum fre- where the approximation hold if , which from (13)
quency allowed by a 1:2 divider stage results to and (14) is certainly true for and still provides reasonably
accurate results even for . Indeed, the approximation of
(16) the scaling factor (20) to 0.7 leads to a current ratio overestima-
tion by at most 21% in the worst case and and
where the first factor is the frequency limit imposed by the much lower for greater values. Hence, in practical designs, it
adopted technology, and terms and are chosen as previ- is sufficient to size the first-stage bias current according to (12)
ously discussed. and then progressively downscale the currents of the successive
stages by a factor of 0.7.
B. Design of the Successive Stages In each stage, the level shifter bias current is still evaluated
by substituting into (19).
As already discussed in Section II, the successive stages are
not needed to be as fast as the previous ones under a given speed
requirement; thus, their bias currents can be progressively re- V. DESIGN EXAMPLES AND VALIDATION
duced to achieve a power saving. To be more specific, from (1) To verify the theoretical derivations, a 1:8 frequency divider
and (3), each stage is allowed to have a latch delay that is twice was designed and simulated with SPICE by using a 0.18- m
that of the preceding stage, and the same consideration holds for CMOS process. By substituting the coefficients reported in
the level shifter. This means that the ratio of the th stage in Table II and setting V, mV, and
(11) is twice that of the previous th stage; thus , the two above-discussed design methodologies were
applied, i.e., the high-speed design and the minimum PDP
with (17) design, by respectively setting to 2 and to (14). Moreover,
ALIOTO et al.: DESIGN OF HIGH-SPEED POWER-EFFICIENT MCML FREQUENCY DIVIDERS 1169

TABLE III case of high-speed (minimum PDP) design as compared to the


BIAS CURRENTS WITH EXACT CURRENT SCALING IN (20) power consumption obtained with an exact scaling factor.
VI. CONCLUSION
In this brief, a strategy to design MCML static frequency di-
viders has been proposed. The strategy starts from the timing
model of MCML gates in [2] and [3] and aims at achieving high
speed with reasonable power consumption. To this purpose, an
analytical expression of the first-stage bias current has been pro-
vided to exploit the speed potential of the adopted technology.
From analytical considerations, the authors suggest to set the
latch delay to twice its minimum value allowed by the tech-
nology when the speed performance is of utmost importance and
to a value greater than two [see (14)] when an optimum balance
between power consumption and delay is desired. In regard to
the first-stage level shifter, it is suggested to set its bias current
to make its delay to about 20% of the latch delay.
To reduce the overall power consumption, analytical criteria
are derived to progressively scale the bias currents of the succes-
sive stages. In particular, it is shown that the latch bias current
of each stage can be downscaled by a factor of 0.7 as compared
to that of the previous stage. This affords a significant power
saving as compared to the case of equally biased stages.
Fig. 4. Input and output waveform of a 1:8 frequency divider (high-speed de- The analytical design equations are general and can be used
sign, exact current scaling factor). for pencil-and-paper evaluations, thereby avoiding a tedious and
time-consuming trial-and-error approach based on simulations.
TABLE IV
BIAS CURRENTS WITH APPROXIMATE CURRENT SCALING IN (20) Moreover, the analytical approach allows for a deeper under-
standing of the powerdelay tradeoff involved in the design.
As a design example, a 1:8 frequency divider is designed and
simulated by using a 0.18- m CMOS process by assuming dif-
ferent powerdelay requirements. Results show that the max-
imum operating frequency of the divider is always within 18%
of the simulated value, thereby confirming the adequate accu-
racy of the analytical design equations.

the currents in the various 1:2 stages were scaled by using the REFERENCES
exact and the approximate current scaling factor in (20) (i.e., [1] Monolithic Phase-Locked Loops and Clock Recovery Circuits, Theory
and DesignB. Razavi, Ed.. Piscataway, NJ: IEEE Press, 1996.
and 0.7, respectively). [2] M. Alioto and G. Palumbo, Model and Design of Bipolar and MOS
The bias currents obtained for the high-speed and minimum Current-Mode Logic: CML, ECL and SCL Digital Circuits. Norwell,
PDP frequency dividers with exact scaling factor in (20) are MA: Springer-Verlag, 2005.
[3] , Design strategies for source coupled logic gates, IEEE Trans.
reported in Table III, where all the level shifters bias currents Circuits Syst. I, Fundam. Theory Appl., vol. 50, no. 5, pp. 640654,
were increased to 1 A to avoid subthreshold operation. In the May 2003.
high-speed design, the resulting maximum predicted frequency [4] C. Hung, B. Floyd, B. Park, and K. O. Kenneth, Fully integrated
(16) is equal to 415 MHz, whereas in the minimum PDP design, 5.35-GHz CMOS VCOs and prescalers, IEEE Trans. Microw. Theory
Tech., vol. 49, no. 1, pp. 1722, Jan. 2001.
it is equal to 335 MHz. Simulation results showed that the max- [5] C. Lam and B. Razavi, A 2.6-GHz/5.2-GHz frequency synthesizer in
imum operating frequency of the divider is 510 MHz (394 MHz) 0.4- m CMOS technology, IEEE J. Solid-State Circuits, vol. 35, no.
for the high-speed (minimum PDP) design; thus, the error of 5, pp. 788794, May 2000.
[6] A. Tanabe, M. Umetani, I. Fujiwara, T. Ogura, K. Kataoka, M. Okiara,
(16) is within 18% of the simulated value. This confirms the H. Sakuraba, T. Endoh, and F. Masuoka, 0.18- m CMOS 10-Gb/s
adequate accuracy of the analytical design equations. As an ex- multiplexer/demultiplexer ICs using current mode logic with tolerance
ample, the input and output waveforms are plotted in Fig. 4 for to threshold voltage fluctuation, IEEE J. Solid-State Circuits, vol. 36,
the high-speed design case with exact current scaling factor in no. 6, pp. 988996, Jun. 2001.
[7] A. A. Abidi, RF CMOS come of age, IEEE Microw. Mag., vol. 4, no.
(20). 4, pp. 4760, Dec. 2003.
Finally, Table IV summarizes the bias currents of the divider [8] T. H. Lee, The Design of CMOS Radio Frequency Integrated Circuits,
1:2 stages in both the high-speed design and the minimum PDP 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 2003.
[9] B. Razavi, Design of Integrated Circuits for Optical Communica-
design by using the approximate current scaling factor of 0.7 in tions. New York: McGraw-Hill, 2003.
(20), which makes the design easier. As expected, comparison [10] S. Kiaei, S. Chee, and D. Allstot, CMOS source-coupled logic for
of Tables III and IV shows that the bias currents are greater mixed-mode VLSI, in Proc. Int. Symp. Circuits Syst., 1990, pp.
than those obtained with an exact scaling factor. According to 16081611.
[11] S. Maskai, S. Kiaei, and D. Allstot, Synthesis techniques for CMOS
the considerations in Section IV-C, this leads to only a slight folded source-coupled logic circuits, IEEE J. Solid-State Circuits, vol.
increase in the power consumption of about 12% (9%) in the 27, no. 8, pp. 11571167, Aug. 1992.

You might also like