You are on page 1of 13

INSTITUTO POLITECNICO NACIONAL

ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA

UNIDAD CULHUACAN

Asignatura: Circuitos Lgicos I

Profesor: Ing. Jazmn Ramrez Hernndez

Alumnos:

Camacho Surez Agustn 2016350071


Osorno Ortiz Ricardo Uriel 2016350899

Proyecto Final

Grupo: 3CM33

Fecha de Entrega: 01- Diciembre - 2016


Objetivo.
Disear e implementar un dispositivo de reloj digital que realice el conteo de horas,
minutos y segundos, similar al de un reloj convencional. Esto como una aplicacin
de los conocimientos adquiridos a lo largo del semestre en la materia de Circuitos
Lgicos I. La intencin es que el pulso que lo hace funcionar sea lo ms exacto
posible, el formato que cumplir este reloj ser de 24 horas (horas, minutos y
segundos respectivamente). Asimismo se analizar su funcionamiento durante el
proceso de ensamblaje tanto de forma terica, como de forma prctica. Se
implementar en un par de softwares aplicativos llamados Multisim y Proteus.

Planteamiento del problema.


Tras haber concluido casi a su totalidad el curso de Circuitos Lgicos I el equipo
de trabajo decidi implementar un reloj digital de 24 horas, poniendo en prctica los
conocimientos adquiridos sobre compuertas lgicas, contadores, decodificadores y
las diversas conexiones que deben de hacerse para su correcta implementacin.
Tomando en cuenta que durante el proceso de fabricacin de este reloj se analizar
su funcionamiento y una vez terminado se buscar una aplicacin til de nuestro
dispositivo.

Introduccin.
Un reloj digital es aquel instrumento que mide el tiempo, que puede ser porttil o fijo
y que tiene una pantalla de cristal lquido en el cual se indican las horas mediante
dgitos. Habitualmente el funcionamiento de este tipo de relojes es electrnico,
aunque tambin pueden existir emuladores informticos que exhiben en una
pantalla de computadora tanto relojes digitales como analgicos.
El primer reloj mecnico digital data de 1956 y fue realizado por un fabricante de
relojes suizo llamado Josef Pallweber. Mientras que Protzmann and others fueron
los primeros en patentar un reloj despertador digital, en octubre de 1956.
En ese mismo ao, apareci el primer reloj pulsera digital que tena una pantalla
LED. Fue fabricado por Hamilton Watch Company y producido en serie, basndose
en un prototipo que se utiliz en la pelcula 2001: Una Odisea del espacio en 1968.
Durante toda la dcada de los 70 hubo un gran progreso en cuanto a estos relojes
ya que se popularizaron y por lo tanto se comenz a fabricar diferentes tipos de
relojes pulsera y despertadores digitales.
La caracterstica que los distingue de los relojes anteriores es la representacin de
la hora en un display digital con numeracin decimal, a diferencia de los analgicos
que podan tener nmeros escritos en un cuadrante decimales o romanos. La hora
se presenta en horas: minutos HH:MM o en horas, minutos y segundos HH:MM:SS,
dependiendo si el reloj tiene segundero o no.

Para cualquiera de los dos tipos de reloj digital, existen dos formatos:
-24 horas, en el que el da se divide en las 24 horas inicindose a las 0 horas y
terminando a las 24 hrs. Cabe aclarar que en este sistema no existen las 24 horas
ya que lo ltimo que puede marcar es 23:59:59 para luego pasar a 00:00:00 hrs. del
da posterior. Por su parte, el minutero va desde el 00 a 59 minutos, ya que tampoco
llega a los 60 que corresponde a los 00 de la hora posterior. Lo mismo ocurre con
los segundos.
-12 horas en el que el da se divide en dos ciclos de 12 horas:
-Primer ciclo que va desde las 12:00 de la noche hasta las 12:00 del medioda PM.
A partir de aqu comienza a contarse con el 1, 2, 3, 4, etc. con el valor PM.
-Segundo ciclo que va desde las 12:00 del medioda hasta las 12 de la noche que
es AM y es cuando cambia la fecha. A partir de aqu se inicia el conteo con el 1, 2,
3, 4, 5, 6, etc. con el valor AM.
Por su parte, el minutero recorre de 00 a 59, recomenzando en el 00.
Actualmente en el campo de la ingeniera, es comn, la utilizacin de dispositivos
electrnicos y digitales, ya que facilitan la implementacin de proyectos tales como
un reloj, esto ha permitido la evolucin de la forma anloga (mediante manecillas) a
la forma digital (visualizacin numrica).
Elementos que lo conformarn:
-Contadores 74LS90 (6 unidades):

El 74LS90 es un contador de onda cuadrada de 4-bits en la entrada de mdulo 10,


mdulo 12 y mdulo 16, respectivamente. Cada dispositivo consta de cuatro flip-
flops maestro/esclavo que son conectados internamente para proporcionar una
seccin de divisin por dos (LS90). Cada seccin tiene una entrada de reloj.

-Decodificadores 74LS47 (6 unidades):

El decodificador integrado 74LS47 es un circuito lgico que convierte el cdigo


binario de entrada en formato BCD a niveles lgicos que permiten activar un display
de 7 segmentos en donde la posicin de cada barra forma el nmero decodificado.

-Compuertas Lgicas 74LS08 (1 unidad):

Son compuertas lgicas de tecnologa TTL (lgica transistor a transistor) de bajo


consumo. La 74LS08 posee 4 compuertas AND de dos entradas y 1 salida.
-Display de 7 segmentos nodo comn (6 unidades):

El visualizador de siete segmentos (llamado tambin display) es una forma de


representar nmeros en equipos electrnicos. Est compuesto de siete segmentos
que se pueden encender o apagar individualmente.

-Generador de pulsos LM555/NE555 (1 unidad):

El LM555/NE555 es un controlador altamente estable capaz de producir pulsos de


temporizacin precisas. Con operacin monoestable, el tiempo de retardo se
controla por una resistencia externa y un condensador.

Los dispositivos anteriormente mencionados son los elementos principales e


indispensables para la elaboracin del proyecto reloj digital. A continuacin se
muestra el resto del material:
-Resistencias de 330 Omhs (1 unidades).
-Alimentacin de 5V (1 unidad).
-Capacitor de 22 F (1 unidad).
-Resistencias de 220 Ohms (12 unidades).
-Resistencias de 1 kOhms (2 unidad).
-LED (1 unidad).
Desarrollo.
Diagrama inicial.
Simulacin.
A continuacin se muestra la implementacin de nuestro proyecto en el
software aplicativo Proteus.
A continuacin se muestra la implementacin de nuestro proyecto en
el software aplicativo Multisim.
Resultados experimentales.
Conclusin.
A partir del desarrollo de nuestro proyecto llegamos a la conclusin de que son muy
tiles los contadores binarios, debido a su gran variedad de aplicaciones. Durante
el proceso terico y de ensamblaje analizamos el funcionamiento de cada uno de
los componentes de este dispositivo reloj digital.
Asimismo primero tuvimos que implementar el circuito en Multisim y Proteus una
vez que funcion correctamente pasamos a ensamblarlo en protoboard para evitar
tener problemas relacionados con malas conexiones. Una vez ya en protoboard
pasamos a soldar tal cual el circuito en tablillas especiales. El haber realizado la
simulacin antes de implementar en protoboard nos es de gran de ayuda, y lo que
es ms importante an eso nos garantiza que el circuito en teora deber funcionar
correctamente.
Tuvimos algunos problemas al momento de soldar el circuito, esto se debe a que
algunos componentes eran ms delicados, por lo tanto requeran de un cuidado
especial.
Nos dimos cuenta de que este tipo de dispositivos tienen muchas aplicaciones, entre
ellas los relojes digitales convencionales, gracias a los cuales nos fue posible pasar
de la modalidad analgica (con manecillas) a la modalidad digital (con dgitos).
Nos sentimos muy satisfechos con el resultado, se cumple el propsito del proyecto
el cual era entre otros aspectos, la aplicacin de los conocimientos que hemos
adquirido a lo largo del curso en la materia y encontrar un dispositivo que
pudisemos fabricar. Por otro lado no hemos tomado mucho en cuenta la relacin
costo/beneficio puesto que el costo de este dispositivo fue demasiado elevado torna
alrededor de $700 pesos mexicanos, a diferencia de los que hay actualmente en el
mercado.

Bibliografa.

Charles R. Kime, Prentice Hall, Fundamentals of logic Design.


Wakerly, Principles & Practices, Prentice Hall, Fundamentos de sistemas digitales.

-http://quees.la/reloj-digital/

You might also like