You are on page 1of 54

CONTRAST

REC GAIN MAIN VOLUME

GAIN
HIGH
LOW MIN MAX MIN MAX
F1 F2 F3 F4 F5 F6 L R

F1 F2 F3 F4 F5 F6

SETUP SEQUENCE
RECORD SAMPLE PROGRAM

Q1 Q2 PAD BANK I J K
A B C D E F NEXT TRACK
SEQUENCE MUTE 7 8 9 MULTI MIXER EFFECT

L M N
A B C D E F G H 4 5 6 SAVE LOAD GLOBAL
MIN MAX MIN MAX
ACTIVE ACTIVE DRUMS
O P Q
Q-LINK
SEQUENCE 1 2 3 SONG MISC. MIDI
PAD 13 P LOOP PAD 14 P TO PAD 15 P FROM PAD 16 PL AY

Q3 Q4 R S T
& 0 ENTER MAIN SEQ EDIT STEP EDIT
PAD
ASSIGN
U V W
CURSOR
MIN MAX MIN MAX
PAD 9 PAD 10 PAD 11 PAD 12 JOG
ACTIVE ACTIVE #

FULL TIMING CORRECT


LEVEL WINDOW
BLOCK
Q5 Q6 CURSOR
X

16
PAD 5 PAD 6 PAD 7 PAD 8
LEVELS
MASTER TEMPO
SHIFT

Y
!
LOCATE
ERASE UNDO SEQ
STEP GO TO BAR
PAD 1 PAD 2 PAD 3 PAD 4

Z EVENT START END


(
PLAY/ REC
ACTIVE ACTIVE NOTE
REPEAT TAP TEMPO REC OVER STOP PLAY PLAY
DUB START

Music production Center

SERVICE MANUAL
1
# SAFETY INSTRUCTIONS # INFORMATIONS
1. Parts identified by the } symbol are critical for safety. SYMBOLS FOR PRIMARY DESTINATION
Replace them only with the parts number specified. Unit destinations are indicated with letters as shown below.
2. In addition to safety, other parts and assemblies are
specified for conformance with such regulations as those Symbols Principal Destinations
applying to spurious radiation. A U.S.A
These must also be replaced only with the specified B England
replacements. E Europe
Examples : Noise blocking capacitors, noise blocking filters, J Japan
etc. V Germany
3. Use specified internal wiring. Note especially : X1 Japan
1) Wires covered with PVC tubing
X4 Universal Area
2) Double insulated wires
3) High voltage leads
4. Use specified insulating materials for hazardous live parts.
Note especially : MAKE YOUR CONTRIBUTION TO PROTECT THE
1) Insulation Tape ENVIRONMENT
2) PVC tubing Used batteries with the ISO symbol for recycling as
3) Spacers (insulating barriers) well as small accumulators (rechargeable batteries),
4) Insulation sheets for transistors mini-batteries (cells) and starter batteries should not
5) Plastic screws for fixing micro switches be thrown into the garbage can.
Please leave them at an appropriate depot.
5. When replacing AC primary side components (trans-
formers, power cords, noise blocking capacitors, etc.),
wrap the ends of the wires securely around the terminals PRECAUTIONS FOR LITHIUM BATTERY
before soldering. The lithium battery may explode when incorrectly replaced.
[OBSERVE THE FOLLOEING WHEN REPLACING]

Replace with the same make and type or equivalent recom


mended by manufacturer.
Place battery in correct polarity.
Do not short the terminals.
Do not charge battery.
6. Make sure that wires do not contact heat producing parts Do not dispose of battery in fire.
(heat sinks, oxide metal film resistors, fusible resistors,
etc.).
7. Check that replaced wires do not contact sharp edged or
pointed parts.
8. Also check areas surrounding repaired locations.
9. Make sure that foreign objects (screws, solder droplets,
etc.) do not remain inside the set.

SAFETY CHECK AFTER SERVICING


After servicing, make measurements of leakage-current or
resistance in order to determine that exposed parts are
acceptably insulated from the supply circuit. The leakage-
current measurement should be done between accessible
metal parts (such as chassis, ground terminal, microphone
jacks, signal input/output connectors, etc.) and the earth ground
through a resistor of 1500 ohms paralleled with a 0.15 F
capacitor, under the units normal working conditions.
The leakage-current should be less than 0.5 mA rms AC. The
resistance measurement should be done between accessible
exposed metal parts and power cord plug prongs with the
power switch (if included) ON. The resistance should be
more than 2.2 M ohms.

SERVICE MANUAL
2
I. SPECIFICATIONS
General Power supply 100-240V AC 50/60Hz 70W (27W without options)
Dimensions 526 (W) x 170 (H) x 453 (D) mm (with LCD tilted down)
Weight 10.5kg (without options)
Display 320 x 240 dots grey-scale graphical LCD w/back light
Sound Generator Sampling rate 44.1kHz, 48kHz, 96kHz
Data format 24/16 bit linear
Sampling time 180/120 (16/24 bit) seconds mono FS=44.1kHz
(unexpanded memory) 166/110 (16/24 bit) seconds mono FS=48kHz
82/53 (16/24 bit) seconds mono FS=96kHz
90/60 (16/24 bit) seconds stereo FS=44.1kHz
83/55 (16/24 bit) seconds stereo FS=48kHz
40/26 (16/24 bit) seconds stereo FS=96kHz
Memory 16MB standard, expandable to 512MB
168-pin DIMM (PC133/PC100, CL2) slot x 2
Polyphony 64 voices
Filter 2-pole x 3 with resonance
Envelope generators 3 x Envelope generators (2 multi-stage)
LFO 2 x Multi-wave
Sequencer Maximum events 300,000 notes (equivalent)
Resolution 960 parts per 1/4-note (ppq)
Sequences 128
Tracks per sequence 128
MIDI output channels 64 (16 channels x 4 output ports)
Song mode 128 songs, 250 steps per song
Drum pads 16 (velocity and pressure sensitive)
Drum pads banks 6
Sync modes MTC, MIDI clock, SMPTE
Inputs/Outputs Rec In LINE/MIC L/R 1/4-inch stereo phone/XLR Combo jack, balanced,
1/4-inch phone, Imp. 18k, 24dBu max./XLR, Imp. 6k, 22dBu max.
Rec In PHONO L/R RCA phono x 2 with RIAA EQ, -24dBu max. (1kHz)
Main Out L/R 1/4-inch stereo phone/XLR Combo jack, balanced,
1/4-inch phone, Imp. 1.7k, 24dBu max./XLR, Imp. 200, 18dBu max.
Assignable Outs (IB-48P
required) 1/4-inch stereo phone x 8, balanced, Imp. 1.7k, 18dBu max.
Headphone 1/4-inch stereo phone, 60mW (32)
Digital Input (IB-4D required) RCA phono x 1, SPDIF
Digital Main Output
(IB-4D required) RCA phono x 1, SPDIF
Word Clock Input
(IB-4D required) BNC x 1 (w/75 terminator On/Off)
SMPTE In 1/4-inch stereo phone, balanced
SMPTE Out 1/4-inch stereo phone, balanced
Footswitch 1/4-inch phone x 2
MIDI Input 5-pin DIN x 2
MIDI Output 5-pin DIN x 4
SCSI 50-pin high-pitch SCSI connector x 1
USB Host x 1, Slave x 1 (V1.1)
Options IB-4D Digital Audio (SPDIF) I/O board
IB-4ADT Adat Interface board (2-in/8-out)
IB-48P Assignable 8-channel Output Board
Standard accessory Power Cable x1
CD-ROM disc x1
Drive Mounting Kit x1
User Guide x1

* The specifications are subject to change without the prior notice.


SERVICE MANUAL
3
II. DISASSEMBLY
In case of trouble, etc., necessitating dismantling, please dismantle in the order shown in the illustrations. Reassemble in the
reverse order.

1. Removal of the OPERATION BLOCK

ZS-322570
ST BID40X08STL NI3

ZS-331182
BT BID30X08STL BNI

SERVICE MANUAL
4
ZS-821548X SCREW TOP COVER NI

ZS-331182
BT BID30X08 STL BNI

ZS-331182
BT BID30X08 STL BNI

SERVICE MANUAL
5
ZS-331182
BT BID30X08 STL BNI

SERVICE MANUAL
6
III. PRINCIPAL PARTS LOCATION

EM-812761J
IND LCD
BA-L4016A504F BA-L4016A504C
PC POWER SW BLK PC LCD BLK

BA-L4016A502C
PC IO VR BLK
BA-L4016A504B
PC FUNCTION BLK

BA-L4016A504A
PC JOG BLK

BA-L4016A030A
PC OPERATION BLK

BA-L4016A502A
PC IO BLK BA-L6052A020A
BA-L4016A504E BA-L4016A502B PC CPU BLK Z8
PC FILTER BLK PC IO ADDA BLK

BA-LJ038A020A EB4JS
PC POWER BLK SPS-80
BA-L4016A504D
PC HEAD PHONE & USB BLK

SERVICE MANUAL
7
IV. OS Update Procedure
OS Update Procedure
[OS Update procedure from USB]
The OS update can be made from the PC via the USB port.
You must have the OS loader software for MPC4000 besides the OS .bin file.
The OS loader software includes the OS Loader.exe file, USB driver.inf file, and USB Driver.sys file.

1. Install USB Driver to your PC


After all files are un-Zipped, place the USB Driver.inf and USB Driver.sys files into the same folder, e.g. Driver.
Boot up the PC and MPC4000 complete and then connect the sampler to the PC using the USB cable.
This prompts that the PC found the new device an d the new driver is required.
Refer it to the Driver folder you just created.
The Driver software will be placed correctly and the MPC4000 is recognized properly.

2. OS update
Double click on the OS Loader.exe icon and specify the OS .bin file. It will send the OS to the MPC4000.
[Caution]
After the update, be sure to initialize the MPC4000 by pressing the GLOBAL key, and then [F6] (INITIAL)
and [F5] (DO IT) keys, before turning it off.

[OS update procedure from CD-R]


To update the OS from the CD-ROM, it requires the OS version later than V1.02 software installed on the MPC4000.
You can update the OS from the CD-ROM drive. Be sure to use the CD-ROM that contains the OS for the MPC
4000. You can create the OS disc by using your PCs CD-R/W function to write the MPC4000.bin file on to an
ISO9660 CD-ROM disc.
1. Turn the MPC4000 on and insert the OS disc.
2. Press the LOAD key and select the MPC4000 OS file (.bin file).
3. Press the [F6] (DO IT) key and then the [F6] (INSTALL) key.
4. The OS Update progress appears, i.e. the messages Please wait ??. and then Upgrading of the Flash
ROM was successful. appear accordingly.
5. Press the [F6] (Restart) key. Be sure to initialize the MPC4000 by pressing the GLOBAL key, and then the
[F6] (INITIAL) and [F5] (DO IT) keys, before turning it off.

Writing Panel OS Program


Change the position of the Short Pin P15 on the IO PCB to PROG side.
The OS is automatically written to the Panel CPU RAM when the MPC4000 is switched on.
Switch the unit off when the Process completed! prompt message appeared and then replace the Short Pin to the
original position.

SERVICE MANUAL
8
V. TEST MODE
Panel Test Mode
To enter the Panel Test Mode, press the GLOBAL key while holding down the SHIFT key in the GLOBAL page [F1] of the
GLOBAL Mode.
Moving the Q-link knobs changes the graphics accordingly.
Tapping the PAD shows the amount of pressure applied on the bar graph.
Switching the FOOT Pedal on/off changes the graphics accordingly.
Turning the JOG wheel increases/decreases the value in the Data field.
Pressing the key shows its name at the bottom of the screen. For those keys with the LED, the LED is turned
on/off.
Pressing the [F1] key stops the key test.
After stopping the key test, pressing the mode key will abort the test mode.
While the key test is stopped, pressing the SHIFT key and [F6] key shows the A/D converted value of the
Q-link/ PAD.

CPU Board Test Mode


The Test Mode of the MPC4000 CPU Board is performed while the CPU board is mounted on the Z4/Z8 Sampler.
When the Z4/Z8 is switched on after the MPC4000 CPU Board is mounted on the Z4/Z8 Sampler, the sampler
is turned on with the MPC4000 screen.
Install the Z4/Z8 OS using the OS Loader of Boot Overwrite type via the USB port.
Similarly, when the CPU Board is mounted back on the MPC4000 after the Z4/Z8 OS is installed for the test,
it boots up with the Z4/Z8 screen. Reinstall the MPC4000 OS using the OS Loader of Boot Overwrite type.
Refer to the Z4/Z8 Service Manual for the operation details of the Test Mode for the CPU Board.

SERVICE MANUAL
9
VI. PARTS LIST

ATTENTION

1. When placing an order for parts, be sure to list the Part No., Model No. and the description of each part.
Otherwise, the non-delivery of the part or the delivery of a wrong part may result.
2. Please make sure that Part No. is correct when ordering.
If not, a part different from the one you ordered may be delivered.
3. Since the parts shown in Parts List or Preliminary Service Manual may have been the subject of changes,
please use this Parts List for all future reference.

HOW TO USE THIS PARTS LIST

1. This Parts List lists those parts which are considered necessary for repairs.
2. Parts not shown in the Parts List will not in principle be supplied.
3. How to read the Parts List.

1. PC MAIN BOARD BLK 2. FINAL ASSEMBLY BLK


Ref. No. Part No. Description Ref. No. Part No. Description
D5 ED-431276C D SCHOT 1S30-J T05 1. SA-349332 FOOT
D110 ED-431276C D SCHOT 1S30-J T05 2. ZS-344754C ST PAN30x06STL CMT
: :
: :
IC5 EI-811073J ICTRC-6593 44. SP-417333J COVER TOP
IC10 EI-811068J IC HD74HC157FP 45. ZS-418385J BT BID30X06STL BNI EATRH LOCK
46-A } EW-380905J AC CORD 250S KP300 KS16A
Service parts classification H B J [J]
46-B } EW-368420J1 AC CORD 200SKP30KS B AC [A]
These reference symbols correspond with component
46-C } EW-410608J AC CORD 250 KP4819D KS31A B E
symbols in the Schematic Diagrams.
[E,V]

Symbols for primary destination


[A] U.S.A. [J] Japan
[B] England [V] Germany
[E] Europe [x1] Japan
[X4] Universal Area

Safety critical component

This number corresponds with the individual parts index number


in the figure.

WARNING
} INDICATES SAFETY CRITICAL COMPONENTS. FOR CONTINUED SAFETY, REPLACE SAFETY CRITICAL
COMPONENTS ONLY WITH MANUFACTURER'S RECOMMENDED PARTS.

AVERTISSEMENT
} IL INDIQUE LES COMPOSANTS CRITIQUES DE SCURIT. POUR MAINTENIR LE DEGR DE SCURITDE
L'APPAREIL, NE REMPLACER QUE DES PICES RECOMMANDEES PAR L FABRICANT.

SERVICE MANUAL
10
PC BOARD BLK Ref.No. Part No. Description
X1 EI-820769X OSC X'TAL C.SMD-49 3.6864MHZ
The PC Board Block may contain several PC Boards. They appear
X2 EI-820994X OSC X'TAL C.DS0751SV 18.4320MHZ
under its block name with their individual part number. X3 EI-820993X OSC X'TAL C.DS0751SV 16.9344MHZ
X4 EI-820991X OSC X'TAL C.SMD-49 12.0000MHZ
1. P.C. BOARD BLOCK X5 EI-820995X OSC X'TAL C.DS0751SB 40.0000MHZ
Ref.No. Part No. Description X6 EI-820989X OSC X'TAL C.DS0751SV 48.0000MHZ
1 BA-L6052A020A PC CPU BLK Z8
2 BA-L4016A020A PC (#) IO BLK MPC4000
3 BA-L4016A030A PC OPERATION BLK MPC4000
3. P.C. IO BLOCK
4 BA-L4016A040A PC (#) OTHER BLK MPC4000
Ref.No. Part No. Description

PC (#) IO BLK CONSISTS OF FOLLOWING P.C. BOARDS. 100 EZ-811066J BATTERY LITHIUM CR2025
BA-L4016A502A PC IO BLK 400 ES-429984J SOCKET IC113-0444-004 44P
BA-L4016A502B PC IO ADDA BLK D1 ED-811455J D SILICON H 1SS133T-77 T26
BA-L4016A502C PC IO VR BLK D2 ED-811455J D SILICON H 1SS133T-77 T26
D3 ED-428162J D SCHOTTKY 1S30-E T26
PC (#) OTHER BLK CONSISTS OF FOLLOWING P.C. BOARDS. D4 ED-428162J D SCHOTTKY 1S30-E T26
BA-L4016A504A PC JOG BLK D5 ED-820774X D SCHOT.H EK16 V0 T26 60/1.5A
BA-L4016A504B PC FUNCTION KEYS BLK D6 ED-811455J D SILICON H 1SS133T-77 T26
BA-L4016A504C PC LCD BLK D7 ED-811455J D SILICON H 1SS133T-77 T26
BA-L4016A504D PC HEAD PHONE & USB BLK D8 ED-811455J D SILICON H 1SS133T-77 T26
BA-L4016A504E PC FILTER BLK D9 ED-811455J D SILICON H 1SS133T-77 T26
BA-L4016A504F PC POWER SW BLK D30 ED-811455J D SILICON H 1SS133T-77 T26
D31 ED-811455J D SILICON H 1SS133T-77 T26
D32 ED-811455J D SILICON H 1SS133T-77 T26
2. P.C. CPU BLOCK D33 ED-811455J D SILICON H 1SS133T-77 T26
Ref.No. Part No. Description FS1 EF-811786J FUSE RUE110 30V 1.10A
IC1 EI-820788X IC GDS1110BD-206MHZ MBGA IC1 EI-821048X IC UPC29M33AT-E2 FPE2T16E
[ unavailable ] IC2 EI-811073J IC RTC-6593
IC2 EI-821551X IC MB87L1852PFVS-G-BND JSL IC3 EI-396659J IC NJM2360D
IC3 EI-821594X IC HM5264165FTT-75 IC4 EI-820062J IC HD74HCT541FP FPELT24
IC4 EI-821594X IC HM5264165FTT-75 IC5 EI-821116X IC HD74HCT04AFP FPELT16E
IC5 EI-821424X IC HY57V281620AT-7 FPTY IC6 EI-810828J IC MCCS142236DWR2 18B
IC6 EI-821424X IC HY57V281620AT-7 FPTY FPR2T24E
IC7 EI-821211X IC MBM29DL322TE90TN IC7 EI-812979J IC HD74LVC245ATELL FPELT16E
IC8 EI-820962X IC XC95144XL-7TQ100C IC8 EI-812979J IC HD74LVC245ATELL FPELT16E
IC9 EI-820961X IC XC2S100-5TQ144C IC9 EI-812977J IC HD74LVC08TELL FPELT16E
IC10 EI-820959X1 IC SL811HST V1.5 IC10 EI-431113J IC M51953BFP-73A FP73AT12E
IC11 EI-812785J IC FAS236U PQFP IC11 EI-821593X IC ICS2008BV
IC12 EI-820949X IC HD74ALVCH16245TEL IC12 EI-380145J IC LF353
IC13 EI-820948X IC HD74ALVCH16244TE IC13 EI-821344X IC TC74HCT32AF-EL FPELT16
IC14 EI-820948X IC HD74ALVCH16244TE IC14 EI-812980J IC HD74LVC32TELL FPELT16E
IC15 EI-820948X IC HD74ALVCH16244TE IC15 EI-812979J IC HD74LVC245ATELL FPELT16E
IC16 EI-820949X IC HD74ALVCH16245TEL IC16 EI-812980J IC HD74LVC32TELL FPELT16E
IC17 EI-820951X IC HD74LVC244AT FPELT16E IP1 EF-373285 FUSE ICP-N25 T05 50V 1.0A
IC18 EI-820951X IC HD74LVC244AT FPELT16E J1 EJ-430956J DIN J YKF51-5058 2X5P
IC19 EI-812979J IC HD74LVC245ATELL FPELT16E J2 EJ-430956J DIN J YKF51-5058 2X5P
IC20 EI-810574J IC M51957BFP FP73AT12 J3 EJ-430956J DIN J YKF51-5058 2X5P
IC21 EI-820957X IC NJM317DL1 FPTE1T16E J5 EJ-820493X SOCKET BATTERY BH25TN
IC22 EI-820957X IC NJM317DL1 FPTE1T16E J6 EJ-820316X SOCKET CONNECTER YKF45-
IC23 EI-820955X IC NET2890 0001 4P
J1 EJ-820938X SOCKET SMD XH4A-8035-A 80P J8 EJ-820939X SOCKET XH4A-8031-A 80P
J2 EJ-820938X SOCKET SMD XH4A-8035-A 80P J9 EJ-820939X SOCKET XH4A-8031-A 80P
J3 EJ-820937X SOCKET SMD XH4A-4035-A 40P J10 EJ-821219X PHONE J YKB22-5244 NUT 6.3
J4 EJ-820937X SOCKET SMD XH4A-4035-A 40P J11 EJ-821219X PHONE J YKB22-5244 NUT 6.3
J5 EJ-820990X SOCKET DMM-168F-111B1 168P J12 EJ-812347J SOCKET FCN-235D050-G/J 50P
J6 EJ-820990X SOCKET DMM-168F-111B1 168P J13 EJ-821386X PHONE J YKB22-5264 NUT 6.3
J7 EJ-419246J SOCKET 128A-050S2A-S14A 50P J14 EJ-821386X PHONE J YKB22-5264 NUT 6.3
P2 EJ-810581J PLUG SCP40GS3 40P L1 EO-812743J COIL FIX 1 LHL10NB 821K
P2 EJ-810581J PLUG SCP40GS3 40P P14 EJ-810270J PLUG SCP50GS3 50P
P4 EJ-432670J PLUG C.S6B-PH-SM3-TB 6P T24E P2 EJ-810271J PLUG SCP26GS3 26P
P5 EJ-821159X PLUG C.S5B-PH-SM3-TB 5P T24E P3 EJ-810581J PLUG SCP40GS3 40P
P6 EJ-820998X PLUG C.S4B-PH-SM3-TB 4P T24E P3 EJ-810581J PLUG SCP40GS3 40P
TR1 ET-430868J TR C.DTC114EKA T146T08E P4 EJ-810270J PLUG SCP50GS3 50P
TR2 ET-430868J TR C.DTC114EKA T146T08E P9 EJ-810271J PLUG SCP26GS3 26P
TR3 ET-430868J TR C.DTC114EKA T146T08E PH1 EJ-431258J DETECTOR C.PC400T T12E
UN1 EI-820944X DC-DC CONVERTER HGS15-3R3 PH2 EJ-431258J DETECTOR C.PC400T T12E

SERVICE MANUAL
11
Ref.No. Part No. Description Ref.No. Part No. Description
R23 ER-812771J R OMF V T05FS ERX12SE1/2W 1R0J J27 EJ-821386X PHONE J YKB22-5264 NUT 6.3
R77 ER-821483X R OMF H S15 FS ERG2SH 2W 820J R361 ER-422512J R OMF H S15 FS ERG2SH 2W 151J
TR1 ET-429896J TR C.DTA144EKA T146T08E RL1 EQ-348929 RELAY SIG G5A-237P 2TR 12V
TR2 ET-429897J TR C.DTC144EKA T146T08E RL2 EQ-348929 RELAY SIG G5A-237P 2TR 12V
TR3 ET-434246J TR 2SB1326 Q,R T05 SW1 ES-821121X SW SLIDE SSSF142-S09N0 4-02N
TR4 ET-362209 TR 2SC3330 S,T,U T05 TR10 ET-821232X TR 2SC3329 GR,BL T05
TR5 ET-430868J TR C.DTC114EKA T146T08E TR11 ET-821232X TR 2SC3329 GR,BL T05
TR6 ET-429898J TR C.DTA114EKA T146T08E TR12 ET-821232X TR 2SC3329 GR,BL T05
TR13 ET-821232X TR 2SC3329 GR,BL T05
P.C. IO ADD BLOCK TR14 ET-821232X TR 2SC3329 GR,BL T05
X1 EI-410263J OSC X'TAL HC-49/U 14.318180MHZ TR15 ET-821232X TR 2SC3329 GR,BL T05
D10 ED-811455J D SILICON H 1SS133T-77 T26 TR16 ET-821232X TR 2SC3329 GR,BL T05
D11 ED-811455J D SILICON H 1SS133T-77 T26 TR17 ET-821232X TR 2SC3329 GR,BL T05
D12 ED-811455J D SILICON H 1SS133T-77 T26 TR19 ET-362847 TR 2SA1317 S,T,U T05
D13 ED-811455J D SILICON H 1SS133T-77 T26 TR20 ET-430868J TR C.DTC114EKA T146T08E
D14 ED-811455J D SILICON H 1SS133T-77 T26 TR21 ET-410280J TR 2SC3327 A T05
D15 ED-811455J D SILICON H 1SS133T-77 T26 TR22 ET-410280J TR 2SC3327 A T05
D16 ED-811455J D SILICON H 1SS133T-77 T26 TR23 ET-410280J TR 2SC3327 A T05
D17 ED-811455J D SILICON H 1SS133T-77 T26 TR24 ET-410280J TR 2SC3327 A T05
D18 ED-811455J D SILICON H 1SS133T-77 T26
D19 ED-811455J D SILICON H 1SS133T-77 T26 P.C. IO VR BLOCK
D20 ED-811455J D SILICON H 1SS133T-77 T26 IC60 EI-810591J IC NJM5532L
D21 ED-811455J D SILICON H 1SS133T-77 T26 IC61 EI-812479J IC NJM5532M FPT1T32P
D22 ED-811455J D SILICON H 1SS133T-77 T26 IC62 EI-812479J IC NJM5532M FPT1T32P
D23 ED-811455J D SILICON H 1SS133T-77 T26 SW3 ES-821122X SW PUSH SPUJ19B-2N-W
D24 ED-811455J D SILICON H 1SS133T-77 T26 2-02-02N
IC21 EI-348785 IC M5220L VR1 EV-821124X VR ROTARY RK1612220 L25RD203X2
IC22 EI-348785 IC M5220L VR2 EV-821123X VR ROTARY RK16312A0 L25 B103X2
IC23 EI-348785 IC M5220L
IC24 EI-397407J IC NJM7812FA
IC25 EI-348785 IC M5220L 4. P.C. OPERATION BLOCK
IC26 EI-348785 IC M5220L Ref.No. Part No. Description
IC27 EI-810591J IC NJM5532L D100 ED-811455J D SILICON H 1SS133T-77 T26
IC28 EI-348785 IC M5220L D101 ED-811455J D SILICON H 1SS133T-77 T26
IC29 EI-810591J IC NJM5532L D102 ED-811455J D SILICON H 1SS133T-77 T26
IC30 EI-812781J IC AK5383VS FPE2T24E D103 ED-811455J D SILICON H 1SS133T-77 T26
IC31 EI-820696X IC ICS570A-01CS08 FP T12E D104 ED-811455J D SILICON H 1SS133T-77 T26
IC32 EI-821120X IC HD74LVC02TELL FPELT12E D105 ED-811455J D SILICON H 1SS133T-77 T26
IC33 EI-810574J IC M51957BFP FP73AT12 D106 ED-811455J D SILICON H 1SS133T-77 T26
IC34 EI-336995 IC NJM78L05A D107 ED-811455J D SILICON H 1SS133T-77 T26
IC35 EI-810574J IC M51957BFP FP73AT12 D108 ED-811455J D SILICON H 1SS133T-77 T26
IC36 EI-821442X IC PCM1730E FPT16E D109 ED-811455J D SILICON H 1SS133T-77 T26
IC37 EI-821226X IC OPA2134UA FPT12E D110 ED-811455J D SILICON H 1SS133T-77 T26
IC38 EI-821226X IC OPA2134UA FPT12E D111 ED-811455J D SILICON H 1SS133T-77 T26
IC39 EI-810591J IC NJM5532L D112 ED-811455J D SILICON H 1SS133T-77 T26
IC40 EI-377191 IC NJM5532D-D D113 ED-811455J D SILICON H 1SS133T-77 T26
IC41 EI-377191 IC NJM5532D-D D114 ED-811455J D SILICON H 1SS133T-77 T26
IC42 EI-377191 IC NJM5532D-D D115 ED-811455J D SILICON H 1SS133T-77 T26
IC43 EI-377191 IC NJM5532D-D D116 ED-811455J D SILICON H 1SS133T-77 T26
IC44 EI-348785 IC M5220L D117 ED-811455J D SILICON H 1SS133T-77 T26
IC45 EI-410281J IC NJM7912FA D118 ED-811455J D SILICON H 1SS133T-77 T26
IC46 EI-821118X IC NJM78M08FA D119 ED-811455J D SILICON H 1SS133T-77 T26
IC47 EI-400856J IC NJM78M05FA D120 ED-811455J D SILICON H 1SS133T-77 T26
IC48 EI-400856J IC NJM78M05FA D121 ED-811455J D SILICON H 1SS133T-77 T26
IC49 EI-821118X IC NJM78M08FA D122 ED-811455J D SILICON H 1SS133T-77 T26
IC50 EI-821048X IC UPC29M33AT-E2 FPE2T16E D123 ED-811455J D SILICON H 1SS133T-77 T26
IC51 EI-812977J IC HD74LVC08TELL FPELT16E D124 ED-811455J D SILICON H 1SS133T-77 T26
IC52 EI-820951X IC HD74LVC244AT FPELT16E D125 ED-811455J D SILICON H 1SS133T-77 T26
IC53 EI-810588J IC SSM-2404P D126 ED-811455J D SILICON H 1SS133T-77 T26
J21 EJ-820762X SOCKET RECEPTACLE NCJ9FI-H-0 D127 ED-811455J D SILICON H 1SS133T-77 T26
J22 EJ-820762X SOCKET RECEPTACLE NCJ9FI-H-0 D128 ED-811455J D SILICON H 1SS133T-77 T26
J23 EJ-821443X PIN J YKC21-3079 P2P D129 ED-811455J D SILICON H 1SS133T-77 T26
J24 EJ-386340J SOCKET RECEPTACLE XLB3- D130 ED-811455J D SILICON H 1SS133T-77 T26
32PCVM1 D131 ED-811455J D SILICON H 1SS133T-77 T26
J25 EJ-386340J SOCKET RECEPTACLE XLB3- D132 ED-811455J D SILICON H 1SS133T-77 T26
32PCVM1 D133 ED-811455J D SILICON H 1SS133T-77 T26
J26 EJ-821386X PHONE J YKB22-5264 NUT 6.3 D134 ED-811455J D SILICON H 1SS133T-77 T26

SERVICE MANUAL
12
Ref.No. Part No. Description Ref.No. Part No. Description
D135 ED-811455J D SILICON H 1SS133T-77 T26 D305 ED-811455J D SILICON H 1SS133T-77 T26
D136 ED-811455J D SILICON H 1SS133T-77 T26 D310 ED-811455J D SILICON H 1SS133T-77 T26
D137 ED-811455J D SILICON H 1SS133T-77 T26 D311 ED-811455J D SILICON H 1SS133T-77 T26
D138 ED-811455J D SILICON H 1SS133T-77 T26 D312 ED-811455J D SILICON H 1SS133T-77 T26
D139 ED-811455J D SILICON H 1SS133T-77 T26 D313 ED-811455J D SILICON H 1SS133T-77 T26
D140 ED-811455J D SILICON H 1SS133T-77 T26 D314 ED-811455J D SILICON H 1SS133T-77 T26
D141 ED-811455J D SILICON H 1SS133T-77 T26 D315 ED-811455J D SILICON H 1SS133T-77 T26
D142 ED-811455J D SILICON H 1SS133T-77 T26 D320 ED-811455J D SILICON H 1SS133T-77 T26
D143 ED-811455J D SILICON H 1SS133T-77 T26 D321 ED-811455J D SILICON H 1SS133T-77 T26
D144 ED-811455J D SILICON H 1SS133T-77 T26 D322 ED-811455J D SILICON H 1SS133T-77 T26
D145 ED-811455J D SILICON H 1SS133T-77 T26 D323 ED-811455J D SILICON H 1SS133T-77 T26
D146 ED-811455J D SILICON H 1SS133T-77 T26 D324 ED-811455J D SILICON H 1SS133T-77 T26
D147 ED-811455J D SILICON H 1SS133T-77 T26 D325 ED-811455J D SILICON H 1SS133T-77 T26
D148 ED-811455J D SILICON H 1SS133T-77 T26 D330 ED-811455J D SILICON H 1SS133T-77 T26
D149 ED-811455J D SILICON H 1SS133T-77 T26 D331 ED-811455J D SILICON H 1SS133T-77 T26
D150 ED-811455J D SILICON H 1SS133T-77 T26 D332 ED-811455J D SILICON H 1SS133T-77 T26
D151 ED-811455J D SILICON H 1SS133T-77 T26 D333 ED-811455J D SILICON H 1SS133T-77 T26
D152 ED-811455J D SILICON H 1SS133T-77 T26 D334 ED-811455J D SILICON H 1SS133T-77 T26
D153 ED-811455J D SILICON H 1SS133T-77 T26 D335 ED-811455J D SILICON H 1SS133T-77 T26
D154 ED-811455J D SILICON H 1SS133T-77 T26 D350 ED-393487J D ZENER H HZS7A1L T26
D155 ED-811455J D SILICON H 1SS133T-77 T26 D351 ED-393487J D ZENER H HZS7A1L T26
D156 ED-811455J D SILICON H 1SS133T-77 T26 D352 ED-393487J D ZENER H HZS7A1L T26
D157 ED-811455J D SILICON H 1SS133T-77 T26 D353 ED-393487J D ZENER H HZS7A1L T26
D158 ED-811455J D SILICON H 1SS133T-77 T26 D354 ED-393487J D ZENER H HZS7A1L T26
D159 ED-811455J D SILICON H 1SS133T-77 T26 IC22 EI-820947X IC HD64F2132RFA20
D160 ED-811455J D SILICON H 1SS133T-77 T26 IC24 EI-375185 IC M51953BL
D161 ED-811455J D SILICON H 1SS133T-77 T26 IC300 EI-362588J1 IC M5238AP
D162 ED-811455J D SILICON H 1SS133T-77 T26 IC320 EI-362588J1 IC M5238AP
D163 ED-811455J D SILICON H 1SS133T-77 T26 IC350 EI-387934J IC HD74HC04P
D164 ED-811455J D SILICON H 1SS133T-77 T26 P20 EJ-361777 PLUG S6B-PH-K WHT 6P
D165 ED-811455J D SILICON H 1SS133T-77 T26 P30 EJ-367245 PLUG S4B-PH-K WHT 4P
D166 ED-811455J D SILICON H 1SS133T-77 T26 P300 EJ-821080X SOCKET 5229-20APB 20P
D167 ED-811455J D SILICON H 1SS133T-77 T26 SW100 ES-415015J SW TACT SKQEAD
D168 ED-811455J D SILICON H 1SS133T-77 T26 SW101 ES-415015J SW TACT SKQEAD
D169 ED-811455J D SILICON H 1SS133T-77 T26 SW102 ES-415015J SW TACT SKQEAD
D170 ED-811455J D SILICON H 1SS133T-77 T26 SW103 ES-415015J SW TACT SKQEAD
D200 ED-388539J D LED GL-3HD43 SW104 ES-415015J SW TACT SKQEAD
D201 ED-388539J D LED GL-3HD43 SW105 ES-415015J SW TACT SKQEAD
D202 ED-388539J D LED GL-3HD43 SW106 ES-349474 SW TACT SKHHAM004A
D203 ED-812086J D LED L-934SGD GREEN SW107 ES-349474 SW TACT SKHHAM004A
D204 ED-812086J D LED L-934SGD GREEN SW108 ES-349474 SW TACT SKHHAM004A
D205 ED-810393J D LED GL-3KG8 SW109 ES-349474 SW TACT SKHHAM004A
D206 ED-810393J D LED GL-3KG8 SW110 ES-349474 SW TACT SKHHAM004A
D208 ED-812086J D LED L-934SGD GREEN SW111 ES-349474 SW TACT SKHHAM004A
D209 ED-812086J D LED L-934SGD GREEN SW112 ES-349474 SW TACT SKHHAM004A
D210 ED-810393J D LED GL-3KG8 SW113 ES-415015J SW TACT SKQEAD
D211 ED-810393J D LED GL-3KG8 SW114 ES-349474 SW TACT SKHHAM004A
D212 ED-810393J D LED GL-3KG8 SW115 ES-349474 SW TACT SKHHAM004A
D213 ED-810393J D LED GL-3KG8 SW116 ES-349474 SW TACT SKHHAM004A
D214 ED-812086J D LED L-934SGD GREEN SW117 ES-349474 SW TACT SKHHAM004A
D215 ED-810393J D LED GL-3KG8 SW118 ES-349474 SW TACT SKHHAM004A
D216 ED-810393J D LED GL-3KG8 SW119 ES-349474 SW TACT SKHHAM004A
D217 ED-810393J D LED GL-3KG8 SW120 ES-349474 SW TACT SKHHAM004A
D218 ED-810393J D LED GL-3KG8 SW121 ES-349474 SW TACT SKHHAM004A
D219 ED-810393J D LED GL-3KG8 SW122 ES-349474 SW TACT SKHHAM004A
D220 ED-812086J D LED L-934SGD GREEN SW123 ES-349474 SW TACT SKHHAM004A
D221 ED-810393J D LED GL-3KG8 SW124 ES-349474 SW TACT SKHHAM004A
D222 ED-810393J D LED GL-3KG8 SW125 ES-349474 SW TACT SKHHAM004A
D224 ED-810393J D LED GL-3KG8 SW126 ES-349474 SW TACT SKHHAM004A
D225 ED-810393J D LED GL-3KG8 SW127 ES-349474 SW TACT SKHHAM004A
D226 ED-810393J D LED GL-3KG8 SW128 ES-349474 SW TACT SKHHAM004A
D227 ED-810393J D LED GL-3KG8 SW129 ES-349474 SW TACT SKHHAM004A
D300 ED-811455J D SILICON H 1SS133T-77 T26 SW130 ES-349474 SW TACT SKHHAM004A
D301 ED-811455J D SILICON H 1SS133T-77 T26 SW131 ES-349474 SW TACT SKHHAM004A
D302 ED-811455J D SILICON H 1SS133T-77 T26 SW132 ES-349474 SW TACT SKHHAM004A
D303 ED-811455J D SILICON H 1SS133T-77 T26 SW133 ES-349474 SW TACT SKHHAM004A
D304 ED-811455J D SILICON H 1SS133T-77 T26 SW134 ES-349474 SW TACT SKHHAM004A

SERVICE MANUAL
13
Ref.No. Part No. Description Ref.No. Part No. Description
SW135 ES-349474 SW TACT SKHHAM004A D175 ED-811455J D SILICON H 1SS133T-77 T26
SW136 ES-349474 SW TACT SKHHAM004A D176 ED-811455J D SILICON H 1SS133T-77 T26
SW137 ES-349474 SW TACT SKHHAM004A SW171 ES-349474 SW TACT SKHHAM004A
SW138 ES-349474 SW TACT SKHHAM004A SW172 ES-349474 SW TACT SKHHAM004A
SW139 ES-349474 SW TACT SKHHAM004A SW173 ES-349474 SW TACT SKHHAM004A
SW140 ES-349474 SW TACT SKHHAM004A SW174 ES-349474 SW TACT SKHHAM004A
SW141 ES-349474 SW TACT SKHHAM004A SW175 ES-349474 SW TACT SKHHAM004A
SW142 ES-349474 SW TACT SKHHAM004A SW176 ES-349474 SW TACT SKHHAM004A
SW143 ES-349474 SW TACT SKHHAM004A W170 EW-821085X WIRE ASSY L4016(3) JB-PH 6P
SW144 ES-349474 SW TACT SKHHAM004A
SW145 ES-349474 SW TACT SKHHAM004A P.C. HEADPHONE & USB BLOCK
SW146 ES-349474 SW TACT SKHHAM004A IC200 EI-353227 IC M5216L
SW147 ES-349474 SW TACT SKHHAM004A J200 EJ-821000X PHONE J YKB26-5264 S.NUT 6.3
SW148 ES-349474 SW TACT SKHHAM004A J250 EJ-820970X SOCKET CONNECTER YKF45-
SW149 ES-337521 SW TACT SKHHAL 0001 4P
SW150 ES-337521 SW TACT SKHHAL PS200 EF-811786J FUSE RUE110 30V 1.10A
SW151 ES-337521 SW TACT SKHHAL R210 ER-430691J R OMF H S12 FS 1W 101J
SW152 ES-337521 SW TACT SKHHAL R211 ER-430691J R OMF H S12 FS 1W 101J
SW153 ES-337521 SW TACT SKHHAL TR200 ET-410280J TR 2SC3327 A T05
SW154 ES-337521 SW TACT SKHHAL TR201 ET-410280J TR 2SC3327 A T05
SW155 ES-337521 SW TACT SKHHAL TR202 ET-410280J TR 2SC3327 A T05
SW156 ES-337521 SW TACT SKHHAL TR203 ET-410280J TR 2SC3327 A T05
SW157 ES-337521 SW TACT SKHHAL VR200 EV-821235X VR ROTARY RK0971220 L15 B103X2
SW158 ES-337521 SW TACT SKHHAL W200 EW-821086X WIRE ASSY L4016(4) JC-PH 8P
SW159 ES-337521 SW TACT SKHHAL W250 EW-821088X WIRE ASSY L4016(6) JB-PH 4P
SW160 ES-337521 SW TACT SKHHAL
SW161 ES-337521 SW TACT SKHHAL P.C. JOG BLOCK
SW162 ES-337521 SW TACT SKHHAL RE300 ES-821084X ROTARY ENCORDER REC16B25-
SW163 ES-349474 SW TACT SKHHAM004A 201-C
SW164 ES-349474 SW TACT SKHHAM004A W300 EW-821087X WIRE ASSY L4016(5) JB-PH 4P
SW165 ES-349474 SW TACT SKHHAM004A
P.C. LCD BLOCK
SW166 ES-349474 SW TACT SKHHAM004A
J400 EJ-812770J SOCKET 14FE-ST-VK-N 14P
SW167 ES-349474 SW TACT SKHHAM004A
VR400 EV-820602X VR ROTARY RK09K113AF25B14 B103
SW168 ES-349474 SW TACT SKHHAM004A
W400 EW-812756J WIRE ASSY L3055 W12 JB-51021 5
SW169 ES-349474 SW TACT SKHHAM004A
W401 EW-812757J WIRE ASSY L3055 W16 JB-EH 14P
SW170 ES-349474 SW TACT SKHHAM004A
W402 EW-813024J WIRE AWG24 TCT BLK L=60
TR200 ET-364023 TR DTC114ES T05
TR201 ET-364023 TR DTC114ES T05
P.C. POWER SW BLOCK
TR202 ET-364023 TR DTC114ES T05
P3 EJ-359621 PLUG B2P-3-VH P7.92 2P
TR203 ET-364023 TR DTC114ES T05
P4 EJ-375206 PLUG B2P3VH RED P7.92 2P
TR204 ET-364023 TR DTC114ES T05
SW1 ES-430685J1 SW PUSH SDDF3A 02-1
TR205 ET-366753 TR DTA114ES T05
TR206 ET-366753 TR DTA114ES T05
TR207 ET-366753 TR DTA114ES T05
6. P.C. EFFECT BLOCK (Z8)
TR208 ET-366753 TR DTA114ES T05
Ref.No. Part No. Description
TR209 ET-366753 TR DTA114ES T05 IC1 EI-812794J IC XCB56362PV100 TQFP
TR210 ET-366753 TR DTA114ES T05 IC2 EI-820459X IC GM71VS65163CLT-5
VR200 EV-812350J VR SLIDE RS45112 L15 B103X2 SP IC3 EI-820459X IC GM71VS65163CLT-5
VR202 EV-812350J VR SLIDE RS45112 L15 B103X2 SP IC4 EI-812841J IC HM62W16255HJP-15
VR204 EV-812348J VR ROTARY RK14K124 L20 B103X2 IC5 EI-812841J IC HM62W16255HJP-15
VR206 EV-812348J VR ROTARY RK14K124 L20 B103X2 IC6 EI-812977J IC HD74LVC08TELL FPELT16E
VR208 EV-812348J VR ROTARY RK14K124 L20 B103X2 J1 EJ-820937X SOCKET SMD XH4A-4035-A 40P
VR210 EV-812348J VR ROTARY RK14K124 L20 B103X2 J2 EJ-820937X SOCKET SMD XH4A-4035-A 40P
X20 EI-820996X OSC X'TAL C.SMD-49 14.7456MHZ

7. IB-48P
5. P.C. FILTER BLOCK Ref.No. Part No. Description
Ref.No. Part No. Description IC1 EI-397407J IC NJM7812FA
L1 EO-427223J COIL LF HR-24-562 IC2 EI-410281J IC NJM7912FA
P1 EJ-397230J PLUG B2P3VH BLACK P7.92 2P IC3 EI-812978J IC HD74LVC541ATELL FPELT16E
P2 EJ-359621 PLUG B2P-3-VH P7.92 2P IC4 EI-427221J IC NJM7808FA
IC5 EI-400856J IC NJM78M05FA
P.C. FUNCTION KEYS BLOCK
IC6 EI-821048X IC UPC29M33AT-E2 FPE2T16E
D171 ED-811455J D SILICON H 1SS133T-77 T26
IC7 EI-336995 IC NJM78L05A
D172 ED-811455J D SILICON H 1SS133T-77 T26
IC8 EI-810574J IC M51957BFP FP73AT12
D173 ED-811455J D SILICON H 1SS133T-77 T26
IC9 EI-821442X IC PCM1730E FPT16E
D174 ED-811455J D SILICON H 1SS133T-77 T26
IC10 EI-821226X IC OPA2134UA FPT12E

SERVICE MANUAL
14
Ref.No. Part No. Description Ref.No. Part No. Description
IC11 EI-810591J IC NJM5532L X1 EI-820992X OSC X'TAL C.DS0751SV 25.0000MHZ
IC12 EI-821226X IC OPA2134UA FPT12E
IC13 EI-810591J IC NJM5532L
IC14 EI-821442X IC PCM1730E FPT16E 9. IB-4D
IC15 EI-821226X IC OPA2134UA FPT12E Ref.No. Part No. Description
IC16 EI-810591J IC NJM5532L 100 EZ-811066J BATTERY LITHIUM CR2025
IC17 EI-821226X IC OPA2134UA FPT12E 110 EJ-378916J SOCKET JM-2W-96 2P
IC18 EI-810591J IC NJM5532L IC1 EI-813234J IC CS8414-CS FPT1T24E
IC19 EI-821442X IC PCM1730E FPT16E IC2 EI-813233J IC CS8404A-CS FPT1T24E
IC20 EI-821226X IC OPA2134UA FPT12E IC3 EI-821412X IC SM5849BF
IC21 EI-810591J IC NJM5532L IC4 EI-821412X IC SM5849BF
IC22 EI-821226X IC OPA2134UA FPT12E IC5 EI-810887J IC AV9173-01CS08 FP T12E
IC23 EI-810591J IC NJM5532L IC6 EI-810887J IC AV9173-01CS08 FP T12E
IC24 EI-821442X IC PCM1730E FPT16E IC7 EI-821022X IC XC9572XL-7TQ100C
IC25 EI-821226X IC OPA2134UA FPT12E IC8 EI-811576J IC DS34C86TM FP T16E
IC26 EI-810591J IC NJM5532L IC9 EI-811205J IC MC74HCU04AF FPELT16E
IC27 EI-821226X IC OPA2134UA FPT12E IC10 EI-811125J IC UPC2933T
IC28 EI-810591J IC NJM5532L J1 EJ-820754X PIN J YKC21-3079 P2P
IC30 EI-812977J IC HD74LVC08TELL FPELT16E J2 EJ-820150J SOCKET COAX. BNC-LNRD-BPAA
J1 EJ-413165J PHONE J 3P YKB21-5009 NI 6.3 SW1 ES-428287J SW SLIDE SSSF112-S06N1 1-02N
J2 EJ-821387X PHONE J YKB21-5264 6.3 T1 BT-810651J TRANS PULSE CIT0705S-35101TFP
J3 EJ-821387X PHONE J YKB21-5264 6.3 TR1 ET-391712J TR 2SA1317 S,T T05
J4 EJ-413165J PHONE J 3P YKB21-5009 NI 6.3 W1 EW-821006X WIRE ASSY L5262(1) RA-RC 40P
J5 EJ-821387X PHONE J YKB21-5264 6.3 X1 EI-821023X OSC X'TAL C.DS0751SV 6.144MHZ
J6 EJ-821387X PHONE J YKB21-5264 6.3
J7 EJ-413165J PHONE J 3P YKB21-5009 NI 6.3
J8 EJ-821387X PHONE J YKB21-5264 6.3 10. LCD BLOCK
TR1 ET-410280J TR 2SC3327 A T05 Ref.No. Part No. Description
TR2 ET-410280J TR 2SC3327 A T05 1 SP-812808X2 PANEL LCD
TR3 ET-410280J TR 2SC3327 A T05 2 SP-821150X WINDOW LCD
TR4 ET-410280J TR 2SC3327 A T05 3 EM-812761J IND LCD G321EV5R001
TR5 ET-410280J TR 2SC3327 A T05 4 MB-820395X SHIELD LCD 110
TR6 ET-410280J TR 2SC3327 A T05 5 MB-820396X SHIELD LCD 90
TR7 ET-410280J TR 2SC3327 A T05 6 EM-812795J INVERTER CXA-K0505-VJL
TR8 ET-410280J TR 2SC3327 A T05 7 ZS-811805J BT BID30X10STL BNI
TR9 ET-410280J TR 2SC3327 A T05 8 EW-821444X CORD FFC P1.25 L480 14P
TR10 ET-410280J TR 2SC3327 A T05 9 ZS-331182 BT BID30X08STL BNI
TR11 ET-410280J TR 2SC3327 A T05 10 MS-812805J SHAFT (1)
TR12 ET-410280J TR 2SC3327 A T05 11 ZW-270101 RING E 300SUP CMT
TR13 ET-410280J TR 2SC3327 A T05 12 MS-812806J SHAFT (2)
TR14 ET-410280J TR 2SC3327 A T05 13 SP-812809J BOTTOM LCD
TR15 ET-410280J TR 2SC3327 A T05 14 SK-821433X KNOB LCD (2)
TR16 ET-410280J TR 2SC3327 A T05 14 EV-820602X VR ROTARY RK09K113AF25B14 B103
TR17 ET-364023 TR DTC114ES T05 15 BA-L4016A504C PC LCD BLK
TR18 ET-362847 TR 2SA1317 S,T,U T05 16 EL-820373X FL UNIT 001
W1 EW-820969X WIRE ASSY L5261(1) RA-RC 26P

11. FINAL ASSEMBLY BLOCK


8. IB-4ADT Ref.No. Part No. Description
Ref.No. Part No. Description 1 SP-821144X1 PANEL TOP
IC1 EI-821412X IC SM5849BF 2 SE-820647X1 ESCUTCHEON POWER
IC2 EI-821412X IC SM5849BF 3 SE-810353J LENS LED
IC3 EI-821412X IC SM5849BF 4 SE-821149X ESCUTCHEON PANEL
IC4 EI-821412X IC SM5849BF 5 SP-821147X PANEL FRONT
IC5 EI-821412X IC SM5849BF 6 BUTTON SB-820646X BUTTON POWER [ Pow SW ]
IC6 EI-820941X IC XC2S50-5TQ144C 6 SW ES-430685J1 SW PUSH SDDF3A 02-1
IC7 EI-820957X IC NJM317DL1 FPTE1T16E 7 BUTTON SB-821492X BUTTON PUSH (A) [ White ]
IC8 EI-810887J IC AV9173-01CS08 FP T12E 7 SW ES-349474 SW TACT SKHHAM004A
IC9 EI-810887J IC AV9173-01CS08 FP T12E 8 BUTTON SB-821549X BUTTON PUSH C-7 [ White ]
IC10 EI-812977J IC HD74LVC08TELL FPELT16E 8 SW ES-349474 SW TACT SKHHAM004A
IC11 EI-821160X IC BA033FP E2 FPE2T16E 9 BUTTON SB-430328J BUTTON PUSH C [ Black ]
IC13 EI-812978J IC HD74LVC541ATELL FPELT16E 9 SW ES-349474 SW TACT SKHHAM004A
IC14 EI-812978J IC HD74LVC541ATELL FPELT16E 10 BUTTON SB-812379J BUTTON PUSH C-4 [ Yellow ]
J1 EJ-394459J SOCKET OPTICAL GP1F32R 10 SW ES-349474 SW TACT SKHHAM004A
J2 EJ-394490J SOCKET OPTICAL GP1F32T 11 BUTTON SB-821429X BUTTON PUSH C-6 [ Purple ]
J3 EJ-820965X WIRE ASSY L5263(1) RA-RC 50P 11 SW ES-349474 SW TACT SKHHAM004A
P1 EJ-364356 PLUG B8B-PH-K WHT 8P 12 BUTTON SB-430327J BUTTON PUSH B(2) [ Red ]

SERVICE MANUAL
15
Ref.No. Part No. Description Ref.No. Part No. Description
12 SW ES-415015J SW TACT SKQEAD 47 ES-428287J SW SLIDE SSSF112-S06N1 1-02N
13 BUTTON SB-821550X BUTTON PUSH B(5) [ White ] [ Termineter ]
13 SW ES-415015J SW TACT SKQEAD 48 EJ-820754X PIN J YKC21-3079 P2P
[ TAP TEMPO ONLY ] [ DIGITAL I/O ]
13 SW ES-337521 SW TACT SKHHAL 49 ZS-322570 ST BID40X08STL NI3
[ PADBANK,NEXT,etc ] 50 ZW-413267 N FRANGE 40STL CMT
14 BUTTON SB-820334X BUTTON PUSH B(4) [ Black ] 51 ZS-812613J PAN25X06STL NI3 [ SCSI JACK ]
14 SW ES-415015J SW TACT SKQEAD 52 EJ-405424J PLUG ADAPTOR KPR-25 J
[ PLAY,STOP,PLAY ST. ] 53A EW-380905J AC CORD 250S KP300 KS16A H B J
14 SW ES-337521 SW TACT SKHHAL [J]
[ Q-LINK SEQ, PAD ASSING,etc ] 53B EW-368420J1 AC CORD200SKP30KS16 B AC [ A ]
15 PAD SE-820582X PAD CH-SE3 [ Black ] 53C EW-403993J AC CORD200SKP4819DKS31A B E
15 SENSOR BA-379695J1 PC PAD SENSOR [E]
16 KNOB SK-821427X KNOB VOL LOWER PART (2) 53D EW-419170J AC CORD200S KP610 KS31A B [ B ]
[ REC LOWER] 54 EW-821111X WIRE ASSY L4016(12) AMP 40P
17 KNOB SK-821426X KNOB VOL UPPER PART (2) [ ATA-40pin ]
[ REC UPPER ] 55 ZS-418538J PAN N06-32UNCX5MM STL CMT
17 VOL EV-821124X VR ROTARY RK1612220 [ for HD ]
L25RD203X2 56 ZS-417137 BID30X04STL CMT [ for ZIP ]
18 KNOB SK-821438X KNOB VOL PART(12) [ MAIN ] 57 EW-821112X WIRE ASSY L4016(13) AMP-AMP 4P
18 VOL EV-821123X VR ROTARY RK16312A0 L25 [ Power Cable ]
B103X2 58 ZW-302909 RV NYL30X035 BL
19 KNOB SK-821152X KNOB JOG [ JOG ] 59 EW-821537X WIRE ASSY L4016(19) JST-MLX 2P
19 VOL ES-821084X ROTARY ENCORDER REC16B25- [ Digital Cable ]
201-C 60 EW-821536X WIRE ASSY L4016(18) JST-MLX 4P
20 KNOB SK-821430X KNOB SLIDE (C) [ Q-LINK ] [ Analog Cable ]
20 VOL EV-812350J VR SLIDE RS45112 L15 B103X2 SP 61 EH-821634X FILTER EMI TFC-16-8-13F WO/OP
21 KNOB SK-821439X KNOB VOL PART(13) [ Q-LINK ] [ for HD Power Cable ]
21 VOL EV-812348J VR ROTARY RK14K124 L20 B103X2 62 BA-LJ038A020A PC POWER BLK SPS-80
22 SP-821145X PANEL SIDE(L) [ Power BLK ]
23 SP-821146X PANEL SIDE(R) 63 KNOB SK-821432X KNOB VOL(F) [ Head Phone ]
24 ZS-821548X SCREW TOP COVER NI 63 VOL EV-821235X VR ROTARY RK0971220 L15
25 ZS-821678X WASHER TOP B103X2
26 SK-821679X SPACER SLIDE 64 ZW-273914 SW40
27 BUTTON SB-821151X BUTTON CURSOR [ CURSOR ] 65 ZW-413188 N40STL CMT 1
27 SW ES-349474 SW TACT SKHHAM004A 66 EJ-821000X PHONE J YKB26-5264 S.NUT 6.3
28 EJ-358632J2 SOCKET INLET SOT-16 3P 67 EJ-820970X SOCKET CONNECTER YKF45-0001
[ AC INLET] 4P [ USB-A ]
29 BM-821374X MOTOR FAN 109R0612F402 L=230 68 ES821122X SW PUSH SPUJ19B-2N-*W 2-02-
[ MOTOR FAN ] 02N [ REC GAIN ]
30 SA-311742 FOOT ROUND SHAPED [ FOOT ]
31 EJ-329610 TERMINAL W/SCREW UB-0067 L 1P
[ Signal GND ]
32 SP-821155X PANEL REAR
33 ZS-331182 BT BID30X08STL BNI
34 ZS-396044 BID30X08STL BNI
35 EJ-430956J DIN J YKF51-5058 2X5P [ MIDI I/O ]
36 EJ-812347J SOCKET FCN-235D050-G/J 50P
[ SCSI I/O ]
37 EJ-821386X PHONE J YKB22-5264 NUT 6.3
[ MAIN OUT ]
38 EJ-820762X SOCKET RECEPTACLE NCJ9FI-H-0
[ XLR IN ]
39 EJ-386340J SOCKET RECEPTACLE XLB3-
32PCVM1 [ XLR OUT ]
40 EJ-821219X PHONE J YKB22-5244 NUT 6.3
[ FOOT SW ]
41 EJ-820316X SOCKET CONNECTER YKF45-0001
4P [ USB-B ]
42 EJ-821443X PIN J YKC21-4042 P2P [ PHONO IN ]
43 ES-821121X SW SLIDE SSSF142-S09N0 4-02N
[ INPUT SEL ]
44 ZS-820481X BT PAN30X08STL BZN C080
[ AC INLET ]
45 ZS-388940J BID40X35STL BNI
46 EJ-820150J SOCKET COAX. BNC-LNRD-BPAA
[ Word Clock ]

SERVICE MANUAL
16
FINAL ASSEMBLY BLOCK

2 6 13 1 7 4 11 68 17 16 18

CONTRAST

REC GAIN MAIN VOLUME


23
22 GAIN
HIGH
LOW MIN MAX MIN MAX
F1 F2 F3 F4 F5 F6 L R

F1 F2 F3 F4 F5 F6

SETUP SEQUENCE
RECORD SAMPLE PROGRAM

Q1 Q2 PAD BANK I J K
A B C D E F NEXT TRACK
SEQUENCE MUTE 7 8 9 MULTI MIXER EFFECT

L M N
A B C D E F G H 4 5 6 SAVE LOAD GLOBAL
MIN MAX MIN MAX
ACTIVE ACTIVE DRUMS
O P Q
Q-LINK
SEQUENCE 1 2 3 SONG MISC. MIDI

21 Q3 Q4
PAD 13 P LOOP PAD 14 P TO PAD 15 P FROM PAD 16 PL AY

R S T
& 0 ENTER MAIN SEQ EDIT STEP EDIT
PAD
ASSIGN

MIN MAX MIN MAX


CURSOR
U V W
8
PAD 9 PAD 10 PAD 11 PAD 12 JOG
ACTIVE ACTIVE #

FULL TIMING CORRECT


LEVEL WINDOW
BLOCK
Q5 Q6 CURSOR
X

PAD 5 PAD 6 PAD 7 PAD 8


16
LEVELS
MASTER TEMPO
SHIFT 19
Y
!

ERASE UNDO SEQ


LOCATE
27
STEP GO TO BAR
PAD 1 PAD 2 PAD 3 PAD 4

Z EVENT START END


(
PLAY/ REC
ACTIVE ACTIVE NOTE
REPEAT TAP TEMPO REC OVER STOP PLAY PLAY
DUB START

20 24
26 25
14

8 3 14 15 5 13 8 12 10 14

57

54

61

60 59

58

SERVICE MANUAL
17
FINAL ASSEMBLY BLOCK

31 42 43 38 39 37 33 32 45 29

35 34 41 51 36 40 37 48 46 47 44 28 30 50

49

55 56

53
52
54

ZS-418538J ZS-417137

SERVICE MANUAL
18
LCD BLOCK

9
16

7
6 14

15

11
13

12

10

SERVICE MANUAL
19
VII. INFORMATION OF ICs
1. IC Explanation.

1-1 IC1 SA1110B (Intel CPU)


206MHz version chip.
CPU clock : 176.9MHz.
SDRAM (CPU work memory) : 88.45MHz (=176.9MHz/2)

1-1-2 Pin Descriptions

Signal name Dir Explanation


D[31:0] i/o Data-bus
A[25:0] out Address-bus
SDCKE1 out SDRAM clock enable for CPUs work memory
SDCLK1 out SDRAM clock for CPUs work memory.(88.45MHz)
SDCLK2 out Not used though this is connected.(44.225MHz)
/SDRAS out SDRAM RAS for CPUs work memory
/SDCAS out SDRAM CAS for CPUs work memory
/SDCS0 out SDRAM CS for CPUs work memory
DQM[3:0] out SDRAM data out mask enable for CPUs work memory
/OE out Output enable (/RD)
/WE out Write enable
/Cs[5:0] out Chip select
RDY in Data ready signal for /CS[5:3]
RD/nWR out Read/write direction control
/RESET in Hard reset. Active low.
PXTAL in Input for 3.686MHz crystal (non-CMOS threshold)
PEXTAL out Output for 3.686MHz crystal (non-CMOS level)
GP0 in Interrupt from Voice-LSI
GP1 in Interrupt from SCSI controller (FAS236U)
GP2 in Interrupt from IDE
GP3 in Interrupt from USB-host controller (SL811HST)
GP4 in Interrupt from effect board
GP5 in Interrupt from MIDI (Voice-LSI)
GP6 in Interrupt from USB-function controller (NET2890)
GP7 in Interrupt from SMPTE option (MPC only)
GP8 in Interrupt from FPGA
GP9 in Interrupt from Voice-LSI
GP11 out Initialize FPGA. active high.
GP13 out DIMM SPDA for reading information.
GP14 out DIMM SPCL for reading information.
GP15 out LCD DISP off. Active low.(MPC only)
GP16 in SCSI jack board recognition. Low=connected.
GP17 out Control /PROGRAM pin of FPGA during configuration
GP18 in effect board recognition. Low=connected.
GP19 in FPGA /INIT. used during configuration.
GP20 out D/A converter CDTI (control data input)
GP21 in FPGA done. used during configuration.
GP22 out Used for ROM emulator.
GP23 out CPLD TDI. used during configuration in factory.
GP24 out CPLD TMS. used during configuration in factory.
GP25 out CPLD TCK. used during configuration in factory.
GP26 in 8 Para board recognition. Low=connected.
GP27 in CPLD TDO. used during configuration in factory.
RxD_1 in DIO board recognition. Low=connected.
TxD_1 in SMPTE board recognition. Low=connected.
RxD_2 in RxD. from panel(remocon) 230.4kbps.
TxD_2 out TxD. to panel(remocon). 230.4kbps.
RxD_3 in ADAT board recognition. Low=connected.
TxD_3 in for future. (Low When ADAT board is exist now)
RxD_C in CD analog recognition. Low=connected.(MPC only)

SERVICE MANUAL
20
Signal name Dir Explanation
TxD_C in CD digital recognition. Low=connected.(MPC only)
SCLK_C in BM1. Machine recognition. Low=Z4/8. High=MPC.
SFRM_C in BM0. Connected GND now. for future.
LDD[7:0] out LCD controller display data.
L_BIAS out LCD ac bias drive.
L_PCLK out LCD pixel clock.
L_LCLK out LCD line clock.
L_FCLK out LCD frame clock.
TXTAL in Not used.(Clock for CPUs real-time clock)
ROM_SEL in ROM bus width select. Low=16bits.
SMROM_EN in SMROM enable. Low= disable.
/PIOR,/PIOW out PCMCIA I/o read/write for ROM Emulator
/IOIS16 in PCMCIA 16-bit I/O data transfers for ROM Emulator
TCK_BYP
TESTCLK in Test pin
GP10,/PCE1
/PWAIT
BATT_FAULT
VDD_FAULT out # Not used though this is connected #
VDD - Positive supply for the core. +1.75V
VDDX - Positive supply for the pins. +3.3V
VSS - Ground supply.
VSSX - Ground supply for the I/O pins.

1-3. IC3,4 HM5264165FTT (64Mbit SDRAM (4Mword x16bit))

Wave RAM for Voice LSI


The clock changes by the value of sampling frequency.
44.1kHz: 33.869MHz (= fsx768)
48kHz : 36.864MHz (= fsx768)
96kHz : 36.864MHz (= fsx384)
If there is no DIMM, SDRAM is placed in zero address.
If there is DIMM(s), SDRAM is placed in last address.

1-4. IC5,6 HY57V281620AT-P (128Mbit SDRAM (8Mword x16bit))


CPU work RAM
This clock is set to half of CPU core clock by software.
(88.45MHz When CPU clock is 176.9MHz.)

1-5. IC7 MBM29DL322TE90TN (32Mbit Flash ROM (2M word x16bits))


CPU Boot ROM
(If ROM-card is inserted in J7, system boot up from ROM card.)

SERVICE MANUAL
21
1-6. IC8 XC95144XL-7TQ100C (Complex programable logic (CPLD).
Address decoder.
Expansion port by using data-latch.
Communication logic for RTC.
Selector.

1-6-2. Pin Descriptions

Signal name Dir Explanation


D[7:0] i/o Connected with CPU data bus for communication.
A[24:21] in Connected with CPU address bus for communication.
/CS[5:0] in Chip select for other device.
/RD in Data output enable of CPU data bus.
/WR in Data strobe of CPU data bus.
/RESET in For initializing logic. Active low.
/GWR,/FWR out buffered /WR. (= /WR)
/GDB out Gate of buffer for logic on CPU board.
/GDB2 out Gate of buffer for logic except for CPU board.
ROMCARD in ROM card. L: not inserted (normal), H: inserted.
/FLASH_CS out Chip select for Flash-ROM. /CS0 OR (A24 XOR ROMCARD).
/ROM_CS out Chip select for ROM-card. /CS0 OR (/A24 XOR ROMCARD).
/SCSI_CS out Chip select for SCSI controller. /CS5 OR A24.
/USBF_CS out Chip select for USB host controller. /CS5 OR /A24.
FPCCLK out FPGA configuration clock on CPU PCB.
/EB_CS out Chip select for DSP on effect PCB.
FP2_CCLK out FPGA configuration clock on ADAT PCB.
/ADAT_CS out Chip select for FPGA on ADAT PCB.
/SMPTE_CS out Chip select for controller on SMPTE PCB.
/DIO_CS out Chip select for CPLD on DIO PIB.
/LSI_RESET out Reset Voice-LSI. Active low.
/VO_PLLRST out Reset PLL of Voice-LSI. Active low.
/IDE_RESET out Reset IDE.
/ADAT_INIT out Initialize FPGA and IC on ADAT PCB. Active low.
/EB_RESET out Reset DSP on effect PCB. Active low.
VO_SIN[1:0] out Audio serial data to Voice-LSIs SIN[1:0]
AD_DATA in Analog-in audio data from CODEC (A/D at MPC).
DIO_RCVD in Digital-in audio data from DIO PCB.
ADAT_RCVD in ADAT-in audio data from ADAT PCB.
EB_RTN[3:2] out Effect return.
/SCSI_TERM out SCSI termination. H:off, L:on.
/ADA_CS out Chip select for CODEC (A/D conv. at MPC).
/ADA_PD out /PD of CODEC and A/D on MPC.
AD_DFS out DFS of a/d converter on MPC.
DA_CCLK out Control clock for CODEC (D/A conv. at MPC).
/DA_CS out Chip select for dD/A converter at MPC.
/DA_PD out /PD of D/a converters on MPC and DIO.
/AD_CDSEL out Select analog-out of CD player at MPC.
MUTE out For mute circuit. H: mute on, L: mute off.
LSI_MCLK in MCLK of Voice-LSI. Make synchronous reset for Voice LSI.
RTC_D[7:0] i/o RTC data bus.
RTC_AS
RTC_DS
RTC_RW out Control for RTC
/RTC_SEL
/RTC_XALM
WCKE[1:0] out Clock enable of wave SDRAM and DIMM.
SEL_XTAL0 out X2 output enable. H: enable, L: disable.
SEL_XTAL1 out X3 output enable. H: enable, L: disable.
MOTOR_ON out Control fan on MPC.

SERVICE MANUAL
22
Signal name Dir Explanation
/FP2_PROG out For configuration to FPGA on ADAT PCB.
PLD2_TMS
out For configuration to CPLD on DIO.
PLD2_TDI
PLD2_TDO in For configuration to CPLD on DIO.
TCK,TMS,TDI in For configuration.(TCK is also used for CPLD on DIO)
TDO out For configuration.
VCCINT - Positive supply for internal logic. +3.3V
VccIO - Positive supply for output driver. +3.3V
GND - Ground supply.

1-7. IC9 XC2S100-5TQ144C (Field Programmable Gate Arrays (FPGA))


IDE controller.
DMA controller between Voice-LSI and IDE/SCSI.
MIDI interface.

1-7-2. Pin Descriptions

Signal name Dir Explanation


CD[15:0] i/o Connected with CPU bus for communication.
A[5:0] in Connected with CPU address bus for communication.
/IDE_CS in Chip select for this FPGA.
/CPU_RD in Data output enable of CPU bus.
/CPU_WR in Data strobe of CPU bus.
RESET in Initialize FPGA logic. Active high.
LSI_D[15:0] i/o Connected with DMA data bus of Voice-LSI.
IDE_D[15:0] i/o Connected with data bus of IDE.
IDE_DA[2:0] out Connected with DA[2:0] of IDE I/F
/IDE_CS1,0 out Connected with CS[1:0] of IDE I/F.
/IDE_IORD Out Connected with /DIOR_HD of IDE I/F.
/IDE_DIOW Out Connected with /DIOW_STOP of IDE I/F.
IORDY in Connected with IORDY of IDE I/F.
/IDE_DMACK out Connected with /DMACK of IDE I/F.
IDE_DMARQ in Connected with DMARQ of IDE I/F.
CPU_RDY out Connected with CPU RDY.
SCSI_DMAREQ in Connected with SCSI controller. DMA control.
/SCSI_DMACK out Connected with SCSI controller. DMA control.
LSI_DMAREQ out Connected with Voice-LSI. DMA control.
/LSI_DMAACK in Connected with Voice-LSI. DMA control.
/LSI_DMARD in Connected with Voice-LSI. DMA control.
/LSI_DMAWR in Connected with Voice-LSI. DMA control.
/INT_DMA out Interrupt request output of DMA to CPU. Active low.
LRCK in Word clock of system. Connected with Voice-LSI.
SFrame out Pin No.133. Frequency= Fs/256. duty cycle= 255:1.
MIDI_IN1,2 in MIDI 2 input.
MIDI_OUT1-4 out MIDI 4 output.
/INT_MIDI out Interrupt request output of Midi to CPU. Active low.
MCLK in Master clock of FPGA logic.
CCLK in Configuration clock I/O pin.
/INIT i/o For configuration. Active Low.
/PROGRAM in Initiates a configuration sequence when asserted Low.
DONE i/o Indicates that configuration is complete. open drain.
M0,M1,M2 in configuration mode pin.
TCK,TMS,TDI in # Not used though this is connected #
VCCINT - Power supply for internal core logic. +2.5V
VccO - Power supply for output driver. +3.3V
GND - Ground supply.

SERVICE MANUAL
23
1-8. IC10 SL811HST (USB Host/Slave Controllers).

1-8-2. Pin Descriptions

Pin No. Signal name Dir Explanation


3 /WR in Write Strobe. Active low.
4 /CS in Chip select. Active low.
5 CM in Clock mode. H: at 12MHz. L: at 48MHz clock source.
6 USBVDD - Power for USB Transceivers.
7 DATA+ i/o USB Differential Data Signal High Side.
8 DATA- i/o USB Differential Data Signal Low Side.
9 USBGND - Ground Connection for USB.
16 CLK/X1 in Clock or External Crystal X1 connection.
17 X2 out External Crystal X2 connection.
18 /RST in SL811HST Device reset. Active low.
19 INTRQ out Interrupt Request output. Active high.
21,39
27-29 D[7:0] i/o Connected with CPU bus for communication.
31-33
40 M/S in Master/Slave Mode select. H: Slave. L: Master.
42 A0 in L:Addr.Pointer.Register. H:Data Buffer or Register.
43 /DACK in DMA Acknowledge. Active low.
44 /DRQ Out DMA Request. Active low.
45 /RD in Read Strobe Input. Active low.
15,41 VDD - Device VDD Power.
9,20
GND - Device Ground.
30

SERVICE MANUAL
24
1-9. IC11 FAS236U (SCSI Controller)

1-9-2. Pin Descriptions

Pin No. Signal name Dir Explanation


100 DREQ out DMA request. H: ready to transfer data.
1 /DACK in DMA acknowledge.
2 /DBWR in Data-bus write control.
4 IGS out Indicates that chip is acting in initiator mode.
5 DIFFSENS in H: differential mode.
6 TGS out Indicates that chip is acting in target mode.
7 EDIFFS in H: Enable DIFFSENS function.
8-15
DB[15:0] i/o DMA data-bus.
19-26
16,27 DBP[1:0] i/o DMA data-bus parity.
29-36 /SDI[7:0] in SCSI data bus.
37 /SDIP in SCSI data parity.
42-45
/SDO[7:0] out SCSI data bus.
48-51
52 /SDOP out SCSI data parity.
56 /SELO out SCSI bus select.
57 /BSYO out SCSI bus busy.
58 /REQO out SCSI bus request.
59 /ACKO out SCSI bus acknowledge.
62 /MSG i/o SCSI bus message phase.
63 /CD i/o SCSI bus control and data phase.
64 /IO i/o SCSI bus I/O phase.
65 /ATN i/o SCSI bus attention.
66 /RSTO out SCSI bus reset.
69 /SELI in SCSI bus select.
70 /BSYI in SCSI bus busy.
71 /REQI in SCSI bus request.
72 /ACKI in SCSI bus acknowledge.
73 /RSTI in SCSI bus reset.
74,75 MODE[1:0] in Bus configuration mode select.
76 /INT out Interrupt output.
77 RESET in Power reset.
79 /WR in Write control.
80 /RD in Read control.
81 /CS in Chip select.
82-85 A[3:0] in Address bus.
86 CLK in Internal chip timing clock.
87 /DIFFM in H: single-endes mode. L: differential mode.
90-93
96-99 PAD[7:0] i/o PAD bus. Connected with CPU for communication.
38,88 VDD - +5V DC power input.
17,18,40
41,46,47
54,55,60 Vss - GROUND. 0V DC power return.
61,67,68
94,95

SERVICE MANUAL
25
1-10 IC12, 16 ALVCH16245 (16 bit Bus bidirectional transceivers)
1-11 IC13-15 ALVCH16244 (16 bit Bus Buffers)
1-12 IC17, 18 LVC244 (8 bit Bus Buffers)
1-13 IC19 LVC245 (8 bit Bus bidirectional transceivers)
In particular, this is used for changing 5V into 3.3V.
1-14 IC20 M51957B (System Reset IC. Delay time is about 0.34 sec)
1-15 IC21, 22 NJM317 (Regulator IC)
IC21: regulate +2.5V for FPGA.
IC22: regulate +1.75V for CPU.

1-16. IC23 NET2890 (USB function controllers)

1-16-2. Pin Descriptions (PU:pull-up, PD:pull-down)

Pin No. Signal name Dir Explanation


2 /SOF out Start of Frame. Active low.
3,4 DP,DM i/o USB data port. differential data.
5-11 bi-directional 8-bit data bus.
D[7:0] i/o
14 Connected with CPU for communication.
15 /CS in Chip select. Active low. (PU)
16 LCLK out buffered clock output.
17 /IOR in I/O read strobe. Active low. (PU)
18 /IOW in I/O write strobe. Active low. (PU)
19 DRQ out DMA request.
20 /DACK in DMA acknowledge. Active low. (PU)
21 /EOT in End of transfer. Active low. (PU)
Indicates that external logic is powered by USB bus.
22 /BUSPWR in
Alternatively general input.
Indicates that external power supply used for self-powered mode is operational.
23 /PWRGOOD in
Alternatively general input.
26 /IRQ out Interrupt Request. Active low.
27 /USBOE i/o USB port output enable. Active low. (PU)
28 /DEVCFG out Device config. Alternatively general output. (PD)
30 TEST in Test. Connect this pin to ground. (PD)
32 /LRESET out Local reset. Active low. (PU)
33 /SUSP out Device suspended. Active low. (PU)
34 /WAKEUP in USB remote wakeup. Active low. (PU)
35 /RESET in External reset. (PU)
38-42 A[4:0] in Address bus.
44 CLKIN in 48 MHz Oscillator input.
45 CLKOUT out 48 MHz Oscillator output.
47 TESTOUT out Test output. Used for manufacturing test.
31 VDD_LOCAL - +3.3V or +5V DC power input for CPU I/F.
1,13,25
37,43 VDD - +3.3V DC power input for the core and USB I/F.
12,24,36
Vss - GROUND. 0V DC power return.
46,48

SERVICE MANUAL
26
Appendix: Installing storage devices (for service engineers)
This appendix explains how to install storage devices (ATA hard disk, CD-ROM drive, Zip drive, etc.) in the MPC4000.

Check the included items


The following items are included with the MPC4000 for use when installing storage devices. Check to make sure that no
items are missing.
Cables
Flat cable for ATA drive
Power cable for drive (without ferrite core)
Power cable for drive (with ferrite core)
Analog audio cable for CD-ROM
Digital audio cable for CD-ROM
Drive Mount Bracket (S)
Drive Mount Bracket (L)
Other items
Screws (inch) for attaching hard disk (4 pcs.)
Screws (metric) for attaching hard disk (4 pcs.)
Screw hole plugs (4 pcs.)
Screws (metric) for attaching Drive Mount Bracket (8 pcs.)

Opening the top panel


When installing a storage device, use the following procedure to open the top panel of the MPC4000.
1. Loosen the screws that fasten the side panels (four on each side, left and right), and remove the side panels.

2. Remove the screws (five on each side, left and right) that fasten each side of the top panel to the chassis.

SERVICE MANUAL
27
3. Remove the screw (located in the center of the front panel) that fastens the top panel from the lower front side.

4. Remove the screw (located in the center of rear panel) that fastens the top panel from the rear side.

5. Use both hands to lift the protrusion on the front of the top panel, and open the top panel.

SERVICE MANUAL
28
6. Use a metal rod or similar object as a prop inside the chassis to hold up the top panel.
The side panels and screws you removed must be kept in a safe place.
To close the top panel, re-tighten the screws in the opposite order to which they were removed.

Installing a drive
1. If you are installing a removable-media drive such as a CD-ROM drive or Zip drive, remove the blank panel from the
front panel.
2. Use the hard disk attachment screws (included with the MPC4000) to attach the drive to the bracket, and attach the
bracket to the bottom panel of the chassis. Use the bracket in the correct direction (as illustrated or on reverse side)
according to the drive mounted.
When installing a drive in the 3.5 inch bay

Bracket (S)

PC IO AD_DA
P7
P8

PC IO PC CPU

SERVICE MANUAL
29
When installing a drive in the 5 inch bay

Bracket (L)

PC IO
PC IO AD_DA
P23
P7
P8 P2

PC CPU

Cable connections

Connecting the flat cable


1. Remove two screws from the cover that conceals the PC CPU board.
When you remove the cover, you will see the P2 connector for the ATA drive.

Bracket (S)

PC IO AD_DA
P7
P8 P2
Step 1

PC IO PC CPU

2. 3Insert the blue connector of the included flat cable into the P2 connector of the PC CPU board.
3. Reattach the cover that you removed.
4. Connect the other end of the flat cable to the drive.

SERVICE MANUAL
30
Connecting the power cable
1. Insert the connector of the included power cable into the P7 connector or P8 connector of the PC I/O board.
Use the power cable with the ferrite core if you are installing a hard disk. Use the power cable without a ferrite
core if you are installing a Zip drive or CD-ROM drive.
2. Connect the other end of the power cable to the drive.

Connecting the CD-ROM analog audio cable


If the CD-ROM drive has an analog audio output connector, connect it to the MPC4000s circuit board as follows.
1. Insert the connector of the included CD-ROM analog audio cable into the P23 connector of the PC I/O ADDA
board.
2. Insert the other end of the CD-ROM analog audio cable into the analog audio output connector of the CD-ROM
drive.
Make connections so that the red cable goes to R (right channel) and the white cable to L (left channel).
3. On the PC I/O ADDA board, plug in the P22 jumper (located in front of P23) at the SET position.

Connecting the CD-ROM digital audio cable


If the IB-4D digital I/O option is installed in the MPC4000, connect the digital audio output connector of the CD-ROM
drive to the IB-4D as follows.
1. Insert the connector of the included CD-ROM digital audio cable into the digital audio output connector of the CD-
ROM drive.
Make connections so that the black cable is the ground.
2. Insert the other end of the CD-ROM digital audio cable into the P1 connector of the IB-4D digital I/O option.
3. On the IB-4D circuit board, plug in the JP1 jumper in the 1-2 (SHORT) position.
* If you connect the analog/digital audio cable and make the correct jumper setting, you will be able to select the
CD-ROM playback as the recording source in RECORD mode.

Master/slave settings for ATA drives


If you install two drives, you must set one as the master and the other as the slave. (If a hard disk is installed, set the
hard disk as the master.)
For details on how to make master/slave settings, refer to the manual that came with your drive.

Installing Memory Board


The memory slots are on the CPU board and their 2 long DIMM sockets are located on the right-hand side of the unit towards
the rear.
Insert the memory board securely in the correct direction. When only one memory board is installed, it can be installed on either
slot.
The required memory board is 168-pin DIMM (PC133/PC100, CL2).
* 256Mbit x 8 ICs type 256Mbyte DIMM is not supported. 128Mbit x 16 ICs type is recommended.

SERVICE MANUAL
31
! Installation - MPC4000
1. Remove the fixing screws of the MPC4000 Side Panels (4pcs. on each side) and remove Side Panels. Next,
remove the screws (5pcs. on each side) hidden by the Side Panels and then remov e the center screws (2pcs.)
located underneath the Armrest and the topmost of Rear Panel. The Top Panel Block can be swing-opened by
lifting the Armrest from the front. Save the removed screws.
2. Remove the fixing screws (4pcs.) for the Mask Plate (lower slot) on the Rear Panel and remove the Mask Plate.
Save the screws for later use. The Mask Plate is not used.
3. Referring to the illustration, remove the fixing screw (1pc.) on the I/O board and install the Mounting Post (S) in
its place. Save the screw for later use.
4. Set the IB-48P on the Rear Panel and Mounting Posts and fix it securely with the screws removed in earlier
steps and the Fixing Screw (gold) included.
5. Connect the cable from the IB-48P board to the connector (P2) on the I/O board.
6. Replace and fix the Top Panel Block and Side Panels in the opposite order to which they were removed.

Be sure to fix the screws and connectors securely to avoid malfunctioning.

MPC4000

IB-48P

P2 P4

PC IO

SERVICE MANUAL
32
! Installation - MPC4000
1. Remove the fixing screws of the MPC4000 Side Panels (4pcs. on each side) and remove Side Panels. Next,
remove the screws (5pcs. on each side) hidden by the Side Panels and then remove the center screws (2pcs.)
located underneath the Armrest and the to pmost of Rear Panel. The Top Panel Block can be swing-opened by
lifting the Armrest from the front. Save the removed screws.
2. Remove the fixing screws (2pcs.) for the Mask Plate on the Rear Panel and remove the Mask Plate. Save the
screws for later use. The Mask Plate is not used.
3. Referring to the illustration, set the IB-4D on the Rear Panel and Mounting Post and fix it securely with the screws
removed in earlier step and the Fixing Screw included.
4. Connect the cable from IB-4D board to the connector (P3) on the I/O board.
5. Replace and fix the Top Panel Block and Side Panels in the opposite order to which they were removed.

Be sure to fix the screws and connectors securely to avoid malfunctioning.

MPC4000

IB-4D

P3

PC IO

SERVICE MANUAL
33
! Installation MPC4000
1. Remove the fixing screws of the MPC4000 Side Panels (4pcs. on each side) and remove Side Panels. Next,
remove the screws (5pcs. on each side) hidden by the Side Panels and then remove the center screws (2pcs.)
located underneath the Armrest and the topmost of Rear Panel. The Top Panel Block can be swing-opened by
lifting the Armrest from the front. Save the removed screws.
2. Remove the fixing screws (4pcs.) for the Mask Plate (upper slot) on the Rear Panel and remove the Mask Plate.
Save the screws for later use. The Mask Plate is not used.
3. Referring to the illustration, remove the fixing screw (1pc.) on the I/O board and install the Mounting Post (S) in
its place. Then extend the 2 Mounting Posts with the 2 Mounting Posts (L) included.
4. Set the IB-48P on the Rear Panel and Mounting Posts and fix it securely with the screws removed in earlier
step and the Fixing Screws (gold, 2pcs.) included.
5. Connect the cable from the IB-4ADT board to the connector (P4) on the I/O board.
6. Replace and fix the Top Panel Block and Side Panels in the opposite order to which they were removed.

Note 1: When the IB-48P 8-Individual Output board is installed at the lower slot, remove the fixing screws (2pcs.) of the
Mounting Posts for the IB-48P and re place them with the 2 Mounting Posts (L) included and mount the IB-4ADT on them.
Note 2: On the MPC4000 with IB-4D SP-DIF Digital Interface Board installed, Connect the 8-pin Connecting Cable
included between the IB-4D (P2) and IB-4ADT (P1) boards.

Be sure to fix the screws and connectors securely to avoid malfunctioning.

MPC4000

IB-4ADT

P2 P4

PC IO

SERVICE MANUAL
34
1-3, Hiranuma 1-Chome, Nishi-Ku, Yokohama, Japan
SERVICE SECT. PHONE : +81-45-412-2373 FAX : +81-45-412-2372

SERVICE MANUAL
35
MPC4000
OPERATION
BLOCK DIAGRAM
MPC4000
PC IO
BLOCK DIAGRAM
MPC4000
AD DA
BLOCK DIAGRAM
5 4 3 2 1

SEND[0..5]
B-RET12
B-RET13 P20 SW146 SW147 SW148
B-RET14 S6B-PH-K(L-TYPE) 1 2 1 2 1 2
W170
MAIN PAD ASN. MULTI
1 1 D146 D147 D148
SW171 SW172 SW173 SW174 SW175 SW176 2 2 GMA01 GMA01 GMA01
3 3 SEND0
1 2 1 2 1 2 1 2 1 2 1 2
4 4
LED F1 F2 F3 F4 F5 F6 5 5
D171 D172 D173 D174 D175 D176 6 6 SW140 SW141 SW142 SW143 SW144 SW145
GMA01 GMA01 GMA01 GMA01 GMA01 GMA01 L4016(3) 1 2 1 2 1 2 1 2 1 2 1 2
RED B-SEND5

FL353
FL352
3pcs

FL354
FL350
B-SEND4 7 8 9 SEQ EDIT MIXER E.PRG
D140 D141 D142 D143 D144 D145

EMIFIL
EMIFIL
EMIFIL
EMIFIL
GMA01 GMA01 GMA01 GMA01 GMA01 GMA01
SEND1

GREEN L4016A504B
23pcs L4016A503A SW134 SW135 SW136 SW137 SW138 SW139
GND 1 2 1 2 1 2 1 2 1 2 1 2 15 VFL

FL351
D354 HZS7A1L
4 5 6 STEP E. UTLIS2 E.SAMPLE

EMIFIL
INV 16 VFL1
D134 D135 D136 D137 D138 D139
GMA01 GMA01 GMA01 GMA01 GMA01 GMA01
SEND2
LCD
D D353 HZS7A1L D

SW128 SW129 SW130 SW131 SW132 SW133

SEND4

SEND5
1 2 1 2 1 2 1 2 1 2 1 2
SEND[0..5]
RET1 1 2 3 MISC LOAD RECORD
SW163 SW164 D128 D129 D130 D131 D132 D133
1 2 RET0 1 2 GMA01 GMA01 GMA01 GMA01 GMA01 GMA01
D203 D208 D214 D220 D204 D209 D215 D221 SEND3
Q-LINK SETUP Q-LINK ASSIGN D352 HZS7A1L

SEND2
SEND0
SEND1
D163 D164
GMA01 GMA01 GREEN GREEN GREEN GREEN GREEN GREEN GREEN GREEN SW122 SW123 SW124 SW125 SW126 SW127
SEND5 D351 HZS7A1L 1 2 1 2 1 2 1 2 1 2 1 2
14 FGND
13 VO
12 VLC-24V
11 VSS-GND
10 VDD+5V
9 D3
8 D2
7 D1
6 D0
5 DISPOFF
4 CL1
3 CL1
2M
1 FLM

+5V +5V PAD BANK A PAD BANK B PAD BANK C PAD BANK D PAD PLAY A PAD PLAY B PAD PLAY C PAD PLAY D
CANCEL 0 ENTER SONG SAVE UTILS
VR208 VR210 SW149 SW150 SW151 SW152 SW153 SW154 SW155 SW156 D350 HZS7A1L D122 D123 D124 D125 D126 D127
6 6 1 2 1 2 1 2 1 2 1 2 1 2 1 2 1 2 GMA01 GMA01 GMA01 GMA01 GMA01 GMA01
B(MAX) B(MAX) SEND4
5 5
A(MAX) AN8 A(MAX) AN9
4 4
B(TAP) B(TAP) D149 D150 D151 D152 D153 D154 D155 D156
3 3
A(TAP) A(TAP) GMA01 GMA01 GMA01 GMA01 GMA01 GMA01 GMA01 GMA01
2 C208 C209 2 C210 C211
AB(MIN) AB(MIN)

RET12
RET13
RET14
RET11
RET10
RET9
RET8
RET7
RET6
1 1
DUMMY 473 473 DUMMY 473 473
VR400
W401
RK09K113AF2 5B14 B103
RK14K124 RK14K124 L3055 W16 JB-EH 14P

RET12
RET13
RET14
RET15
RET12
RET13
RET14
RET15
14
13
12
11
10
9
8
7
6
5
4
3
2
1

GND GND RET[0..15] GREEN


4 5

RET0
RET1
RET2
RET3
RET4
RET5
D212
GREEN SW117 SW118 SW119 SW120 SW121
1MIN
1TAP
1MAX

D222 1 2 1 2 1 2 1 2 1 2
1
2
3

GREEN GREEN SW157 TIMMING WINDOW LEFT UP ^


D219 D225 1 2 RET12 D117 D118 D119 D120 D121
RET3 SEND[0..5] GMA01 GMA01 GMA01 GMA01 GMA01
SW165 SW166 NEXT SEQUENCE SEND3 R402 R403
1 2 RET2 1 2 D157 W400 3.9K 18K
GMA01
Q-LINK Q5 Q-LINK Q6 GREEN 1 R400
+5V +5V +5V +5V +5V +5V 2

SEND5
D165 D166 33K

SEND0
SEND1
SEND2
SEND3
SEND4
D218 3
GMA01 GMA01 TR205 TR206 TR207 TR208 TR209 TR210
DTA1 14ESA DTA1 14ESA DTA11 4ESA DTA1 14ESA DTA1 14ESA DTA1 14ESA SW112 SW113 SW114 SW115 SW116 4
5 R401
1 2 1 2 1 2 1 2 1 2
+5V +5V 22K
GREEN TEMPO SHIFT DOWN RIGHT v L3055 W12 JB-51 0215P
VR204 VR206 D216 D112 D113 D114 D115 D116
6 6 GMA01 GMA01 GMA01 GMA01 GMA01
B(MAX) B(MAX) SW158 SEND2
5 5
A(MAX) AN6 A(MAX) AN7
4 4 1 2 RET13
B(TAP) B(TAP)
3 3
A(TAP) A(TAP) TRACK MUTE RED
LCDFG
INVGND
INV5V
LCDGND
LCD5V
LCD-24V
LCD_D3
LCD_D2
LCD_D1
LCD_D0
FLM

D220 D221 D222 D226 D224 D225


DISPOFF
CL2
CL1

2 C204 C205 2 C206 C207


AB(MIN) AB(MIN) D158 GREEN GREEN GREEN GREEN GREEN GREEN R204 75
DUMMY
1
DUMMY
1 D201
473 473 473 473 GMA01 TR204
DTC114ESA LED4 SW106 SW107 SW108 SW109 SW110 SW111
9
8
7
6
5
4
3
2
1

RK14K124 RK14K124 1 2 1 2 1 2 1 2 1 2 1 2
14
13
12
11
10

GND GND D214 D215 D216 D217 D218 D219 UNDO < > GOTO << >>
GREEN GREEN GREEN GREEN GREEN GREEN R203 75 D106 D107 D108 D109 D110 D111
TR203 GMA01 GMA01 GMA01 GMA01 GMA01 GMA01
C GREEN GREEN GREEN C
DTC114ESA LED3 SEND1
D213 D206 D210
RET5 J400
SW167 SW168 SW159 D208 D209 D210 D211 D212 D213 RED RED GREEN 14FE-ST-VK-N 14P
1 2 RET4 1 2 1 2 RET14 GREEN GREEN GREEN GREEN GREEN GREEN R202 75 D200 D202 D224
TR202
Q-LINK Q3 Q-LINK Q4 FULL LEVEL DTC114ESA LED2 SW100 SW101 SW102 SW103 SW104 SW105
D167 D168 D159 1 2 1 2 1 2 1 2 1 2 1 2
GMA01 GMA01 GMA01
SEND5 D203 D204 D205 D227 D206 TAP REC OVERDUB STOP PLAY PLAY/START
GREEN GREEN GREEN GREEN GREEN R201 100 D100 D101 D102 D103 D104 D105
TR201 GMA01 GMA01 GMA01 GMA01 GMA01 GMA01
DTC114ESA LED1 SEND0
+5V +5V
GREEN
D205 D200 D201 D202 L4016A504C
C201 C203 RED RED RED R200 270
473 473 SW160 TR200

2MAX
1MAX
2MAX
1MAX
1 2 RET15 DTC114ESA LED0
2 1 2 1
VR200 VR202 16 LEVELS
D160 +5V

RET10
RET11
RET12
RET13
RET14
RET15
C200 C202 GMA01

RET4
RET5
RET6
RET7
RET8
RET9
SEND1
SEND0
SEND2
SEND5
SEND3
SEND4
473 473 C303 47P GND

2TAP
2MIN
1TAP
1MIN
2TAP
2MIN
1TAP
1MIN
+5V
GREEN R301 20K R302 4.7K
AN4 AN5 D226 R300 +5V

60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
SW161 D304 470 IC300A 2
IC22 41 GND
-
1 2 RET12 AN0 GMA01 1 GND
3 D300 K15 RET3 61 40 1 W300
+ A3/P13 P41/TMO0/RXD2 0V

VSS2
ERASE

VCC1
GND GND D305 M5238AL GMA01 RET2 62 39 2

A4/P14
A5/P15
A6/P16
A7/P17
P20/A8
P21/A9
D161 GMA01 D301 K11 RET1 A2/P12 P40/TMCIO/TXD2 B 4
63

P22/A10
P23/A11
P24/A12
P25/A13
P26/A14
P27/A15
IC350A 38 3
+5V A1/P11 AVSS NC 3
P44/TMO1

GMA01 C302 C304 R303 GMA01 PB0 1 2 PB0N RET0 64


P47/PWX1
P46/PWX0
37 4
P45/TMRI1
P43/TMCI1

GREEN GREEN 103 560P 4.7K D302 K7


IC350E
LED0 A0/P10 P77/AN7/DA1 REC16B NC 2
11 10 65 36 5
GMA01 HC04 LED1 D0/P30 P76/AN6/DA0 AN5 +5V 1
D217 D211 IC350B 66 35 6
GREEN HC04 D1/P31 P75/AN5 A
P42/TMRI0/SCK2

RET15 GND D303 K3 PB1 3 4 PB1N IC350F C350 LED2 67 34 AN4


SW169 SW170 C313 47P GMA01 473 LED3 D2/P32 P74/AN4 AN3 L4016(5)
D227 13 12 68 33
RET14 SW162 +5V HC04 LED4 D3/P33 P73/AN3 AN2 RE300 REC16B25-2 01-C
1 2 1 2 IC350C 69 32
R311 20K R312 4.7K D310 K14 PB2 PB2N HC04 GND D4/P34 P72/AN2 AN1
1 2 RET13 5 6 70 31
Q-LINK Q1 Q-LINK Q2 R310 GMA01 GND D5/P35 P71/AN1 AN0 GND
71 30
D169 D170 NOTE REPEAT D314 470 IC300B D311 K10 HC04 ENC-A D6/P36 P70/AN0
- 6 IC350D 72 29
GMA01 GMA01 D162 AN1 GMA01 GND GMA01 PB3 PB3N D7/P37 AVCC AN9
7 9 8 73 28
GMA01 D312 K6 P300 PB0 VSS3 P67/KIN7/IRQ7 AN8
+ 5 74 27
SEND3 D315 M5238AL GMA01 HC04 K15 PB1 PB0 P66/KIN6/IRQ6 AN7
1 75 26
GMA01 D313 K2 K14 K15 ENCORDER +5V PB2 PB1 P65/CIN5/KIN5 AN6
2 76 25
C312 C314 R313 GMA01 K13 K14 P30 PB3 PB2 P64/CIN4/KIN4 W50
3 77 24
103 560P 4.7K +12V K12 K13 PB3 P63/CIN3/KIN3 Foot2
4 MTXD 78 23 L4016A504A
D320 K13 K12 1 PB4/TXD1/IRQ3 P62/CIN2/KIN2 1
5 MRXD 79 22
GND GMA01 K11 PB0 2 ENC-B PB5/RXD1/IRQ4 P61/CIN1/KIN1 Foot1 2
6 80 21
C323 47P D321 K9 K10 K11 3 PB6/SCK1/IRQ5 P60/CIN0/KIN0 3
C300 7

8
RES
XTAL
EXTAL
MD1
MD0
NMI
STBY
VCC2
P52/SCK0
P51/RXD0
P50/TXD0
VSS1
P97/WAIT
P96/SYSCK/EXCL
P95/AS/IOS
P94/WR
P93/RD
P92/IRQ0
P91/IRQ1
P90/IRQ2/ADTR

+5V GMA01 K9 K10 4 4


8 C30
R321 20K R322 4.7K D322 K5 473 +V K8 K9 B4BEH C22 104 +12V W40
9 H8S/2132(BOT) L4016(1)
K8
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20

R320 GMA01 C301 -V 10 104


D324 470 IC320A D323 K1 GND IC300C K7 PB1 +5V 1
- 2 11
K7 2

4
AN2 GMA01 1 GND GMA01 473 M5238AL K6 12
K5 K6 GND 3 GND
+ 3 13 R25
D325 M5238AL D330 K12 K4 K5 R22 10K -12V 4
14
GMA01 GMA01 -12V K4 PGMN 5
15
B PB2 R24 10K 0 6 B
C322 C324 R323 D331 K8 K3 16
K3 MTXD 7
103 560P 4.7K GMA01 +12V K2 17 IC24 C26 X20 14.7456MHZ
K2 MRXD +5V 8
D332 K4 K1 18 1 5
GND GMA01 K0 K1 +V OUT 103 9
19 C24
C333 47P D333 K0 K0 10
C320 20 2 C20 C21

8
+5V GMA01 PB3 104 NC +12V +5V 11
3 4
R331 20K R332 4.7K 473 +V 20FR-ST GND C C25 15p 15p
L4016(2)
R330 C321 -V M51953 104 GND
D334 470 IC320B - 6 GND IC320C GND GND GND C41 C40

4
AN3 GMA01 7 GND 473 M5238AL 104 104 Pin No.5 is
5 GND on the PC IO side
+
D335 M5238AL
GMA01 -12V GND
C332 C334 R333 C42 GND +5V
103 560P 4.7K K[0..15] 104

GND -12V
C401 C402 C403 C404 C405 C406 C407 C408 C409 C410 C411
104 104 104 104 104 104 104 104 104 104 104

GND

C204 100p +12V

C202
R200 R202 R206

1.8k 16k C420 C421 C422 C423


10/25 8.2k J250
R210 PS200 YKF45-008(USB TYPE-A) 10/16 10/16 10/16 10/16
2 - 100/1w
1 W250 RUE110 FL250
USB5V EMIFIL 1

1
2
3
3 +
VR200 1 USBDATA- VCC
C206 C208 2 C424
RK0971220 L15 IC200A 2 USBDATA + FL251 -DATA
R204 R212 3
TR200 M5216L 150p 4700p TR202 3 USBGND EMIFIL +DATA 10/16
4
FG1
FG2
FG3

1MIN
4 GND

1TAP
1MAX
2SC3327 2SC3327
4.7k 10k
-12V GND
R208
5
6
7

WIRE ASSY L4016(6) C250


330

+12V 473

2MIN
2TAP
3MAX
W200 J200

4
5
6
1 C205 100p
2
3 C203
4 R201 R203 R207 C212
5 104
6 1.8k 8.2k 16k
10/25
7 R211
GND 6 - 100/1w
8
A
7 A
5 +
L4016(4) C207 C209
-12V GND R205 IC200B R213
TR281 M5216L 150p 4700p TR203
2SC3327 2SC3327
4.7k 10k MPC4000
R209
330
+12V

C210 PC OPERATION & PC(#)


4R7/50

8
+V
-V OTHERS---A/B/C/D
GND IC200C

4
C211 M5216L GND
4R7/50

-12V
SCHEMTIC DIAGRAM
L4016A504D
B103X2

5 4 3 2 1
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

D+5V
D+5V
A A
P14 IC6 A+12V
nSD0
1 GND -DB0 2 nTERM 1 28

R83
4.7K
D31 D33 nSD1 DISC GND
3 GND -DB1 4 nSD0 2 27 nSD1 IC61A
1SS133T 1SS133T P10 nSD2 L1 L18 2 8
J10 5 GND -DB2 6 nSD2 3 26 nSD3 R416

R81 4.7K
FL21 FOOTSW2 1 nSD3 L2 L17 1
FOOT SW 1 7 GND -DB3 8 nSD4 4 25 nSD5
DSS306-101 R84 DGND 2 10
nSD4 L3 L16 3 51
J1 J2 J3 9 GND -DB4 nSD6 5 24 nSD7
FOOTSW1 3 nSD5 L4 L15
220 MIDI_J2 MIDI_J2 MIDI_J2 11 GND -DB5 12 nSDP 6 23 nATN 5532M
DGND 4 nSD6 L5 L14
13 GND -DB6 14 7 22 4
PH 4P nSD7 GND GND
15 GND -DB7 16 8 21 MAIN VR A-12V
S 2 2 S S 2 2 S S 2 2 S nSDP GND GND
D30 D32 4 5 4 5 4 5 4 5 4 5 4 5 17 GND -DBP 18 9 20 R414 10K
S S S S S S GND GND
1SS133T ISS133T 19 GND GND 20 nBSY 10 19 nACK R409 560
J11 1 3 1 3 1 3 1 3 1 3 1 3 L6 L13
FL20 21 GND GND 22 nRST 11 18 nMSG

0.047
FOOT SW 2 E E E E E E FGND L7 L12 C308 100PF
DSS306-101 R82 FGND 23 NC NC 24 nSEL 12 17 nCD
E E E E E E L8 L11 10K
25 NC TERMPWR 26 nREQ 13 16 nIO A+12V

C68 0.047
C67 0.047
D+5V MIDI+5V L9 L10 R415

C66
C65 0.047
220 27 NC NC 28 14 15 R408 560 VR2
TPWR REG
FL22 29 GND GND 30 A+12V
nATN
31 GND -ATN 32

C93
0.047
C69
0.047
MCCS142236 C402
33 GND GND 34 IC61B
DSS306-101 MIDI+5V MIDI+5V nBSY 3.3/50 6 8
35 GND -BSY 36 D+5V R417
nACK 7
B C94 R2 R6 37 GND -ACK 38 FS1 B

FL4
FL8
nRST D3 5

C73
0.047
C70 C71 51

DSS306-101
39 GND -RST 40

DSS306-101
DSS306-101
DSS306-101
DSS306-101

FL6
DSS306-101
DSS306-101

FL7
0.1

FL5
FL9
C403

FL10
FL11
nMSG

DSS306-101
220 220 41 GND -MSG 42 5532M

DSS306-101
DSS306-101
DSS306-101
DSS306-101
47/25 0.1

FL12
FGND nSLG 1S30 FS

FL13
FL14
FL15
43 GND -SEL 44 3.3/50 4
R3 R4 nCD
R1 220 R5 220 R8 45 GND -C/D 46 A-12V
R7 nREQ
220 220 47 GND -REQ 48 A-12V
IC13C IC13A 220 220 nIO
49 GND -I/O 50
9 1
MIDI_OUT3 P_SCSI

R9 220
8 3

R10 220
51
10 2
J12
nSD0 FL31
D+12V MIDI_OUT2 74HCT32 74HCT32 MIDI+5V 26 DB0 GND 1
nSD1 FL32
27 DB1 GND 2
D+5V IC13D 74HCT32 IC13B nSD2 FL33
R77 82(2W) 28 DB2 GND 3 A+12V
12 4 nSD3 FL34
A J9 29 DB3 GND 4
P11 11 6 nSD0 nSD4 FL35
Y C1 b1 DGND SD0 a1 30 DB4 GND 5 IC62A
1 DGNG 13 5 PH2 C2 nSD2 nSD1 nSD5 FL36 2 8
C64 B PH1 b2 SD2 SD1 a2 31 DB5 GND 6 R412
2 MSPEED 7 0.1 nSD4 nSD3 nSD6 FL37 1
TR3 0.1 GND 74HCT32 1 6 0.1 1 6 D+3.3V b3 SD4 SD3 a3 32 DB6 GND 7
EH2P C55 14 Vcc Vcc nSD6 nSD5 nSD7 FL38 3 51
C75 2SB1326 VCC 5 5 b4 SD6 SD5 a4 33 DB7 GND 8
47/25 b5
nSD7 nSDP FL39
100/25 3 4 3 4 DGND SD7 a5 34 DBP GND 9 5532M
nATN nSDP
b6 ATN SDP a6 35 GND GND 10 4
D1 D2 nACK nBSY
C MIDI_OUT1 PC400 PC400 b7 ACK BUY a7 36 GND GND 11 A-12V C
D+5V 1SS133T 1SS133T R11 R12 nMSG nRST
b8 MSG RST a8 37 12 W3 R410 10K
nSEL TPWR FL49
270 270 b9 DGND SEL a9 38 TPWR 13 R_SEND 1
R79 nREQ nCD
MIDI_OUT0 b10 REQ C/D a10 39 14 R_R+ 2 C409
2.2K nIO 100PF
R78 b11 TERM I/O a11 40 GND GND 15 R_R- 3
RESET_5V R30 33 IC14A 74LVC32 MIDI_IN0 nATN FL40 10K
4.7K RESET_5V b12 DGND OPT_SCSI a12 41 ATN GND 16 GND 4 R411
2 MIDI_IN1
R68 33 nINT_mLAN b13 OPT_INT a13 nmLAN_CS 42 GND GND 17 L_SEND 5
R80 3 nBSY FL41
INT_SMPTE nOPT_mLAN b14 OPT_IEEE USBF_BPWPa14 43 BSY GND 18 L_R+ 6 A+12V
4.7K D+5V 1 nACK FL42
nFAN_ON b15 a15 44 ACK GND 19 L_R- 7
nRST FL43 A+12V
b16 DGND a16 45 RST GND 20 GND 8 IC62B
TR4 nMSG FL44 6 8
FAN_ON b17 MOTER_ON +12V a17 46 MSG GND 21 -12V 9 R413
SMPTE IN nSEL FL45 7
2SC3330 b18 UCD- UDC+ a18 47 SEL GND 22 GND 10
IC15 74LVC245 nCD FL46 C400 +12V 5 51
R90 C88 MIDI_IN1 b19 MIDI_IN1 MIDI_IN0 a19 MIDI_IN0 48 GND 23 11

D7
19 nREQ FL47 C/D

1SS133T
J13 220 4.7/50 G IB5 33x4 b20 DGND MIDI_OUT0 a20 MIDI_OUT0 49 GND 24 10/50 PH-JB11P 5532M

1SS133T
D8
B10K x 2 1 18 SD0 nIO FL48 REQ
DIR B1 MIDI_OUT2 b21 MIDI_OUT2 MIDI_OUT1 a21 MIDI_OUT1 50 I/O GND 25 4
17 SD1
IB3 33x4 B2 MIDI_OUT3 b22 MIDI_OUT3 ADAT_CS a22 nCS_ADAT SCSI_50P A-12V
10 XD0 2 16 SD2 C401
R67 33 nCS_SMPTE A1 B3 nINIT b23 INIT ADAT_SIN a23 ADAT_SINB

52
8 XD1 3 15 SD3 a24 10/50
R91 C89 A2 B4 b24 DGND nFP_DIN
4.7/50 9 XD2 4 14 SD4
A3 B5 nFP_PROG FP_CCLK a25 FP_CCLK

D6
R88 R89 220 D9 b25 FP_PROG
XD3 5 13 SD5
47K 47K 1SS133T IC14C 74LVC32 A4 B6 FP_DOUT b26 FP_DOUT FP_INIT a26 nFP_INIT
XD4 6 12 SD6 A-12V C406 100PF

1SS133T
D C47 A5 B7 nOPTATAT FP_DONE a27 FP_DOWN D

6
5
4
3
2
1INT_SMPTE
44 SD7
43 SD6
42 SD5
41 SD4
40 SD3
b27 OPTADAT
D+3.3V XD5 7 11 SD7
A6 B8 b28 DGND SDA12 a28 C307 R400 10K
XD6 8
0.047 A7 IB6 33x4 b29 SDA56 SDA34 a29 0.1
D+5V XD7 9
A8 nCS_DA b30 DA_CS SDA78 a30 A+12V

D7
D6
D5
D4
D3
IC16D 74LVC32
TR6 IB4 33x4 nOPT_8PARA b31 OPT_8PARA DA_PD a31 DA_PD

INTR
13 20 10

CLICK
RESET

LTCIN-
DTA114ES nXWRB VCC GND b32 DGND 384FS a32

FRAME
IC60A

LTCIN+
10K 7 39 SD2 D+5V 11 2 8
R94 LTCOUT D2 LRCK b33 LRCK BCK a33 R406
8 38 SD1 12 D+3.3V C96 GAIN VR 1
C72 LFC D1 b34 ADA_CS DA_CCLK a34
X1 9 37 SD0 3 51
TR5 0.1 +15V XTAL2 D0 b35 DGND DA_CDTI a35
10 36 9 0.1
nRESET_3VB DTC114ES IC12B XTAL1 IOW nXOEB DFS b36 DFS ADA_PD a36 AD_PD 5532L
11 IC11 35 8
FL51 14.31818MHz AVDD VDD IB7 nCD_ANA b37 CD_ANA CD_SELAD a37 nCD_SEL VR1 D20K x 2 4
8 6 12 ICS2008A 34 10
J14 DSS306-101 R95 AVSS VSS b38 AD_MAIN DA_MAIN a38 R403 R402 A-12V
7 C83 13 33
C90 COUT IOR D+3.3V b39 DGND MUTE a39 MUTE GAIN SW 330 100k
5 14 32 IC16C 74LVC32
330 YOUT UARTSC b40 BM1 +12V a40
0.1 15 31 IC16A 74LVC32 IC9D SW3
10u C2 SMPTECS J9_MOTHER W2
16 30 2 XA1 13 R34 A+12V
4 LF353 R93 R92 Y2 A1 33x4 1 LVR1
17 29 3 11 33
-15V 10K 10K C1 A0 2 LVR2 C404
J6 SMPTE OUT 1 12
3 GND 3.3/50
USBD FL52
LVCO8 4 RVR1 C407
DSS306-101 20K IC16B 74LVC32 100PF

Y1
STHRESH
CTHRESH
DTHRESH
RXD
CTS
TXD
RTS
LRCLK
VITCGATE
VITCOUT
R96 5 RVR2 C405
4
E +15V A 6 GND SPUJ2 R401 10K 3.3/50 E
+15V C87 6
Y 7 LSEND
IC12A 10/50 5 XA2 A-12V

18
19
20
21
22
23
24
25
26
27
28
R26 B 8 LRETURN A+12V

C84 0.1
7

1
4
3
2
1.5K 8 2 C81 GND 9 GND
R97 0.1 14 D+3.3V
1 VCC D+3.3V 10 RSEND

C86
10/50
6 8 IC60B
3 11 RRETURN R407
FGND 330 IC8 74LVC245 7
FL2 R27 C82 12 GND
33 19 5 51
C38 C97 0.1 G PH-JB12P

10K
10K
4 1 18 nADA_CS

C85
10/50
R28 LF353 0.1 DIR B1 5532L
0.047 33 -15V 17 DA_CCLK
B2 C311 4
nADA_CSB 2 16 DA_CDTI
FL3 nCS_ADAB A1 B3 220/10A-12V
C39 C40 DA_CCLKB 3 15 SOUT0

R49
R35
-15V A2 B4
D+3.3V DA_CDTIB 4 14 DIO_RCV
A3 B5 DIO_RCV R404 R405
FL1 22P 22P SOUT0B 5 13 ADAT_SIN
IB2 A4 B6 ADAT_SIN 330 100k
DIORCVB 6 12 nXOE
A5 B7 nXOE IC7 74LVC245
BL03 ADAT_SINB 7 11 nXWR
ADAT_SINB A6 B8 nXWR 19
14 nXOEB 8 G
VCC nXOEB A7 1 18 SD12
R71 7 nXWRB 9 IB1 DIR B1
GND nXWRB A8 17 SD34
47K 10 D+3.3V 33x4 B2
B R74 33x4 SDA12B 2 16 SD56

C84 0.1
R73 47K 8 33 20 10 A1 B3
Y VCC GND SDA34B 3 15 SD78
9 IC14D 74LVC32 C77 A2 B4
F A DIO_RCB SDA56B 4 14 nDA_CS F
12 A3 B5 nCS_DA
0.1 SDA78B 5 13 LRCK
IC14C 74LVC32 11 A4 B6 LRCK
nDA_CSB 6 12 BCK L4016A502C
13 nCS_DAB A5 B7
LRCKB 7 11 384FS
FL60 A6 B8
BCKB 8
SDA12B FL61 A7
384FSB 9
SDA34B FL62 A8
D+3.3V
SDA56B
20 10
SDA78B VCC GND
SOUT0B C76
LRCKB 0.1 D+5V
384FSB
BCKB
DA_CCLKB J4
D+5V
DA_CDTIB C3 FGND 1
C4 GND 2
0.1 0.1 +5V2 3
IC4
GND1 4
1 20
1G Vcc +5V1 5
2 19
D+5V C63 A+15V A1 2G 82 -24V 6
3 18 R13
45/25 A2 Y1 82 D3 7
G 4 17 R14 G
nCS_DAB A+15V D+5V C53 A3 Y2 82 D2 8
C54 C52 5 16 R15
MUTE 0.1 A4 Y3 82 D1 9
0.1 P4 C61 C57 C48 0.1 6 15 R16
47/25 C51 MUTE A5 Y4 82 D0 10
C56 1 D+5V D+5V 2 C50 47/25 0.1 C62 7 14 R17
DA_PD 47/25 A6 Y5 82 DISPOFF 11
3 D+5V D+5V 4 0.1 0.1 P3 8 13 R18
47/25 A7 Y6 82 CL2 12
5 D+5V DGND 6 1 D+5V D+5V 2 9 12 R19
A8 Y7 82 CL1 13
7 DGND DGND 8 3 D+5V D+5V 4 10 11 R20
10 C60 GND Y8 FLM 14
9 DGND DGND 5 DGND DGND 6 P9
33

R98

XD0 XD1 47/25 A-15V P_LCD14


11 XD0 XD1 12 7 DGND DGND 8 1 +15V +15V 2 74HCT541FP
XD2 XD3 XD0 XD1
22P
22P
22P
22P
22P
22P
22P
22P

13 XD2 XD3 14 P2 9 XD0 XD1 10 3 GND GND 4


XD4 XD5 A-15V XD2 XD3
15 XD4 XD5 16 1 A+15V A+15V 2 11 XD2 XD3 12 5 -15V -15V 6
XD6 XD7 XD4 XD5
C25
C26
C27
C28
C29
C30
C31
C32

17 XD6 XD7 18 3 AGND AGND 4 13 XD4 XD5 14 7 GND MUTE 8 D+5V


XA1 XD6 XD7 10
R63 33 C7
19 DGND XA1 20 5 A-15V A-15V 6 15 XD6 XD7 16 9 GND FS304
XA2 XA3 XA1 R64 33
21 XA2 XA3 22 7 AGND AGND 8 17 DGND XA1 18 11 GND BTCK 12 R75
R36 33 R99 33 XA2 R60 33 33 b1 DGND LCD_D0 a1 0.1
23 DGND CS_ADAT 24 nCS_ADAT 9 MUTE DA_PD 10 19 XA2 CS_DIO 20 nCS_DIO 13 GND LRCK 14 IC2 D+5V
R31 33 R37 33 R52 33 R55 33 R61 33 R65 33 b2 LCD_D2 LCD_D1 a2
nXOEB 25 XRD XWR 26 nXWRB 11 DA_CS DA_CCLK 12 nXOE 21 XRD XWR 22 nXWR 15 GND TDI/CDTI 16 1 24
R42 33 R53 33 R66 33 b3 DISP_OFF LCD_D3 a3 MOT Vdd
27 DGND INIT 28 nINIT 13 DA_CDTI SDA12 14 nRESET_3VB 23 RESET CD_SEL 24 nCS_ADAB 17 CS_DA CCLK 18 2 23
R43 33 R54 33 b4 LCD_CLK1 LCD_CLK2 a4 NC SQW
FP_CCLK 29 FP_CCLK FP_DIN 30 nFP_DIN 15 SDA34 SDA56 16 25 DGND PLD_TCK 26 19 SDATA GND 20 b5
3 22
R44 33 R62 33 DGND LCD_FLM a5 NC XALM TR1
nFP_INIT 31 FP_INIT FP_PROG 32 nFP_PROG 17 SDA78 DGND 18 27 PLD_TMS PLD_TDI 28 21 SOUTO DAPD 22 nDA_PD RTCD2 RTCD0 RTCD0 4 21
R45 33 b6 RTC_D2 RTC_D0 a6 AD0 XIRQ DTA144ES TR2
FP_DOWN 33 FP_DONE FP_DOUT 34 FP_DOUT 19 LRCK DGND 20 29 PLD_TDO DIO_RCVD 30 DIO_RCV nCD_SEL 23 CD_SEL DFS 24 DFS RTCD4 RTCD1 RTCD1 5 20
R47 33 R56 33 b7 RTC_D4 RTC_D1 a7 AD1 VBAT RESET_5V DTC144ES
H 35 DGND 36 21 BCK DGND 22 31 DIO_TRND DGND 32 nCD_ANA 25 CD_ANA ADPD 26 nAD_PD RTCD6 RTCD3 RTCD2 6 19 H
R38 33 R46 33 R57 33 b8 RTC_D6 RTC_D3 a8 AD2 IRQ
ADAT_SIN 37 ADAT_RCVD SOUTLR 38 23 384FS DGND 24 33 LRCK DGND 34 RTCD5 RTCD3 7 18
R32 33 R39 33 R58 33 P_ADDA b9 DGND RTC_D5 a9 AD3 RESET
39 SOUT12 SOUT34 40 25 OPT8PARA 26 nOPT_8PARA 35 BCK DGND 36 RTCD7 RTCD4 8 17
R33 33 R40 33 R59 33 b10 RTC_D7 a10 AD4 DS
41 SOUT56 SOUT78 42 P_8PARA 37 384FS DGND 38 RTCD5 9 16
R41 33 b11 XALM_SEL RTC_SEL a11 AD5 NC
43 DGND LRCK 44 39 CD_DIG OPT_DIO 40 nOPT_DIO RTCD6 10 15 D+12V
R70 150 b12 RTC_DS RTC_AS a12 AD6 R/W
45 DGND BCK 46 P_DIO RTCD7 11 14
R69 33 b13 DGND RTC_RW a13 AD7 AS
47 DGND 384FS 48 12 13 IC3
b14 RTC_XIRQ RTC_IRQ a14 GND RTC R23
49 DGND OPTADAT 50 nOPTATAT R25 33 1 8
DIO_RCB b15 DIO_RCVDT DIO_CS a15 nCS_DIO CS CD 1(1/2W) IP1
P_ADAT RTC-6593 2 7
b16 CD_DIG CD_SEL a16 ES S1
3 6
b17 DGND OPT_DIO a17 nOPT_DIO CT V+ ICP-N25
D+5V D+5V 4 5
b18 PLD_TMS PLD_TCK a18 L1 GND INV
b19 PLD_TDO PLD_TDI a19 821 NJM2360D
nOPT_SMPTE b20 OPT_SMPTE SMPTE_CS a20 nCS_SMPTE
C34
330/16

R22
180K

b21 DGND INT_SMPTE


D5
R24
10K

SMPTE_INT a21
EK16 V0
C24 330P

IC10 R72 b22 PIOW PIOR a22


1 8 4.7K b23 PCF1 PWAIT a23
NC NC XD1 a24
XD0
2 7 b24 XD1 XD0
NC VCC IC9A XD2
3 6 b25 DGND XD2 a25
NC OUT 1 XD4 XD3
C33

4 5 b26 XD4 XD3 a26


470/35

GND RES C 3 XD6 XD5


D+5V b27 XD6 XD5 a27 D4 R21
I 2 XD8 XD7 I
M51953BFP b28 XD8 XD7 a28
XD9
C41 LVC08 b29 DGND XD9 a29 IS30 5.1K
P5 XD11 XD10
3.3/50 nFAN_ON b30 XD11 XD10 a30
1 D+5V XD13 XD12 C8 J5
C9 b31 XD13 XD12 a31
2 D+5V IC9B XD15 XD14 0.1 BH25T
IC5C b32 XD15 XD14 a32

C19
47/25
3 D+5V 0.1 FB19 4 XA1
DSS310-222 FAN_ON b33 DGND XA1 a33
4 GND FB17 6 5 6 XA3 XA2
b34 XA3 XA2 a34
5 GND DSS310-222 5 XA5 XA4
D+12V 74HCT04 b35 XA5 XA4 a35
6 GND FL18 XA7 XA6
LVCO8 RESET_5V b36 XA7 XA6 a36
7 D+12V DSS310-222 XA8
b37 DGND XA8 a37
8 D+12V C10 XA9
b38 XA9 a38
9 A+15V P7 P8 IC9C IC5E IC5D nRESET_3V a39

C36
47/25
10 A-15V 0.1 P6 D+5V 1 D+5V 1 9 LVC08 b39 RESET CRD
nRESET_3V A b40 BM0 CWR a40 nXOE
P_POWER D+5V 1 DGNG 2 DGNG 2 8 11 10 9 8

C15 0.1
A+15V Y nRESET_5V nXWR

C22 47/25
D+5V 2 DGND 3 DGND 3 10 J8
B J8_MOTHER
DGNG 3 D+12V 4 D+12V 4 D+3.3V 7

C13 0.1
FB16 GND 74HCT04 74HCT04

C20 47/25
C11 DSS310-222 DGND 4 P_DRIVEP P_DRIVEP 14
VCC
D+12V 5
nRESET_3VB

C37
10/25
0.1 D+12V 6 C43
C74

C16 0.1

C23 47/25
0.047
P_CPUPOWER
0.1
L4016A502C
J C12 J

C14 0.1

C21 47/25

C35
0.1

10/25
A-15V
FGND
A+15V
FGND FGND
D+5V
IC1 P13
29M33 A+15V 1
DGND 2
D+5V A-15V
IC5F 74HCT04 R48 A-15V 3
D+5V D+3.3V 4.7K IC5A DGND 4

IN
GND
OUT
13 12
13 12 RESET 5V 5
1 2
D+5V AD_PD nAD_PD P15 P12 PROG 6
R85 33
74HCT04 DGND 1 1 RXD 7
14 R86 33
Vcc R50 PROG 2 MTXD 2 TXD 8
C17 C5 C6 C18 7
GND 4.7K IC5B 3 MRXD 3 DGND 9
D+5V MPC4000
10/50 0.1 0.1 47/25 4 D+5V 10
3 4
C42 DA_PD nDA_PD 5 DGND 11
K 74HCT04 PH_5P PH_11P IO, VR K
0.1

SCHEMATIC DIAGRAM

1 2 3 4 5 6 7 8 9 10 1 1 12 13 File No. A1 AKAI professional M. I. Corp.


1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

A A

A+12V A+12V A+12V A+12V

C62 C64 C142 C144


3.3/50 3.3/50 3.3/50 3.3/50

R61 1.1K R141 1.1K


C63 R67 620 C65 C143 R147 620 C145
C61 2700PF 3.3/50 3.3/50 C141 2700PF 3.3/50 3.3/50
C69 1800PF C149 1800PF
A+12V A+12V
A-12V A-12V A-12V A-12V
A+12V A+12V
B B
DA_RST IC10A R62 R66 DA_RST IC20A R142 R146
2 8 C66 2 8 C146
1 22/50 750 620 6 8 IC11B R68 R74 1 22/50 760 620 6 8 IC21B R148 R154
560 100 560 100
3 7 3 7
A+5VDAC C68 5 A+5VDAC C148 5
OPA2123 8200PF R64 OPA2134 8200PF R144
D+3.3V 620 D+3.3V 620
4 5532L R70 4.7K R72 4 5532L R150 4.7K R152
C21 C22 A-12V R63 4 10K J1 C33 C34 A-12V R143 4 10K J5
750 C71 220PF TR1 760 C151 220PF TR9
DGND R60 1.1K R65 A-12V DGND R140 1.1K R145 A-12V
DTC114 DTC114
IC9 C60 2700PF 620 C70 IC19 C140 2700PF 620 C150
A+12V A+12V
1 28 1800PF 1 28 1800PF

10/50
3900p
RST VCC3 A+12V RST VCC3 A+12V

10/50
3900p
R1 220 2 27 R69 JACK R17 220 2 27 R149 JACK
LRCK ZEROL AGND2 4.7K IC11A R71 LRCK ZEROL AGND2 4.7K IC21A R151
R2 220 3 26 2 8 R19 220 3 26 2 8
DA_BCK ZEROR IOUTL- IC10B 560 R75 100 C72 C73 DA_BCK ZEROR IOUTL- IC20B 560 R155 100 C152 C153
4 25 6 8 C67 1 2200P 2200P 4 25 6 8 C147 1 2200P 2200P
220 LRCK IOUTL+ 220 LRCK IOUTL+
R3 5 24 7 3 R18 5 24 7 3
SOUT12 DATA VCC2 SOUT56 DATA VCC2
6 23 C25
10/50 5 6 23 C37 5
R4 220 BCK VCC1 22/50 5532L R73 R20 220 BCK VCC1 10/50 22/50 5532L R153
7 22 7 22
DA_MCLK SCKI VCOM3 R44 OPA2123 4 10K TR2 DA_MCLK SCKI VCOM3 R48 OPA2134 4 10K TR10
8 21 R40 8 21 R42
DGND IREF 100 4 A-12V DTC114 DGND IREF 100 4 A-12V DTC114
9 20 16K 9 20 16K
C20 VDD VCOM2 A-12V C20 VDD VCOM2 A-12V
0.047 10 19 10 19
DEMP0 VCOM1 0.047 DEMP0 VCOM1
C 11 18 C24 R45 R81 1.1K 11 18 C36 R49 R161 1.1K C
DEMP1 AGND1 R87 620 DEMP1 AGND1 R167 620
12 17 10/50 12 17 10/50
FMT0 IOUTR+ 100 2700PF FMT0 IOUTR+ 100 2700PF
13 16 C81 C89 1800PF 13 16 C161 C169 1800PF
FMT1 IOUTR- FMT1 IOUTR-
14 15 14 15
FMT2 MUTE A+12V FMT2 MUTE A+12V
A+12V PCM1730 A+12V
PCM1730
2 8 IC12A C86 R82 R86 2 8 IC22A C166 R162 R166
1 22/50 750 620 6 8 IC13B R88 R94 1 22/50 760 620 6 8 IC23B R168 R174
560 100 560 100
3 7 3 7
C88 5 C168 5
DGND OPA2123 8200PF R84 DGND OPA2134 8200PF R164
620 620
4 5532L R90 4.7K R92 4 5532L R170 4.7K R172
A-12V R83 4 10K J2 A-12V R163 4 10K J6
750 C91 220PF TR3 760 C171 220PF TR11
R80 1.1K R85 A-12V R160 1.1K R165 A-12V
DTC114 DTC114
C80 2700PF 620 C90 C160 2700PF 620 C170
A+12V A+12V
R8 A+12V 1800PF R24 A+12V 1800PF
33 A+12V R89 33 A+12V R169
4.7K IC13A R91 JACK 4.7K IC23A R171
2 8 560 2 8 560
IC12B R95 100 C92 C93 IC22B R175 100 C172 C173
6 8 C87 1 2200P 2200P 6 8 C167 1 2200P 2200P
7 3 7 3
D C82 5 A+12V C162 5 A+12V D
DA_MUTE 3.3/50 5532L R93 DA_MUTE 3.3/50 22/50 5532L R173
22/50
OPA2123 4 10K TR4 OPA2134 4 10K TR12
4 A-12V DTC114 4 A-12V DTC114
C83 A-12V C84 C163 A-12V C164
3.3/50 3.3/50 3.3/50 3.3/50

A-12V A-12V
C85 C165
3.3/50 3.3/50
A+12V A+12V A+12V A+12V
A-12V A-12V

C102 C104 C182 C184


3.3/50 3.3/50 3.3/50 3.3/50

R101 1.1K R181 1.1K


C103 R107 620 C105 C183 R187 620 C185
C101 2700PF 3.3/50 3.3/50 C181 2700PF 3.3/50 3.3/50
C109 1800PF C189 1800PF
E E
A+12V A+12V
A-12V A-12V A-12V A-12V
A+12V A+12V
DA_RST IC15A R102 R106 DA_RST IC25A R182 R186
2 8 C106 2 8 C186
1 22/50 750 620 6 8 IC16B R108 R114 1 22/50 760 620 6 8 IC26B R188 R194
560 100 560 100
3 7 3 7
A+5VDAC C108 5 A+5VDAC C188 5
OPA2134 8200PF R104 OPA2134 8200PF R184
D+3.3V 620 D+3.3V 620
4 5532L R110 4.7K R112 4 5532L R190 4.7K R192
C27 C28 A-12V R103 4 10K J3 C39 C40 A-12V R183 4 10K J7
750 C111 220PF TR5 760 C191 220PF TR13
DGND R100 1.1K R105 A-12V DGND R180 1.1K R185 A-12V
DTC114 DTC114
IC14 C100 2700PF 620 C110 IC24 C180 2700PF 620 C190
A+12V A+12V
1 28 1 28

10/50
1800PF 10/50 1800PF
3900p

RST VCC3 A+12V RST VCC3 A+12V

3900p
R9 220 2 27 R109 JACK R25 220 2 27 R189 JACK
LRCK ZEROL AGND2 4.7K IC16A R111 LRCK ZEROL AGND2 4.7K IC26A R191
R11 220 3 26 2 8 R27 220 3 26 2 8
DA_BCK ZEROR IOUTL- IC15B 560 R115 100 C112 C113 DA_BCK ZEROR IOUTL- IC25B 560 R195 100 C192 C193
4 25 6 8 C107 1 2200P 2200P 4 25 6 8 C187 1 2200P 2200P
220 LRCK IOUTL+ 220 LRCK IOUTL+
R10 5 24 7 3 R26 5 24 7 3
SOUT34 DATA VCC2 SOUT78 DATA VCC2
6 23 C31 5 6 23 C43 5
R12 220 BCK VCC1 10/50 5532L R113 R28 220 BCK VCC1 10/50 22/50 5532L R193
7 22 22/50 7 22
DA_MCLK SCKI VCOM3 R46 OPA2134 4 10K TR6 DA_MCLK SCKI VCOM3 R50 OPA2134 4 10K TR14
8 21 R41 8 21 R43
DGND IREF 100 4 A-12V DTC114 DGND IREF 100 4 A-12V DTC114
F 9 20 16K 9 20 16K F
C20 VDD VCOM2 A-12V C20 VDD VCOM2 A-12V
10 19 10 19
0.047 DEMP0 VCOM1 0.047 DEMP0 VCOM1
11 18 C30 R47 R121 1.1K 11 18 C42 R51 R201 1.1K
DEMP1 AGND1 R127 620 DEMP1 AGND1 R207 620
12 17 10/50 12 17 10/50
FMT0 IOUTR+ 100 2700PF FMT0 IOUTR+ 100 2700PF
13 16 C121 C129 1800PF 13 16 C201 C209 1800PF
FMT1 IOUTR- FMT1 IOUTR-
14 15 14 15
FMT2 MUTE A+12V FMT2 MUTE A+12V
PCM1730 A+12V PCM1730 A+12V
2 8 IC17A C126 R122 R126 2 8 IC27A C206 R202 R206
1 750 620 6 8 IC18B R128 R134 1 22/50 760 620 6 8 IC28B R208 R214
560 100 560 100
3 7 3 7
22/50 C128 5 C208 5
DGND OPA2134 8200PF R124 DGND OPA2134 8200PF R204
620 620
4 5532L R130 4.7K R132 4 5532L R210 4.7K R212
A-12V R123 4 10K J4 A-12V R203 4 10K J8
750 C131 220PF TR7 760 C211 1800PF TR15
R120 1.1K R125 A-12V R200 1.1K R205 A-12V
DTC114 DTC114
C120 2700PF 620 C130 C200 2700PF 620 C210
A+12V A+12V
R16 A+12V 1800PF JACK R32 A+12V 1800PF
33 A+12V R129 33 A+12V R209 JACK
4.7K IC18A R131 4.7K IC28A R211
2 8 560 2 8 560
IC17B R135 100 C132 C133 IC27B R215 100 C212 C213
G 6 8 C127 1 2200P 2200P 6 8 C207 1 2200P 2200P G
7 3 7 3
C122 5 A+12V C202 5 A+12V
DA_MUTE 3.3/50 5532L R133 DA_MUTE 3.3/50 22/50 5532L R213
22/50
OPA2134 4 10K TR8 OPA2134 4 10K TR16
4 A-12V DTC114 4 A-12V DTC114
C123 A-12V C124 C203 A-12V C204
3.3/50 3.3/50 3.3/50 3.3/50

A-12V A-12V
IC1 IC2 C125 C205
7812 7912 3.3/50 3.3/50

A-12V A-12V

IN
GND
OUT
GND
IN
OUT
+15V A+12V
MUTE_OUT
MUTE_OUT

C11 C10 C12 C14


H H
C2 0.1 C1 0.047
47/25 10/50 47/25 10/50

A-12V D+3.3V
-15V

P1 R52 R53
1 A+15V A+15V 2 4.7K 4.7K
3 AGND AGND 4
5 A-15V A-15V 6
7 AGND AGND 8 IC30A
MUTE nDA_PD_B nDA_RST 1
MUTE 9 MUTE DA_PD 10 R54
DA_CCLK 3
11 DA_CS DA_CCLK 12 DA_CCLK DA_RST
2 330
13 DA_CDTI SDA12 14
15 SDA34 SDA56 16 74LVC08
D+3.3V
17 SDA78 DGND 18 TR17 C16
19 LRCK DGND 20 C17 0.047 DTC114ES
21 BCK DGND 22 330PF
23 384FS DGND 24
I I
25 OPT8PARA26 1 20
P_8PARA 1G VCC
2 19
MUTE A1 2G
SDA12 3 18 D+3.3V
A2 Y1 DA_MUTE
SDA34 4 17 IC7
A3 Y2 SOUT12
SDA56 5 16 IC30B 78L05
A4 Y3 SOUT34
SDA78 6 15 4 A+12V
A5 Y4 SOUT56 DA_CCLK
DA_BCLK 7 14 6
A6 Y5 SOUT78
BLK 8 13 5
GND
IN
OUT

A7 Y6 LRCK
384FS 9 12
A8 Y7 DA_BCK 74LVC08 D1
DA_CDTI 10 11
DA_CDTI GND Y8 DA_MCLK 1SS133T
1
2
3

nDA_CS
DA_CS
74LVC541 IC30C
9
DA_CDTI C13
8
10 C19
220/25 +15V
74LVC08 0.047

IC30D
12
DA_CS DGND R55 R35 R37
J A+5VDAC 11 J
13 2.4K 10K IC8 4.7K
IC4 IC5 IC6 1 8
74LVC08 NC NC
7808 78M05 29M33 2 7
D+3.3V VIN VCC
3 6
R36 NC OUT
C18 0.047 4 5
GND RES C
1K

IN
GND
OUT
IN
GND
OUT
IN
GND
OUT M51957BFP
+15V D+3.3V
3.3/50

C15

R38 TR18
C3 C6 C4 C9 C5 C8 C7 2SA1317
2.2K
0.047 0.047 0.047 0.047
10/50 10/50 10/50
MUTE_OUT
R39
DGND 20K
DGND
IB-48P
K K

-12V
PC 48P.BLK
SCHEMATIC DIAGRAM

1 2 3 4 5 6 7 8 9 10 11 12 13 14 AKAI professional M. I. Corp.


7
5 4 3 2 1

L: Brown

N: Blue

AC INLET
SOT-16

PC(# )IO
P1 ! ! ! P2 !

WIRE ASSY L4016(7) VH 3-2P


3 4
2 2 L4016A502B
D D
2 1 'MAIN&REC VOLUME'
1 1
C5 L1 PC 4ADT(ADAT) PC 48P(8para OUT)
MKP3362 683M HR-24-562
FAN MOTOR L5263B5010 L5261B5010 W3 W2
PC(# )OTHERS 109R0612F402
L=230
L4016A504E 'FILTER'

WIRE ASSY L5263(2) PH 8P J3 P1


P1
!
C6 MKP3362 103M

WIRE ASSY L4016(10) VH-VH 3-2P


WIRE ASSY WIRE ASSY
L5263(1) L5261(1)

3
P4 ! P3 ! WIRE ASSY L4016(16) OUPIIN 26P
RA-RC 50P RA-RC 26P
4
2 2
OUTPUT VR

WIRE ASSY L4016(15) PH-JB 11P


WIRE ASSY L4016(14) PH-JB 12P
INPUT VR

2
1 SW10 1

1
SDDF3A
! For DI MM W1 P28 P20
P2 P4 P2 P9
! C7 MKP3362 103M WIRE ASSY
PC DIO L5262(1)
PC(# )OTHERS RA-RC 40P
L6052A5060 PC(# )IO
W1 P3
L4016A504F 'POWER SW' WIRE ASSY L4016(13) AMP-AMP 4P
To internal IDE drives L4016A502B
P14 P7 (OPTION)
'AD_DA'
For OPTI ON --- SCSI drives For OPTI ON ---
WIRE ASSY L4016(13) AMP-AMP 4P To internal IDE drives CD-ROM drive's
WIRE ASSY L4016(9) 5196-VH 2P P8
For OPTI ON --- (OPTION) analogue output
P1 CD-ROM drive's For OPTI ON --- i nt er nal I DE drive's power J8 J9
digital output Connection Diagram J5 J6 P29 P23 P21
C C

SWITCHING REGULATOR
WIRE ASSY L4016(19)
P1 CDRW 2P To internal IDE
CD-ROM drive
(OPTION) PC(# )IO WIRE ASSY J1 J2
L4016(11) VH-VH 6P
L4016A502A
P11 P7 P1
J3 J1

WIRE ASSY L4016(8) 5195-VH 10P


P5
PC POWER WIRE ASSY J4 J2
L4016(17) PH-PH 5P
WIRE ASSY L4016(18) CDRW 4P

LJ038A5010 CORD FFC P1.25 L480 14P P10 P5


J4 PC EFFECT To internal IDE
SPS-80 For OPTI ON --- I DE drives
CD-ROM drive
P13 P10 P2 P7 L6052A5050 (OPTION)
HEADPHONE
WIRE ASSY L4016(4) JC-PH 8P

J400

PC(# )OTHERS
L4016A504C 'LCD'
WIRE ASSY L4016(1) JB-PH 4P FOOT SW
W400 W401
P51/P52
WIRE ASSY L4016(2) JB-PH 11P
WIRE ASSY L4016(6) JB-PH 4P
W250 W200
USB

WIRE ASSY L3055


W12 JB-51021 5
WIRE ASSY L3055
W16 JB-EH 14P
J12 WIRE ASSY L4016(3) PC(# )OTHERS
INVERTER JB-PH 6P PC(# )OTHERS WIRE ASSY L4016(12) AMP 40P
To internal IDE drives
B CXA-K0505-VJL W170 L4016A504D B
(OPTION)
L4016A504B 'FUNCTION KEYS'
'HEADPHONE&USB'
LCD
G321EV5R001

P20 W40 W50

WIRE ASSY
L4016(5)
JB-PH 4P
P30 W300
PC OPERATION
L4016A5030 PC(# )OTHERS
L4016A504A
'JOG '

A A

MPC4000
CONNECTION DIAGRAM

5 4 3 2 1
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

A A

A+12V
CD ON
A+12V
LINE ON

C110
3.3/50
C122 A+12V
3.3/50
A+12V
C109
3.3/50
C121 R131 C161
L CH 3.3/50 10K 3.3/50
A-12V
C101 R192 10K A+5VADC R210 33
D10 R130 R129 A-12V nCD_SELECT
47/50 1SS133 R126 C117 100PF C160 C165 470PF
J21 R102 LHOT 39k 7.5k 7.5k C152 100PF
G A+12V 3.3/50
1k A+12V LHOT_1 R197 1.5K C172
1
R101 R105 D11 IC22A A+12V A-12V 1000P
3 3.3K 2 8
1SS133 R127 IC22B A+12V C178
B 2 5.6K 1 LCOLD_1 6 8 C126 C155 R195 C175 10/50 B
C105 R136 IC26B
3 10K 7 6 8 10/25 10K 10/50
100P L-
LINE_ON

SS 5 7 6 8 IC27B C180
M5520L 10/50 300 R198
IC32A 74LVC02
S C102 5 7 LADIN+ IC30 0.1 2 IC32D
D12 4 M5520L R137 A
R 47/50 AD+5V 5 1 28 1 11

C177 0.1
R103 1SS133 A-12V 4 10K M5220L 51 C167 VREFL VREFR Y
RS LCOLD TR10 TR11 2 27 3 13
A-12V 4 NJM5532L 1000P C191 0.1 GNDL GNDR B
TS 1k 2SC3329 2SC3329 3 26 C179 0.1 D+3.3V 7 12
D13 A-12V R201 4 VCOML VCOMR GND
T C106 C176 0.1 4 25 14
R102 R106 1SS133 C114 100pF C118 100PF 10K A-12V AINL+ AINR+ VCC 74LVC02
3.3K 5 24
100P R193 10K AINL- AINR- C179 0.1
COMBO 5.6K D3.3V 6 23 C183
R191 10K ZCAL VA
7 22 IC32C

C181 0.1
R128 7.5K R132 7.5K VD AGND
CD_ON

R194 10K 8 21 D3.3V 0.1 8


C182 DGND BGND C189
A-12V A+12V C166 470PF 9 20 10/50 10
C153 100PF R202 0.1 CAL TEST
10 19 9
10K RST HPFE
1.5K 11 18 R207 33
A+12V R135 C124 R199 SMODE2 DFS 74LVC02
12 17 R208 33

C169 0.033
A+12V SMODE1 MCLK IC51A
IC21A 100 IC53 C168 13 16 1
1000/6.3 R170 10K A+12V LRCK FSYNC R209 33 R220 33
2 8 1 20 10/50 14 15 3
R151 SW1A SW4A IC26A nAD_RST SCLK SDATE SDATA
1 LPHONO 2 19 2 8 C154 2
C130 AGND AGND L+ R196 IC27A
3 3 18 1 2 8 AK5383

LADIN-
100 SW1B SW4B R200
C M5220L TR12 4 17 3 10K 1 C
10/50 DGND V+ 10/25
2SC3329 C143 100PF 5 16 3 DGND
R140 R141 4 SW1C SW4C M5220L A+12V 51
TR13 6 15
470K 91K A-12V R161 10K SW2C SW3C 4 NJM5532L
2SC3329 P23 7 14 IC32B
R144 300K NC V- A-12V 4

R205 33
R145 18K GND 1 8 13 5

R206 33
D14 A+12V SW2B SW3B A-12V
L_CD 2 9 12 4
1SS133 R190 10K AGND AGND R172 10K C163
GND 3 10 11 6
IC25A SW2A SW3A 3.3/50 LRCK
R133 R134 R_CD 4 C140 2 8 C170 470PF D+3.3V
C133 3900pF C132 0.015uF C156 100PF BCLK 74LVC02
750 750 PH_2P 10/50 1 SSM2404
D15
3 1.5K C184 0.1
J23 R150 1SS133 C162 R177
300 M5220L A+12V 3.3/50
GL 1V(RMS)
P20 4 A+12V
R155 R157 A-12V IC51B
PH_12P R167A-12V IC28A C159 A-12V R215 33
SL C136 100K 47K 10K 2 8 10/25 4 IC31
LVR1 1 R171 R- R175 IC29A DUAL_MODE R211 33 R212 33
22/50 A-12V 2.2K 1 2 8 6 1 8
SW1 LVR2 2 P21 R178 S1 CLK/2
3 10K 1 RADIN+ 5 2 7
FG SSSF142-S09N0 GND 3 L_CD 1 VDD CLK
GR A+12V A+12V AD+5V 3 51 3 6
RVR1 4 GND 2 M5220L 74LVC08 GND SO
C142 100PF 4 5
RVR2 5 GND 3 4 NJM5532L ICLK FBIN
SR
GND 6 R_CD 4 R159 10K C144 C151 A-12V R181 4
ICS570A
LSEND 7 3.3/50 4.7uF 10K A-12V
D CONCD_AN D
LRETURN 8 A+12V R173 10K
GND 9
PHONOX2 DGND
RSEND 10 D3.3V IC25B C145 C150 R174 10K
C141 6 8
RRETURN 11 3.3/50 4.7uF
10/50 7 C171 470PF
GND 12 C157 100PF R182
R213 5 A-12V A-12V
10K
10K M5220L R179 1.5K
A+12V

C174 0.033
4 A+12V
P22 R156 R158 C173
A-12V A+12V
100K 47K R160 IC28B 10/50
nCD_ANA 6 8 C158
C111 2.2K R+ R176 IC29B
7 6 8
3.3/50 5 7 R180 RADIN-
10/25 10K
5 51
M5220L
C112 4 NJM5532L
3.3/50 A-12V 4
A-12V
A+12V A+12V
A-12V
R CH R118 10K A+12V A+12V
E C103 D16 E
47/50 1SS133 R117 R113 R116 C115 100PF
J22 R108 39k 7.5k 7.5k R295 3.6K
G RHOT
A+12V C232 C246
1k A+12V 3.3/50 3.3/50 C257 270P
1 RHOT_1
R107 R111 C107 D17 IC23A J24
3 3.3K 2 8 R114
100P 1SS133 IC23B A+12V
2 5.6K 1 RCOLD_1 6 8 C125 C251 R291 R293 XLR_LHOT 2
3 7 R124 C233 C247 XLR_LCOLD 3
10K 3.3/50 3.3/50
22/50 2K 3.6K IC40A
SS D18 M5520L 5 300 2 8 R296 1
S C104 10/50 1
1SS133 4 M5520L C256
R 47/50 R125 A-12V A-12V A+12V 3
R109 A-12V 4 10K 2200P 100
RS RCOLD TR14 TR16 R268 1.1k RL1 XLB-3
A-12V R- C258 5532D
TS 1k 2SC3329 2SC3329 C286 C285
3.3/50 4
T D19 C234 2700P 2200P 2200P
R110 R112 C108 C113 100PF C116 100PF A-12V
3.3K 1SS133 A+12V
100P R360 A+12V R276 620 C259 R294 3.6K
COMBO 5.6K 330 3.3/50
R115 7.5K R119 7.5K nDA_RST IC37B C244 1800PF C255 270P D24
6 8 A-12V
A-12V 1SS133
7
A+12V A+12V
5 R292
F File No. A+5VDAC R272 R277 C250 R290 G5A-12V F
A+12V R123 C123 C186 OPA2134 1.2K 620 IC39A R284 3.6K IC40B
D+3.3V 2 8 2K 6 8
330P 4 100 P28 R297
IC21B 100 1 R+ 7
1000/6.3 A+12V A-12V C240 R_SEND 1 C254
6 8 1.1k R275 3 22/50 5 100
R148 R267 3900PF 620 R_R+ 2 2200P
7 RPHONO
C131 5532L R_R- 3 5532D
5 IC36 C235 2700P R273
100 DGND 4 GND 4 4
TR15 TR17 1 28

C228
10/50
10/50 M5220L C120 RST VCC3 A+12V R+ 1.2K A-12V L_SEND 5 A-12V
2SC3329 2SC3329 R257 33 2 27 R274

C227 0.033
4 3.3/50 LRCK ZEROL AGND2 620 L_R+ 6
R142 R143 R256 33 3 26 C241 R305 3.6K
A-12V BCLK ZEROR IOUTL- IC37A L_R- 7
470K 96K 4 25 2 8 1800PF
R146 18K R147 300K D20 LRCK IOUTL+ GND 8 C263 270P
C119 R258 33 5 24 C230 1 A+12V
1SS133 DATA VCC2 -12V 9 J25
3.3/50 6 23 10/50 3
BCK VCC1 GND 10 A+12V
R259 33 7 22 +12V C253 XLR_RHOT 2
R120 R122 SCKI VCOM3 R266 OPA2134 11 R301 R303 DGND
C135 0.015uF 8 21 22/50 R306 XLR_RCOLD 3
C134 3900pF D21 750 750 A-12V DGND IREF 100 4 MAINVR 2K 3.6K IC41A
9 20 R265 16K 2 8 100 1
1SS133 VDD VCOM2 A-12V
10 19 A-12V 1
R149 DEMP0 VCOM1 C262
A+12V 11 18 C231 R269 R270 1.1K L- A+12V 3
300 DEMP1 AGND1 10/50 2200P
12 17 RL2 C288 C287 XLB-3
FMT0 IOUTR+ 100 C264 5532D
13 16 C239 2700PF 2200P 2200P
FMT1 IOUTR- 3.3/50 4
C137 14 15
C138 FMT2 MUTE A+12V R282 620 A-12V
G 22/50 A-12V C226 A+12V G
3.3/50 PCM1730 C265 R304 3.6K
0.1 IC38A C245 1800PF
2 8 3.3/50
C261 270P D23
IC48 IC49 IC50 1 A-12V
C139 IC46 IC47 A+12V 1SS133
78M05 78M08 29M33 3
3.3/50 78M08 78M05 R283 A+12V
DGND OPA2134 R278 1.2K 620 IC39B R285 R300 R302 DGND
A+5VADC A+5VDAC 6 8 C252 3.6K G5A-12V
4 L+ 100 2K IC41B R307
A-12V L+ C243 7 6 8 100

IN
GND
OUT
IN
GND
OUT
IN
GND
OUT
A-12V

IN
GND
OUT
IN
GND
OUT
+15V R271 1.1K 3900PF R281 5 7
+8V D+5V D+3.3V R240 620 22/50 C260 5
+15V 5532L 2200P
33 C238 2700PF R279 1.2K 4 5532D
A+12V R280 A-12V 4
C242 A-12V
C208 C213 620 1800PF
C210 C211 C217 IC38B
C209 C212 C214 C215 0.047 C216 6 8
0.047 0.047
0.047 3.3/50 0.047 10/50 0.047 10/50 10/50 7 R315 3.6K
5
C273 150P
R361 DGND OPA2134
150 (2W) A+12V
DGND 4 A+12V
C267
A-12V R346 10K R311 R313 DGND
H 22/50 1K 3.6K H
2 8 IC42A R319 R321
C236 C297 150P 560 100
A-12V 1
SOUT0 3.3/50 C272 3
A+12V 2700P
C295 A+12V A+12V
IC24 IC45 R345 5532D R318
7812 7912 C237 10/50 4.7K IC44A R347 C274 4 10K J26
2 8 TR21
MUTE 3.3/50 100 P29 3.3/50 A-12V 2SC3327
1 LINE L
HEAD_L 1 R314 3.6K
3
D+3.3V GND 2 C275

IN
GND
OUT
GND
IN
OUT
C225 0.1 A-12V
M5220L HEAD_R 3 3.3/50 C271 150P
+15V A+12V 4 GND 4
A-12V
R349 A-12V 10K +12V 5 A+12V C289 C290
IC52 GND 6 R310 R312 2200P 2200P
1 20 C298 150P -12V 7 C266 1K IC42B R317
1G VCC 3.6K 6 8 R320
C204 C207 2 19 MUTE 8 560
1A1 2G 7 100
C202 3 18 FS384 A+12V

C206
C205

3.3/50
0.047 C270

10/50
10/50 C203 2Y4 1Y1 22/50 5
3.3/50 0.047 4 17 C296 2700P
1A2 2A4 10/50 R348
5 16 LRCK 4.7K IC44B R350 5532D R316
2Y3 1Y2 LRCK 6 8
A-12V 6 15 100 4 10K TR22
C200 1A3 2A3 7
-15V 7 14 BCLK A-12V 2SC3327
I 10/50 2Y2 1Y3 BCLK IC34 5 A-12V A+12V I
8 13 R335 3.6K
1A4 2A2 78L05
W1 RA 9 12 M5220L C301
2Y1 1Y4 A+12V A+12V
10 11 4 220/50 C279 150P
C201 1 +15V +15V 2 GND 2A1
A-12V
10/50 3 GND GND 4
GND
IN
OUT

74LVC244 A+12V
5 -15V -15V 6 C269 R333
7 GND MUTE 8 C300 R331
22/50 1K 3.6K R339
D22

3.3/50 IC43A R341


1
2
3

9 GND FS384 10 2 8 560 100


1SS133T

11 GND BTCK 12 1
13 GND LRCK 14 C278 3
15 GND TDI/CDTI 16 C219 C299 A+12V 2700P
3.3/50 5532D R338
17 CS_DA CCLK 18 220/25 C220
33 IC51D C280 4 10K TR23 J27
SDATA 19 SDATA GND 20 R216 0.1 +15V
12 3.3/50 A-12V 2SC3327
21 SOUTO DA PD 22 nDA_PD nAD_PD A-12V LINE R
11 R334 3.6K
nCD_SELECT 23 CD_SEL DFS 24 DUAL_MODE nAD_RST
13 C281
nCD_ANA 25 CD_ANA AD PD 26 nAD_PD
DGND R231 3.3/50 C277 150P
74LVC08
10K IC35 A-12V C291 C292
A+12V
1 8 2200P 2200P
33 IC51C R234 NC NC R233 C268 R330 R332
R214 74LVC08 2 7 R337
9 VIN VCC 2.2K 22/50 1K 3.6K IC43B R340
J nDA_PD A 2.4K 3 6 6 8 100 J
8 R230 NC OUT 560
Y nDA_RST 4 5 7
10 GND RES C C276
B 1K 5
+8V 7 2700P
GND M51957BFP
A+5VADC D+3.3V 14 C218 R250 TR20 5532D R336
DGND VCC 10K
D+3.3V DTC114 4 TR24
C186 4.7/50 4.7K A-12V 2SC3327
R217 R232
3.3K 0.1 C187 0.1 TR19
IC33 2SA1317
1 8 R219 2.2K
NC NC 2.2K
2 7
VIN VCC DGND
3 6 DGND
R218 NC OUT
C302 4 5 R255
GND RES C
1K 20K
473Z M51957BFP
C185

4.7/50
-12V
MPC4000
K

DGND
PC AD DA
SCHEMATIC DIAGRAM

1 2 3 4 5 6 7 8 9 10 11 12 13 14 A1 AKAI professional M. I. Corp.


PC IO VR BLK PC IO VR BLK
PC IO BLK
PC IO BLK
PC IO ADDA BLK PC IO ADDA BLK
PC LCD BLK

You might also like