You are on page 1of 31

271

Epitaxial Cryst
14. Epitaxial Crystal Growth: Methods and Materials

14.1 Liquid-Phase Epitaxy (LPE) ................... 271


The epitaxial growth of thin films of material
14.1.1 Introduction and Background ..... 271
for a wide range of applications in electronics
14.1.2 History and Status ..................... 272
and optoelectronics is a critical activity in many
14.1.3 Characteristics .......................... 272
industries. The original growth technique used, 14.1.4 Apparatus and Techniques ......... 273
in most instances, was liquid-phase epitaxy 14.1.5 Group IV................................... 275
(LPE), as this was the simplest and often the 14.1.6 Group IIIV............................... 276
cheapest route to producing device-quality layers. 14.1.7 Group IIVI............................... 278
These days, while some production processes 14.1.8 Atomically Flat Surfaces ............. 280
are still based on LPE, most research into 14.1.9 Conclusions .............................. 280
and (increasingly) much of the production of 14.2 Metalorganic Chemical Vapor Deposition
electronic and optoelectronic devices now centers (MOCVD) .............................................. 280
on metalorganic chemical vapor deposition 14.2.1 Introduction and Background ..... 280
(MOCVD) and molecular beam epitaxy (MBE). These 14.2.2 Basic Reaction Kinetics .............. 281
techniques are more versatile than LPE (although 14.2.3 Precursors ................................ 283
the equipment is more expensive), and they 14.2.4 Reactor Cells ............................. 284
can readily produce multilayer structures with 14.2.5 IIIV MOCVD .............................. 286
atomic-layer control, which has become more 14.2.6 IIVI MOCVD .............................. 288
and more important in the type of nanoscale 14.2.7 Conclusions .............................. 290
engineering used to produce device structures 14.3 Molecular Beam Epitaxy (MBE) .............. 290
in as-grown multilayers. This chapter covers 14.3.1 Introduction and Background ..... 290
these three basic techniques, including some of 14.3.2 Reaction Mechanisms ................ 291
their more common variants, and outlines the 14.3.3 MBE Growth Systems.................. 293
relative advantages and disadvantages of each. 14.3.4 Gas Sources in MBE.................... 295
Some examples of growth in various important 14.3.5 Growth of IIIV Materials by MBE 296
systems are also outlined for each of the three 14.3.6 Conclusions .............................. 299
techniques. References .................................................. 299

Part B 14
This chapter outlines the three major epitaxial growth taxy (MBE). We will also consider their main variants.
processes used to produce layers of material for elec- All three techniques have advantages and disadvan-
tronic, optical and optoelectronic applications. These tages when applied to particular systems, and these
are liquid-phase epitaxy (LPE), metalorganic chemical will be highlighted where appropriate in the following
vapor deposition (MOCVD) and molecular beam epi- sections.

14.1 Liquid-Phase Epitaxy (LPE)


14.1.1 Introduction and Background gies. It has given way in many areas, however, to various
vapor-phase epitaxy techniques, such as metalorganic
Liquid-phase epitaxy (LPE) is a mature technology and vapor phase, molecular beam and atomic layer epitax-
has unique features that mean that it is still applicable for ies (MOVPE, MBE, ALE), see Sects. 14.2 and 14.3.
use in niche applications within certain device technolo- When selecting an epitaxial growth technology for a par-
272 Part B Growth and Characterization

ticular material system and/or device application, the on InSb and on CdHgTe. Nevertheless, LPE does not ap-
choice needs to take into account the basic principles of pear in the research literature as often as, say, MOVPE,
thermodynamics, kinetics, surface energies, and so on, MBE and ALE in reference to work in these systems.
as well as practical issues of reproducibility, scalabil- However, it is still used extensively in industrial appli-
ity, process control, instrumentation, safety and capital cations, including IIIV LEDs, particularly those based
equipment costs. A systematic comparison of the vari- on AlGaAs and GaP alloys, where it is ideally suited to
ous epitaxy techniques suggests that no single technique the small die areas, the high luminescence efficiencies
can best satisfy the needs of all of the material/device and the relatively simple device structures needed, and
combinations needed in microelectronics, optoelectron- IR detectors based on CdHgTe.
ics, solar cells, thermophotovoltaics, thermoelectrics, Realistic industrial production data is difficult to ob-
semiconductor electrochemical devices, magnetic de- tain, but Moon [14.3] noted that the large majority of
vices and microelectromechanical systems. LPE is still optoelectronic devices were still being grown by LPE
a good choice for many of these application areas (M. at that time, amounting to 4000 m2 per year. He also
Mauk, private communication, 2004). estimated that despite the loss of market share to more
advanced techniques, the total demand for LPE material
14.1.2 History and Status was still increasing at 10% per year. LPE was discon-
tinued for many applications because of its perceived
LPE is basically a high-temperature solution growth limitations in regard to control of layer thickness, alloy
technique [14.1] in which a thin layer of the required compositions, doping, interface smoothness and diffi-
material is deposited onto a suitable substrate. Ho- culties in growing certain combinations of interest for
moepitaxy is defined as growth of a layer of the same heterostructure devices. LPE is normally dismissed for
composition as the substrate, whereas heteroepitaxy is the production of superlattices, quantum wells, strained-
the growth of a layer of markedly different composition. layer structures and heterojunctions with large lattice
A suitable substrate material would have the same crys- mismatches of chemical dissimilarities. It also suffers
tal structure as the layer, have as close a match in terms from a reputation for poor reproducibility, problems
of lattice parameters as possible and be chemically com- with scaling up in size or throughput, and difficulties
patible with the solution and the layer. Nelson [14.2] is in achieving abrupt interfaces between successive layers
commonly thought to have developed the first LPE sys- within structures.
tems, in this case for producing multilayer compound
semiconducting structures. In the following decades 14.1.3 Characteristics
a large technology base was established for IIIV com-
pound semiconductor lasers, LEDs, photodiodes and LPE is characterized as a near-equilibrium growth pro-
Part B 14.1

solar cells. LPE has been applied to the growth of Si, cess, when compared to the various vapor-phase epitaxy
Ge, SiGe alloys, SiC, GaAs, InP, GaP, GaSb, InAs, techniques. Heat and mass transport, surface energies,
InSb (and their ternary and quaternary alloys), GaN, interface kinetics and growth mechanisms are differ-
ZnSe, CdHgTe, HgZnTe and HgMnTe. It has also ent in LPE compared to those in vapor-phase epitaxy
been used to produce a diverse range of oxide/fluoride or bulk growth techniques. These features result in
compounds, such as high-temperature superconductors, both advantages and disadvantages for LPE. The former
garnets, para- and ferroelectrics and for various other include:
crystals for optics and magnetics. The early promise of
garnet materials for making bubble memories was not High growth rates. These are typically 0.110 m/h,
fully realised as standard semiconductor memory was i. e. faster than in MOVPE or MBE. This feature is
more commercially viable. Dipping LPE is still used to useful when thick layers or virtual substrates are
make magneto-optical isolators by epitaxially growing required.
garnet layers on gadolinium gallium garnet substrates. Favorable segregation of impurities into the liquid
It is probably true to say that most of these systems phase. This can lead to lower residual or background
were first studied using LPE, where it was used in the impurities in the epitaxial layer.
demonstration, development and commercialization of Ability to produce very flat surfaces and excellent
many device types, including GaAs solar cells, IIIV structural perfection (Fig. 14.1).
LEDs and laser diodes, GaAs-based Gunn-effect and Wide selection of dopants. Most solid or liquid
other microwave devices and various IR detectors based elements can be added to a melt and incorpo-
Epitaxial Crystal Growth: Methods and Materials 14.1 Liquid-Phase Epitaxy (LPE) 273

antisite defect that is responsible for the nonradiative


Supersaturation (%)
losses in luminescent devices.
103
FVM
SB
Frank Van der Merwe
Step bunching
Once the relevant phase diagram is established,
VW Volmer Weber growth can be made to occur over a wide range of
PVD SK Stranski Krastanov
SI Spiral island temperatures.
100
CG Columnar growth Absence of highly toxic precursors or byproducts.
3-D nucleation Low capital equipment and operating costs. A re-
CVD search LPE kit can be constructed for under $50 000.
Instability
10
2-D nucleation

VW
The main consideration when designing an LPE pro-
1 SK CG cess is to determine accurate phase equilibria (SL
SI
LPE and/or SLV) of the required system. Solution mod-
eling, extrapolations of existing phase equilibria and
0.1 SB semi-empirical predictions are usually sufficient to guide
FVM developments in new systems/applications. The near-
0.1 1 10 Misfit (%) equilibrium nature of LPE provides for several important
Flat surfaces, Inhomogeneity region, growth modes, such as selective epitaxy (deposition
monosteps inclusions through masks on a substrate) and epitaxial layer over-
high structural growth (ELOG, where growth over a mask occurs),
perfection
which are useful for defect reduction and new device
Fig. 14.1 The effects of both supersaturation (and the structures. These new areas include work on the cur-
growth method) and misfit on the nucleation and growth rently important growth of SiC and GaN for diode
regimes. Only at very low supersaturation in LPE using applications.
low-misfit substrates can really flat surfaces be expected.
(After [14.4]) 14.1.4 Apparatus and Techniques

rated in the layer, unlike in vapor-phase growth The basic requirement is to bring the substrate and
where the development of volatile dopant precur- growth solution into contact while the epitaxial layer
sors with suitable kinetics and sticking coefficients grows, and then to separate them cleanly at the end of
is a major undertaking. In this regard, there is the growth cycle. The three main embodiments of the
work underway on rare-earth doping of semicon- LPE growth method are tipping, dipping and sliding

Part B 14.1
ductor layers to exploit their gettering and optical boat, see Fig. 14.2.
properties. Figure 14.3 shows the tipping furnace system used
Suppression of certain types of defects. In general, by Nelson [14.2]. The boat, normally graphite or silica,
LPE material has lower point defects (vacancies, sits in the work tube in the center of the tilted furnace
interstitials, antisites) than material made by other such that the substrate, held with a clamp, is held at one
techniques. For example, the Ga-rich conditions dur- end of the boat with the growth solution at the other end.
ing GaAs LPE inhibits the formation of the As Once the melt has been equilibrated the temperature is

S Dipping Melt Melt S


Melt

Melt S Graphite

Sliding boat Tipping

Fig. 14.2 Dipping, sliding boat and tipping LPE arrangements. (After [14.5])
274 Part B Growth and Characterization

slowly reduced and the furnace is tipped to roll the solu-


Silica
furnace tube tion over the substrate. After a suitable time the furnace
Furnace is tipped back to the start position and the solution rolls
off the grown layer. This is a relatively simple and cheap
Gas out Substrate Gas in technique but has the limitations that solution removal is
Silica boat
difficult and it is normally only suitable for single-layer
Furnace growth.
Thermocouple Figure 14.4 shows the dipping system used for the
Growth solution growth of CdHgTe [14.5]. The design and operation of
Fig. 14.3 Tipping LPE furnace. (After [14.2]) a system for growth from Hg-rich melts is dominated
by consideration of the high vapor pressure of Hg that
Fibre optic guides
comprises 90% of the growth solution, which led to
the evolution of a vertical high-pressure furnace design
UV source with a cooled reflux region. The cylindrical melt vessel
consists of a high-strength stainless steel chamber lined
Detector with quartz. Such systems are capable of containing
about 1020 kg of melt at 550 C for several years with
Hinge no degradation in melt integrity or purity. The prepared
Substrate substrates are introduced into the melt through a transfer
holder chamber or air lock. The paddle assembly can be low-
ered into the melt and rotated to stir the melt. In general,
Stirring Crucible the high-purity melt components are introduced into the
paddle
clean melt vessel at room temperature. The system is
CCD camera sealed, evacuated and pressurized. The temperature of
(image analysis) the furnace is raised above the predicted melting point
Melt
and held constant until all the solute dissolves. The use of
large melts results in a near-constant saturation tempera-
ture from run to run and ensures excellent reproducibility
Multizone
Au-coated
of layer characteristics.
furnace Figure 14.5 shows the basic structure of a graphite
sliding-boat system, which has turned out to be the most
popular and versatile of the three main methods [14.6].
Part B 14.1

The substrate sits in a recess in a slider supported by


a base section. Growth solutions reside in wells in the
Resistance upper section of the boat and can be repositioned over
Hg temperature
devices (RTD) the substrate using a push-rod arrangement. One of the
main drawbacks of this method is that of melt retention
Fig. 14.4 Schematic diagram of a dipping LPE reactor on the grown layer. Various means, such as empty wells,
showing the Te-rich melt, the mercury reservoir and po- slots, lids on the solutions, and pistons to tilt the sub-
sitions of the sensors. (After [14.5]) strate have been tried with varying degrees of success.
The critical design feature of the boat to aid wipe-off is
Pd-diffused Growth High-purity
hydrogen solution graphite block to control the gap between the top of the grown layer
and the underside of the top section. If this is too large,
melt retention occurs, but if it is too small the layer may
be scratched. Multilayer growth is easily possible us-
ing this sliding-boat method, providing melt retention is
Substrate kept to a minimum. The thin melts lead to suppression
Push rod Polycrystalline High-purity of thermal or solutal convection, and hence reduce en-
source graphite cradle hanced edge growth. Scale-up has also been achieved in
Fig. 14.5 Basic structure of graphite horizontal sliding-boat LPE. this method with several substrates (up to 16) growing
(After [14.6]) three-layer GaAlAs structures in a single run.
Epitaxial Crystal Growth: Methods and Materials 14.1 Liquid-Phase Epitaxy (LPE) 275

In all of the LPE methods, production of supersat- tial attempts used a technique where molten silicon ran
uration in the growth solution drives the deposition of from an upper section of the crucible to a lower sec-
the layer on the substrate. This supersaturation can be tion where the SiC substrates were held fixed. Dipping
produced by ramp cooling, step cooling, supercooled was also used in an attempt to grow material that was
growth (a hybrid of the previous two techniques), two- less stressed by Si melt solidification. Growth temper-
phase growth, constant-temperature growth or transient atures were 15001750 C and layer thicknesses were
growth [14.7]. The choice between these various means 2040 m. Both of these techniques produced material
will depend on the details of the particular material sys- that was successfully used to make blue LEDs. A new
tem and the precise requirement for the material. An version of LPE, so-called container-free LPE (CFLPE)
additional means of producing the required supersatura- based on the electromagnetic crucible technique, was
tion is that of electroepitaxy, in which an electric current also developed, see Fig. 14.6. Liquid metal (molten Si)
is passed through the interface to stimulate layer growth. is suspended in a high-frequency electromagnetic field
It is now thought that this occurs via an electromigration at 1000 C and the substrates, SiC, are placed on top of
process rather than via Peltier cooling. Benefits claimed the melt after heating to 1450 C. A source of SiC is also
for the technique include reduced surface ripple, a re- placed at the bottom of the Si melt. Cooling of the so-
duced number of certain microdefects and an ability to lution was used to produce the epitaxial layer and the
grow millimeter-thick layers of GaAlAs with uniform
composition.
a)
14.1.5 Group IV Silicon

Silicon and Silicon/Germanium


Ciszek [14.9] noted that high-quality Si layers have been
grown on Si substrates at temperatures in the range
700900 C at a rate of 1 m/min. The potential ap- b)
plication was for solar cells, but because growth was
on silicon, rather than a low-cost alternative, this is not Inductor
considered to be a viable production process.
Alonso and Winer [14.10] grew SiGe alloys of vari-
ous compositions from SiGeIn melts at temperatures
between 640 and 900 C. Layers were 15 m thick c)
and were used to study Raman spectra features seen in

Part B 14.1
material grown by MBE. The advantage of LPE-grown SiC substrates
material was thought to lie in the random distribution
of Si and Ge atoms (no ordering is present) compared
to the MBE-grown material. The authors were able to
show that the Raman peaks seen in MBE-grown ma- d)
terial were not due to ordering; rather they were due
to optical phonons associated with SiSi motion. This
demonstrates the benefits that a near-equilibrium growth
process can have when studying material grown by
nonequilibrium techniques. Copper
container
Silicon Carbide
Dmitriev [14.8,11] has described the production of high-
quality 6H-SiC and 4H-SiC p-n junctions by LPE from
Si melts. Layer thicknesses range from 0.2 to 100 m Fig. 14.6ad Schematic of CFLPE: 1 silicon, 2 induc-
with growth rates of 0.012 m/min. Nitrogen is used tor, 3 SiC substrate, 4 copper container; (a) solid Si in
as the donor impurity and aluminium, gallium and boron copper inductor, (b) solid Si suspended in the inductor, (c) li-
as acceptor impurity elements. The material showed high quid Si with SiC crystals suspended in the electromagnetic
carrier mobility and low deep-center concentrations. Ini- field, (d) Si is dropped into the container. (After [14.8])
276 Part B Growth and Characterization

samples were then removed from the melt. The tech- GaInP for blue/green LEDs, GaInSb for improved Gunn
nique succeeded in producing pn junctions by growing devices, and GaInAs or GaAsSb for photocathodes were
both layers in the same run. These formed the basis of also studied. Later still came growth of ternaries, such
several types of high-temperature devices (up to 500 C), as GaInAsP (lattice-matched to InP) for heterostruc-
including diodes, FETs, bipolar transistors and dynis- ture optoelectronic devices. Finally, OEICs and buried
tors, and optoelectronic devices such as green, blue, heterostructure lasers were developed to exploit the
violet and UV LEDs. Reductions in melt temperature potential for selective-area growth and anisotropy of
have been attempted by adding Sn, Ge and Ga to Si growth rate.
melts, with some success being reported for the latter;
growth at 11001200 C was obtained. IIIV Antimonides
Commercially available substrates for epitaxy are lim-
14.1.6 Group IIIV ited in their lattice constant spread and this imposes
certain constraints in terms of lattice-matched growth
Arsenic- and Phosphorus-Based Materials and miscibility gaps. Ternary and quaternary alloy sub-
The majority of work in the area of IIIV growth has strates with adjustable lattice parameters would open up
been on GaAs and GaP, plus additions of As and Al. Fol- new device applications. However, bulk-grown ternary
lowing the earlier treatment by Elwell and Scheel [14.1], alloys suffer from segregation and stress effects. An al-
Astles [14.7] gave a comprehensive treatment of the LPE ternative approach is to grow very thick layers (> 50 m)
growth of GaAs and other IIIV binaries and ternaries. of these compounds for use as virtual substrates, Mao
He lists the advantages of LPE as: high luminescence and Krier [14.12]. For IIIV antimonides, where sub-
efficiency due to the low concentration of nonradiative strate and lattice-matching problems are acute, such
centers and deep levels, growth of ternary and quater- thick layers are feasible by LPE due to the relatively fast
nary alloys, controlled p- and n-type doping, multilayer growth rates (110 m/min). Either gradual composi-
growth with low interface recombination velocities and tional grading or growing multilayers with abrupt but
good reproducibility and uniformity. Disadvantages in- incremental compositional changes between layers can
cluded: large areas that are required to be free of surface by combined with either selective removal of the sub-
features (such as for photocathodes or ICs), very abrupt strate (to produce free-standing layers) or wafer-bonding
control of doping/composition profiles is required (as techniques, yielding an alloy layer bonded to a surrogate
for microwave devices), accurate thickness control is re- substrate. The challenge for these virtual substrates is to
quired (as for microwave and quantum-well devices), produce lattice constants that are sufficiently different
and compositional grading between the substrate and from those available using binary substrates, without
the layer is inevitable. A problem associated with the introducing an excessive level of defects.
Part B 14.1

use of phosphorus-containing substrates is the need to Another interesting application of antimonides is


provide an overpressure source or a dummy solution to that of InSb-based quantum dots, Krier et al. [14.13].
prevent phosphorus loss during the pregrowth phases. The potential application here is in mid-IR lasers, LEDs
All of the methods outlined above were attempted and detectors. In particular, there is a market for these
for the growth of GaAs and related materials. In addi- materials as gas detectors based on IR absorption. The
tion, because LPE is a near-equilibrium technique that principle is that of rapid slider LPE, in which a thin slit
uses low supersaturation, nucleation is very sensitive to of melt is wiped across the substrate producing contact
substrate lattice parameters and the growth rate is influ- times of 0.5200 ms. This produces low-dimensional
enced by the substrate orientation. This enables localized structures such as quantum wells and quantum dots. InSb
growth in windows on the substrate surface and growth quantum dots were grown on InAs substrates at 465 C
on nonplanar substrates with ribs or channels produced with 10 C supercooling and a 1 ms meltsubstrate con-
by preferential etching. The latter feature has been used tact time. Both small (4 nm high and 20 nm in diameter)
to produce novel laser structures. and large quantum dots (12 nm high and 60 nm in diam-
In fact, a vast array of both optoelectronic and mi- eter) are produced. Extensions to this work included
crowave devices have been produced in LPE GaAs and growing InSb dots on GaAs and InAsSb dots. Photo-
related materials. The earliest were the GaAs Gunn luminescence and electroluminescence in the mid-IR
devices and GaP/GaAsP LEDs. Later, GaAs/GaAlAs region ( 4 m) were observed in these dots.
heterojunctions were produced for use in lasers, pho- A Japanese group [14.14] is pioneering a technique
tocathodes and solar cells. Other alloy systems, such as called melt epitaxy, which can be viewed as a variant
Epitaxial Crystal Growth: Methods and Materials 14.1 Liquid-Phase Epitaxy (LPE) 277

of LPE. A sliding-boat arrangement rapidly solidifies Bismuth also has lower surface tension that provides
a ternary melt into a 300 m-thick ternary slab on a bi- better wetting of the substrate. Solubilities can also be
nary substrate. For example, thick InGaSb and InAsSb changed to affect growth rates or segregation of certain
layers were grown onto GaAs and InAs substrates, re- elements, such as Al in AlGaAs. Other solvents that
spectively. Low background doping and high electron might be considered include molten salts, alloys with
mobilities are achieved in material that demonstrates Hg, Cd, Sb, Se, S, Au, Ag, or even perhaps some fused
cut-off wavelengths in the 812 m region, potentially oxides.
a competitor to the more established IR detectors based Several groups have reported success with LPE
on MCT (Sect. 14.1.7). growth of several less-common semiconductors, such
as InTlAsSb, InBiSb and GaMnAs [14.14]. The drive
Group III Nitrides for this work is for low-bandgap material for use in
The LPE of GaN is difficult due to the low solubility of detectors to rival those made in MCT (Sect. 14.1.7).
nitrogen in molten metals at atmospheric pressure. There The low supersaturation of LPE makes selective
are reports of growth of GaN from gallium and bismuth modes of epitaxy feasible. A substrate can be masked
melts, and in some instances the melt is replenished (using, say, SIO2 , Si3 N4 , TiN) and patterned with
with nitrogen by introducing ammonia into the growth openings that serve as sites for preferential nucleation.
ambient, relying on a so-called VLS (vaporliquid In epitaxial lateral overgrowth (ELO), the selectively
solid) growth mechanism that essentially combines LPE seeded material overgrows the mask. This technique has
with CVD (chemical vapor deposition). Another re- been used for defect filtering, stress reduction, substrate
port [14.15] notes the use of Na fluxes as a solvent. isolation and buried mirrors and electrodes [14.14].
Klemenz and Scheel [14.16] used a dipping mode at ELO is difficult with vapor-phase methods; aspect ra-
900 C with sapphire, LiGaO2 , LiAlO2 and CVD GaN tios (width to thickness of selectively grown material)
on sapphire substrates. are small, whereas they can be 100 in LPE. This could
have potential for light-emitting diodes [14.14]. Another
Other Topics interesting application of selective LPE is the growth
Doping with rare-earth elements (Dy, Er, Hl, Nd, Pr, of pyramidal AlGaAs microtips for scanning near-field
Yb, Y, ...) in the AlGaAs, InGaAs, InGaAsSb and In- optical microscopy.
GaAsP systems can lead to impurity gettering effects LPE growth of heterostructures with high lattice mis-
that radically reduce background doping and junction match has also been attempted, for example of InSb on
saturation currents and increase carrier mobilities and GaAs [14.14] and AlGaAs on GaP [14.14]. This can be
minority carrier lifetimes. Such rare-earth doping in assisted by growing a buffer layer by CVD, as in the
InAsSb LEDs [14.17] increases the luminescence by LPE of AlGaAs on GaAs-coated (by MOCVD or MBE)
silicon substrates. Defect-density reductions of 2 or-

Part B 14.1
10100 times.
There is no fundamental limit to the number of com- ders of magnitude can be achieved relative to the GaAs
ponents in mixed alloy layers produced by LPE. For buffer layer grown by MOCVD or MBE.
example, AlGaInPAs layers have been grown on GaAs Another variant of the basic LPE process is that
by LPE [14.18]. Each additional element adds an ex- of liquid-phase electroepitaxy (LPEE), where appli-
tra degree of freedom for tailoring the properties of the cation of an electric current through the growth
layer, although more detailed phase equilibria data or interface can enhance growth rates for producing thick
models are required to determine accurate melt compo- ternary layers [14.14]. Selective LPEE on patterned,
sitions and temperatures. However, as more constituents tungsten-masked GaAs substrates can produce inverted
are added the melt becomes more dilute and more nearly pyramid-shaped crystals that can be used to make very
approaches ideal behavior. high efficiency LEDs [14.14].
Traditionally, LPE melts are rich in one of the major Mauk et al. [14.19] have reported on a massive
components of the layer to be grown. However, there are scaling up of the LPE growth of thick (> 50 m) Al-
certain advantages to using alternative solvents, such as GaAs on 75 mm-diameter GaAs substrates. The method
bismuth, as used for GaAs. In the latter case the melt is produces a two orders of magnitude improvement in
then dilute in both arsenic and gallium and the chemical areal throughput compared to conventional horizontal
activities can be separately controlled to try to reduce sliding boat systems and has applications for LEDs,
point defects since the concentrations of these defects thermophotovoltaic devices, solar cells and detectors.
depend on the chemical potentials of the constituents. A large rectangular aluminium chamber is used instead
278 Part B Growth and Characterization

sure, necessitating a closed-tube approach, and the need


a) to maintain the ZnSe substrates in the upper portion of
Direction of travel Boat cap
the vertically held melt during deposition. Nevertheless,
Pushrod 1020 m-thick layers were grown in 2 h. Growth at
Melt 950 C produced smoother surfaces, but the layers con-
Boat top tained more deep levels and impurities compared with
those grown at the lower temperatures. Addition of a sep-
Preheat Puck arate Zn vapor pressure source improved the properties,
Preheat Puck
showing p-type conductivity, and doping with Au, Na,
Substrate Puck and Li was also attempted. All of these produced p-type
material but there was no n-type material reported. More
recently, the same group [14.21] reported growth of p-
type ZnSe doped with Na2 Se from which pn junctions
were fabricated after Ga diffusion from a Zn solution,
to produce the n-type layer. Blue light was emitted at
Pedestal Slider
a wavelength of 471 nm.
b) Graphite heater Boat cap Thermocouple well Astles [14.22] has reviewed the work done on LPE
between quartz of CdTe-based compounds. Most studies have been
plates Melt Boat top carried out from Te-rich solutions in the tempera-
ture range 500900 C. Layers are p-type as-grown
or n-type if doped with In or Al. Growth rates are
Pushrod Preheat Puck Preheat Puck
typically 0.5 m/ C at 500 C. Growth from Bi-rich
melts was also studied and this was found to im-
prove melt wipe-off and surface morphology. Buffer
Substrate Puck Seed Slider rail layer growth of CdZnTe layers was used by Pelliciari
et al. [14.23] as impurity barrier layers. Both CdM-
nTe [14.24] and HgCdMnTe [14.25] have also been
Pedestal
grown by LPE. The latter compound was used to pro-
duce mesa diodes for room-temperature 1.31.8 m
Fig. 14.7a,b Schematic of three-inch LPE apparatus, (a) perspective
applications.
view, (b) side view. (After [14.19])
Mercury Cadmium Telluride (MCT)
Part B 14.1

of a silica tube (Fig. 14.7). A modified sliding-boat ar- The situation regarding LPE of MCT was reviewed by
rangement is used with a top heater mounted above the Capper et al. [14.5]. LPE has emerged as the predomi-
boat cap, in conjunction with a heat exchanger/pedestal nant materials growth technology for the fabrication of
that acts as a cold finger to impose a vertical temper- both first- and second-generation MCT IR focal plane ar-
ature gradient, which is the driving force for growth. rays (FPAs). The technology has advanced to the point
These > 50 m-thick layers were then produced as free- where material can now be routinely grown for high-
standing layers bonded to glass. performance photoconductive (PC), photovoltaic (PV)
and laser detector devices covering the entire 218 m
14.1.7 Group IIVI spectral region. Two different technical approaches have
been pursued with almost equal success: growth from
Widegap Compounds Hg solutions and growth from Te solutions. One major
While vapor-phase methods are normally used, LPE advantage of the Hg-solution technology is its ability
has been used to grow some widegap Zn-based IIVI to produce layers of excellent surface morphology due
compounds [14.20]. This work was driven by the view to the ease of melt decanting. Two additional unique
at that time that blue LEDs could be made economi- characteristics have now been widely recognized as
cally in ZnSe. The aim was to produce pn junctions essential for the fabrication of high-performance double-
directly by LPE via growth at 950650 C, much lower layer heterojunction (DLHJ) detectors by LPE: low
than the bulk crystal growth, to reduce the number of liquidus temperature (< 400 C), which makes a cap-
defects. The problems included the high Se vapor pres- layer growth step feasible, and ease of incorporating both
Epitaxial Crystal Growth: Methods and Materials 14.1 Liquid-Phase Epitaxy (LPE) 279

p-type and n-type temperature-stable impurity dopants, ple, to compare growth parameters for Te solutions with
such as As, Sb and In, during growth. those for Hg solutions, consider the growth of LWIR
Figure 14.4 shows the dipping system used for MCT (x = 0.2) at 500 C from both Te and Hg solu-
the growth of CdHgTe [14.5]. A typical growth pro- tions. The xCd for Te-rich solutions is 8.3 103 , while
cedure begins by lowering the paddle plus substrates xCd for Hg-rich solutions is 2.6 104 . This is one of
into the melt and allowing thermal equilibrium to be the difficulties encountered in LPE growth from Hg-rich
reached while stirring. After reaching equilibrium, a pro- solutions. Use of large melts, however, overcomes the
grammed ramp reduces the melt temperature to the Cd depletion problem. MCT epitaxial layers of the de-
required level at which point the shutters are opened and sired thickness (> 10 m) and of uniform composition
the substrates are exposed to the melt. Upon comple- through the thickness can be grown.
tion, the paddle is withdrawn into the transfer chamber A typical sliding-boat arrangement has been de-
and the isolation valve is closed. Large melts allow the scribed by Capper et al. [14.29]. The LPE boat is made
production of layers of up to 30 cm2 with excellent com- from purified, high-density, small-grain, electronic-
positional and thickness uniformity and allow dopant grade graphite. The precompounded growth solution is
impurities to be accurately weighed for incorporation placed in a growth well, and crushed HgTe is placed in
into layers and to maintain stable electrical characteris- a blind well. The HgTe acts as a buffering source for
tics over a long period of time. Four layers (30 cm2 each) the volatile elements to help stabilize the growth solu-
with a total area of 120 cm2 can be grown in a single tion composition and also to deliver an overpressure to
run [14.27]. Norton et al. [14.28] also scaled up for the the annealing well to control the metal vacancy level
growth of cap layers from Hg-rich solutions, each reactor during annealing. These wells are connected to the an-
capable of growth on four 24 cm2 base layers per run. nealing well with a gas channel plate that controls the
While layers grown from Hg-rich solutions are easily movement of the volatile elements within the boat. The
doped with group VB elements with high solubility, lay- growth solution and HgTe overpressure source are made
ers grown from tellurium-rich solutions are not. Group from high-purity elements. The control over the impu-
VB dopants have low solubility and are not 100% active rity levels in the major constituent elements is a crucial
electrically. Group IIIB elements, indium in particu- part of the control of the overall process.
lar, are easily incorporated from both solutions. Indium At the start of the growth cycle the slider is posi-
doping from tellurium-rich melts, however, has one tioned so that the substrate is under the annealing well.
advantage in that the segregation coefficient is near unity. The loaded boat is placed in the reactor tube and the fur-
Astles [14.22] has reviewed the experimental data nace is pre-heated to 520 C and then moved over the
of Te-rich LPE growth at 460 to 550 C. As an exam- boat. The boat heats rapidly, and after a solution melting
and equilibration period, the furnace is cooled rapidly
by 20 C, and then a slow cooling ramp (2 or 3 C/h)

Part B 14.1
10.39 m
is initiated. When the boat reaches the required growth
1.99 nm start temperature, the slider is moved so that the substrate
is positioned under the molten solution. The ramp con-
tinues until the required film thickness has been grown,
after which the slider is returned to the starting position
0 nm and the furnace temperature reduced rapidly to an an-
nealing temperature. Following the anneal, the furnace
5.19 m
is moved back to its starting position and the system is
allowed to cool.
Surface morphology is controlled at two levels:
microtexture and long-range variation. The micro-
texture is a result of misalignment of the substrate
crystal plane with the growing surface. Deviations
> 0.1 from the <111>B plane lead to signifi-
0 m cant surface texture. Growth on accurately orientated
0 m 5.19 m 10.39 m
substrates gives a specular surface on which atomic-
Fig. 14.8 AFM image of a FrankRead growth spiral. (Af- scale growth features can be seen using atomic
ter [14.26]) force microscopy (AFM). Figure 14.8 shows a clas-
280 Part B Growth and Characterization

sical FrankRead site on an as-grown LPE layer 14.1.8 Atomically Flat Surfaces
surface.
The approach to forming p-on-n DLHJ structures by Chernov and Scheel [14.34] have argued that far from
LPE is virtually universal. LPE from Hg-rich solution the perceived drawback of LPE of producing rough
is used to grow the As- or Sb-doped p-type cap layers. surfaces, it may be uniquely suited to providing atom-
The In-doped n-type base layers are grown by various ically flat, singular surfaces over distances of several
Te-melt LPE techniques including tipping, sliding, and micrometers. These surfaces would have applications
dipping. The trend appears to be in favor of the p-on-n in surface physics, catalysis and improved homogene-
DLHJ structures, as passivation is more controllable than ity of layers and superlattices of semiconductors and
that of the n-on-p structures [14.30]. A bias-selectable superconductors.
two-color (LWIR/MWIR) detector structure was first In support of this view, Fig. 14.8 shows an AFM im-
fabricated by growing three LPE layers from Hg-rich age of a FrankRead growth spiral on the surface of
melts in sequence on a bulk CdZnTe substrate, Cassel- an MCT layer grown by LPE in this authors labora-
man et al. [14.31]. tory [14.26].

Other Narrowgap IIIV Compounds 14.1.9 Conclusions


HgZnTe was first proposed as an alternative detector
material to MCT due to its superior hardness and its LPE was generally the first epitaxial technique applied
high energies for Hg vacancy formation and disloca- to most systems of interest in micro- and optoelec-
tion formation [14.32]. Rogalski [14.33] reviewed the tronics. It is now generally a mature technology, with
LPE growth of HgZnTe and noted that Te-rich growth large fractions of several optoelectronic, IR detectors
is favored due to the low solubility of Zn in Hg and and other device types being made in LPE material, al-
the high Hg partial pressure. He also commented that though some developments are still taking place. LPE
the same factors apply to the growth of HgMnTe. Be- has several advantages over the various vapor-phase epi-
cla et al. [14.24] grew HgMnTe in a two-temperature, taxial techniques, such as high growth rates, favorable
closed-tube tipping arrangement at 550670 C onto impurity segregation, ability to produce flat faces, sup-
CdMnTe bulk substrates and CdMnTe LPE layers pre- pression of certain defects, absence of toxic materials,
viously grown on CdTe substrates. Phase diagram data and low cost. There is much less emphasis on LPE in the
were also presented and the value of kMn was quoted as current literature than on the vapor-phase methods, but
2.53. Rogalski [14.33] also reviewed the status of PC LPE continues to seek out and develop in several niche
and PV detectors in both HgZnTe and HgMnTe. markets where vapor-phase techniques are not suitable.
Part B 14.2

14.2 Metalorganic Chemical Vapor Deposition (MOCVD)


14.2.1 Introduction and Background MOCVD was born, but it wasnt until the late 1980s
that MOCVD became a production technique of any sig-
The technique of MOCVD was first introduced in the nificance. This success depended on painstaking work
late 1960s for the deposition of compound semiconduc- improving the impurity of the organometallic precursors
tors from the vapor phase. The pioneers of the technique, and hydrides. By this time the effort was on high-
Manasevit and Simpson [14.35] were interested in quality epitaxial layers on lattice-matched substrates,
a method for depositing optoelectronic semiconductors in contrast with the early work. The high-quality epi-
such as GaAs onto different substrates such as spinel taxial nature of the films was emphasized by changing
and sapphire. The near-equilibrium techniques such as the name of the growth method to metalorganic va-
LPE and chloride VPE were not suitable for nucleation por phase epitaxy (MOVPE) or organometallic VPE
onto a surface chemically very different to the com- (OMVPE). All of these variants of the name can be
pound being deposited. These pioneers found that if found in the literature and in most cases they can be
they used combinations of an alkyl organometallic for used interchangeably. However, MOCVD can also in-
the Group III element and a hydride for the Group V clude polycrystalline growth that cannot be described
element, then films of GaAs could be deposited onto as epitaxy. The early niche applications of MOVPE
a variety of different surfaces. Thus, the technique of were with GaAs photocathodes, GaAs HBT lasers and
Epitaxial Crystal Growth: Methods and Materials 14.2 Metalorganic Chemical Vapor Deposition (MOCVD) 281

GaInAsP lasers and detectors for 1.3 m optical fiber


Parasitic reactions on reactor wall Organometallic
communications. stream
The characteristics of MOCVD that have taken it
from a research curiosity to production have been in the
simplicity of delivery of the reactive vapors and the ver- Gas phase Push
reactions Mixing
satility of compositions, dopants and layer thicknesses. flow
These basic attributes have enabled the same basic tech- Desorption Diffusion to
nique to be used for narrow bandgap semiconductors of organic surface of
products Diffusion of Hydride
such as the infrared detector materials Cdx Hg1x Te and precursors stream
products to
GaInSb and now for wide bandgap semiconductors such surface
as GaN and ZnO. Indeed, the success of GaInN in the
Surface heterogeneous reactions
1990s for high-brightness blue LEDs has now led to this
being the most popular material produced by MOCVD.
The early strength of MOCVD was its ability to grow Fig. 14.9 Schematic of MOCVD process from mixing of gas
onto different substrates but this was later abandoned streams to reaction on the substrate surface
in favor of the more conventional homoepitaxy; how-
ever, the nitrides rely on heteroepitaxy onto sapphire CH4
and SiC substrates, bringing MOCVD back to its roots H2
Ga(CH3)3 CH3 H
with the early work of Manasevit. This versatility with CH4
H
substrate materials presents MOCVD with the ultimate

A
sH
challenge of mating high-performance optoelectronic AsH2

3
materials with silicon substrates in order to combine Ga(CH3)2 Gas phase
CH3
the best of optoelectronic and electronic performance. reactions
This section of the chapter will cover the key
elements of the MOCVD process from the physi-
cal characteristics of the precursors through reactor CH4 Ga(CH3) H
2 H
design to getting the right materials properties for high-
performance devices. Ga(CH3)(surface) AsH3(surface) AsH2(surface)
Surface
reactions
14.2.2 Basic Reaction Kinetics GaAs (surface) AsH(surface) AsH(surface)

The precursors for IIIV MOCVD are generally a sim-


Fig. 14.10 Reaction scheme for epitaxial deposition of GaAs show-

Part B 14.2
ply alkyl for the Group III source and a hydride for
ing the most important vapor phase and surface reaction steps
the Group V source. Both have the essential properties
of being volatile in a suitable carrier gas stream (usu-
ally hydrogen) and being chemically stable at ambient reason that it works so well is that the hydrogen required
temperature. These precursors are normally mixed out- to satisfy the . CH3 radical bond is supplied from the ar-
side the reaction chamber, introduced into the reaction sine hydride, and at normal growth temperatures (around
chamber through a suitable injector arrangement and 700 C) avoids unsatisfied carbon bonds that could lead
directed onto a hot substrate. This is shown schemati- to carbon incorporation. In reality this very simple pic-
cally in Fig. 14.9. The details of reactor design will be ture covers a complexity of reaction steps that have to
discussed later in this chapter. The reaction of the pre- take place, that have been discussed in great detail by
cursors to yield the IIIV compound on the substrate Chernov [14.36]. However, some of the important reac-
can occur either in the hot vapor above the surface or tion steps will be described here as an introduction to
on the hot surface. The stoichiometric reaction for GaAs the kinetics of GaAs MOCVD.
growth is given as The schematic shown in Fig. 14.10 gives some of
the important reaction steps that have been identified
(CH3 )3 Ga + AsH3 GaAs + CH4 . (14.1)
for the reaction of GaAs. This gives some insight into
This reaction has been the most widely studied of all the complexity of the reaction kinetics and it is worth
the MOCVD reactions and was one of the original pro- remembering that this is a relatively straightforward re-
cesses reported by Manasevit and Simpson [14.35]. One action for MOCVD. Fortunately, one does not have to
282 Part B Growth and Characterization

understand every step in the process before attempting thermal decomposition characteristics, they can be used
to grow a layer, and this goes some way to explain- together to react at the same temperature through radi-
ing why MOCVD has developed along very empirical cal reaction mechanisms. The latter has been illustrated
lines. An understanding of the reaction kinetics does, in many studies of different systems using ersatz reac-
however, enable some of the problems that are associ- tion tube experiments, and further information on these
ated with MOCVD to be understood, particularly when can be found in Stringfellow [14.37]. For example, in
these relatively simple precursors are replaced by more the case of GaAs, the pyrolysis of TMGa will occur at
complex precursors. 500 C, but for arsine decomposition, a temperature of
It can be seen from Fig. 14.10 that the reaction around 700 C is required.
process is started by gas-phase homolysis of TMGa The stepwise removal of ligands from TMGa and
[(CH3 )3 Ga] to yield dimethylgallium and methyl rad- arsine can occur in the vapor or on the surface. Fig-
icals (. CH3 ). There are two important roles that the ure 14.10 shows both alternatives and the dominant path
methyl radicals can take and this is generally impor- will depend on both the surface temperature and vapor
tant in all MOCVD processes for deposition of IIIV temperature above the substrate. A general rule is that
semiconductors: more of the reaction process will occur on the surface at
lower temperatures when the vapor reaction rate will be
1. Methyl radicals can react with the ambient hydrogen slower and the surface adsorption will be more efficient.
carrier gas to yield stable methane and hydrogen It is also important to note that there are two different
radicals. adsorption sites on the surface: the Ga sites that will
2. Methyl radicals can react with the arsine (AsH3 ) to take the anion species and the As sites that will take the
yield stable methane and AsH2 . cation species.
Part of the early success of GaAs and the alloy
Both of these steps can initiate the decomposition of ar- GaAlAs was due to the absence of unwanted vapor-
sine either through either a methyl radical or a hydrogen phase reactions that could lead to the formation of
radical removing a hydrogen atom from the arsine; these polymer chains that would contaminate the layer. This
reaction steps can be seen in Fig. 14.10. There are some was not the case with InP where the liquid alkyl source
important consequences of these initial reaction steps, TEIn was used instead of the solid TMIn. This led
and one you may have already spotted is that the hy- to a mist in the reactor resulting from the formation
drogen carrier gas is not included in the stoichiometric of an adduct between the precursors that subsequently
reaction (14.1) but can play a part in the reaction pro- polymerized. This was overcome through the use of low-
cess. Another consequence is that although it is highly pressure reactors, and this has remained a feature of most
unlikely that the two (or more) precursors have the same production reactors today. However, the preferred pre-
Part B 14.2

cursor is TMIn and the problems of uncertain supply


from a solid source has been overcome by a variety of
Log (growth rate)
different innovative methods. One example is to form
Evaporation Transport Kinetic
a liquid with a stable amine, and the source then will
limited limited limited behave in the same way as any other liquid precursor
(Frigo et al. [14.38]).
The details of the reaction kinetics will change with
substrate temperature, but as the temperature is increased
a point will be reached where the rate of epitaxial growth
will no longer be determined by the overall reaction rate
Equilibrium Boundary but will be determined by the supply of precursors to the
vapor layer substrate. This will be reflected by a depletion of the pre-
pressure of Diffusion Slope cursor concentration immediately above the surface and
components coefficient = (activation
energy) /R a gradient in precursor concentration towards the unde-
pleted free stream. The limitation on the rate of epitaxial
1 / T (K) growth then becomes the rate of diffusion through the
Fig. 14.11 Schematic of the three different temperature depleted boundary layer to the substrate. This is called
regimes for growth of a compound semiconductor by transport-limited growth and is characterized by high
MOCVD growth rates and only a weak dependence of growth
Epitaxial Crystal Growth: Methods and Materials 14.2 Metalorganic Chemical Vapor Deposition (MOCVD) 283

rate on substrate temperature. This is shown schemati- 1. Saturated vapor pressure (SVP) should be in the
cally in Fig. 14.11. The plot is of ln(growth rate) versus range of 110 mbar in the temperature range
1/T because of the expected Arrhenius relationship in 020 C.
the rate constants. This really only applies to the low- 2. Stable for long periods at room temperature.
temperature (kinetic) regime. Here the growth rate can 3. Will react efficiently at the desired growth tempera-
be expressed as ture.
4. The reaction produces stable leaving groups.
Rate = A exp (E a /RT ) , (14.2)
5. Avoids unwanted side reactions such as polymeriza-
where A is a constant and E a is the activation energy. tion.
It is unlikely that E a can be attributed to the activation According to the ClausiusClapeyron equation, the
energy for a single reaction step, but it is still useful for SVP of a liquid is given by an exponential relationship:
characterizing the kinetics when different precursors are
being tested. SVP = exp(G/RT ) , (14.3)
In the transport-limited regime there will be a small
where G is the change in Gibbs free energy on evapo-
dependence on temperature due to the increase in dif-
ration, R is the gas constant and T the temperature of the
fusion rate with temperature, and this is illustrated in
liquid in the bubbler. This can be expressed as the heat
Fig. 14.11. Most MOCVD growth processes will take
of evaporation H and the entropy for evaporation S,
place in the transport-limited regime where it is easier
where G = H T S; this gives the familiar form
to control growth rate. However, there are a number of
of the SVP equation:
growth processes that will occur at lower temperatures in
order to control the properties such as native defect con- SVP = exp(H/RT ) + S/R
centrations of the epitaxial films. This is generally the = exp(S/R) exp(H/RT ) . (14.4)
case with IIVI semiconductors, but can also apply to the
formation of thermodynamically unstable IIIV alloys. This is of the form:
In the high-temperature regime, the growth rate log e (SVP) = A B/T , (14.5)
decreases with temperature, as the equilibrium vapor
pressure of the constituent elements in the film will where A and B are constants given by A = S/R and
increase and give desorption rates similar to the deposi- B = H/R. Manufacturers of the precursors will gen-
tion rate, leading to significant loss of material through erally give the SVP data in the form of the constants A
evaporation to the gas stream.
Table 14.1 List of precursors with vapor pressure constants
14.2.3 Precursors derived according to (14.6)

Part B 14.2
The choice of precursors is not confined to simple alkyls Precursor A B SVP at 20 C
and hydrides but can extend to almost any volatile (mm Hg)
organometallic as a carrier for the elemental compo- TMGa 8.07 1703 182
nents of a film. In the case of IIVI semiconductors it TEGa 8.08 2162 5.0
is usual to use an alkyl for both the Group II and the TMAl 8.22 2134 8.7
Group VI elements. Hydrides have been used as Se and TEAl 9.0 2361 0.02
S sources but prereaction makes it difficult to control TMIn 10.52 3014 1.7
the growth process and in particular can make it difficult TEIn 8.94 2815 1.2
to incorporate dopants. The use of combined precursor Solution TMIn 10.52 2014 1.7
sources has been extensively researched but is not in DMZn 7.80 1560 300
common use for epitaxial device-quality material. One DEZn 8.28 2109 12
reason for this is the difficulty in controlling the precur-
DMCd 7.76 1850 28.2
sor ratio that is needed to control the stoichiometry of
DES 8.184 1907 47
the material.
DMSe 9.872 2224
The important properties of precursors, and their
DESe 8.20 2020
selection, can be generalized and provides a basis for
DMTe 7.97 1865 40.6
optimizing the MOCVD process. These properties can
be summarized as follows: DIPTe 8.29 2309 2.6
284 Part B Growth and Characterization

and B in (14.5). It can also be given in the form mospheric or reduced pressure. For reduced-pressure
  operation the reactor cell pressure would be typically
log10 (SVP) = A + B /T . (14.6)
a tenth of an atmosphere but a wide range of different
To convert the constants in (14.6) to (14.5), just multiply pressures have been successfully used. Reduced pres-
by ln10. Some examples of the SVP constants, along sure will increase the gas velocity and help to overcome
with the calculated SVP at 20 C, for a number of typical the effects of free convection from a hot substrate. The
precursors are shown in Table 14.1. forced convection parameter that is often quoted is the
Reynolds number and is proportional to gas velocity.
14.2.4 Reactor Cells A high Reynolds number will ensure streamline flow,
while at low Reynolds number the buoyancy effects of
The design of reactor cells has formed a very impor- the hot substrate will take over and the gas flow will
tant part of the development of MOVPE and has been be dominated by free convection (characterized by the
crucial in scaling laboratory processes to large-scale pro- Grashof number) and become disorganized with recircu-
duction. The original research reactors fell into one of lation cells. In transport-limited growth the exact nature
two groups, either the vertical reactor or horizontal re- of the gas flow will determine the uniformity of depo-
actor. These reactor designs are shown schematically in sition and can also affect the defect concentration in
Fig. 14.12. The substrate is placed onto a graphite sus- the films due to particulates and reaction products being
ceptor that is heated by either RF coupling via a coil swept back across the growing surface. It is not normal
surrounding the reactor, a resistance heater underneath to achieve the very high flow velocities and Reynolds
the susceptor, or lamps placed underneath the suscep- numbers associated with turbulent flow in an MOVPE
tor. The reactor wall can be water-cooled or gas-cooled chamber, but the disorganized flow due to free convec-
to minimize reaction and deposition onto these surfaces. tion is often (wrongly) referred to as turbulent flow.
Either of these reactor cells could be operated at at- Another reason for using high flow velocities is
to overcome the effects of depletion of the precursor
concentration at the downstream end of the deposition
a) Plan view of susceptor region. For transport-limited growth the growth rate is
limited by the rate of diffusion from the free stream to
the substrate. This region is called the boundary layer
Rotating substrate and increases in thickness going downstream from the
leading edge of the susceptor, as shown in Fig. 14.13.
Some horizontal reactors are designed with a tilt in the
Gas flow
Boundary layer susceptor so that the free cross-sectional area decreases
Thermocouple
Part B 14.2

and hence the flow velocity increases going downstream.


This helps to flatten the boundary layer and ensure better
uniformity.
b) Gas inlet Maintaining a boundary layer has a cost: the high
utilization of expensive precursors and gases, as the
Quartz reactor cell

Gas flow velocity = v


Susceptor OM concentration = c
RF heating
coil
Gas flow
Free gas stream Inlet,
v1, c1
v1, c1
Flow
Exhaust velocity profile cs << c1

Fig. 14.12a,b Schematic of (a) horizontal and (b) vertical Fig. 14.13 Schematic of a boundary layer in a horizontal
reactor cells reactor
Epitaxial Crystal Growth: Methods and Materials 14.2 Metalorganic Chemical Vapor Deposition (MOCVD) 285

sign has recently been introduced by EMF, called the


a) Slow downward flow Titan, and works on the principle of atomic layer depo-
of precursors
sition (ALD), where the Group II and Group V gases
are fed over the substrate surface separately. A common
Gases pumped feature to all of these production reactor designs is that
outward the substrates are rotated so that the concentrations of
by substrate precursors and reactants arriving at the substrate do not
High rotation
speed rotating have to be uniform across the surface, as a portion of the
substrate holder substrate will alternately experience high and low con-
centrations that will average out. Each of these reactor
b) designs is shown schematically in Fig. 14.14.
Close
coupled
The turbo-disc reactor, shown schematically in
showerhead Fig. 14.14a, is a vertical reactor configuration but the
to inject boundary layer is kept to a narrow region above the sus-
Group III ceptor by high-speed rotation that pumps the gas radially
Low speed and Group V
rotation to give precursors outwards due to viscous drag. The rotation speeds are
uniformity up to 2000 rpm in order to create this lateral flow of the
constituents above the substrate. This is continuously
c) Silica top plate directs flow replenished from the slower downward gas stream, re-
horizontally across the wafers sulting in excellent uniformity of deposition across the
wafers and a high utilization of the reactant gases (Tompa
et al. [14.39]). The reactor pressure is typically around
100 mbar.
The showerhead reactor is another vertical reac-
tor arrangement but it takes a different approach to
Planetary overcoming free-convection currents and poor unifor-
rotation to give
uniform growth mity [14.40]. The precursors are introduced through
a water-cooled showerhead placed just above the sus-
d) Group V ceptor. The susceptor is rotated but typically at much
injector lower speeds than for the turbo-disc reactor. The precur-
sor distribution can be balanced across the width of the
Group III reactor to give a uniform supply of precursors.

Part B 14.2
injector The planetary reactor is a horizontal flow arrange-
ment where the reactants enter at the center of rotation of
Rotation of substate
holder to dose alternately the susceptor and flow outwards. This is an example of
between Group V and Group III precursors a fully developed flow where depletion of the reactants
is occurring as the gases move away from the center and
Fig. 14.14ad Schematic of four different production re- this will be accentuated by a decrease in the mean flow
actor designs: (a) the Emcore (Veeco) Turbo-disc, (b) the velocity as the gases move outwards [14.41]. This would
Thomas Swan showerhead, (c) the Aixtron planetary, and normally give very poor uniformity but the planetary ro-
(d) the EMF Vector flow reactor tation mechanism will rotate each wafer on the platen so
it will sample alternately high and low concentrations,
flow throughput is typically very high and the propor- giving uniform deposition. This approach has the advan-
tion of precursors reacted in the region of the substrates tage of high utilization of the precursors and the ability
is very low. This became a more serious problem when to extend the design to very large reaction chambers for
reactors were scaled to hold multiple wafers for produc- multiple wafers, with the Aixtron 3000 reactor holding
tion. These problems have been resolved with different 95 2 inch-diameter wafers.
solutions in the vertical and horizontal reactor configu- The fourth approach to multiple wafer deposition is
rations with the Emcore (now Veeco) turbo-disc reactor, the EMF Ltd vector flow epitaxy (VFE), which intro-
the Thomas Swan showerhead reactor and the Aixtron duces the Group II and Group V precursors separately
planetary reactor. A fourth production-scale reactor de- over a rotating susceptor platen, as shown in Fig. 14.14d.
286 Part B Growth and Characterization

The rotation of the platen will direct the gases across the arsine (TBAs) and tertiarybutylphosphine (TBP). These
wafers and out through separate exhausts, thus keeping precursors only have one of the hydrogen ligands re-
the gases separate in the reactor chamber. This has the placed with an alkyl substituent but they are liquid at
advantage of alternately dosing the surface with Group room temperature rather than high-pressure gases. In the
III and Group V precursors to grow the film from atomic reactor chamber the likely reaction path is to form the
layers, which in turn prevents prereaction between the hydride by a process called beta-hydrogen elimination.
precursors and maintains excellent film uniformity over This entails one of the hydrogen atoms from the methyl
the growth surface. This could be particularly important groups satisfying the bond to As (or P) with a butene
for compounds of nitrides and oxides where reduced leaving group as shown below [14.43]:
pressure is normally required to avoid significant pre-
C4 H9 AsH2 C4 H8 + AsH3 . (14.7)
reaction. The advantage of the ALD approach is that
the reaction chamber can be operated at atmospheric This process is more likely to dominate at the normal
pressure, which simplifies the operation of the system. growth temperature for transport-limited growth and it
effectively yields the arsine precursor that can then react
14.2.5 IIIV MOCVD in the normal way. In the search for alternative alkyl pre-
cursors this proved to be an important factor, as the fully
This section will consider the range of IIIV ma- substituted alkyl arsenic sources tended to incorporate
terials grown by MOCVD and the precursors used. large concentrations of carbon, degrading the electrical
Most of the IIIV semiconductors can be grown from properties of the film. The importance of the Group V
organometallics of the Group III element and hydrides hydride was discussed in Sect. 14.2.2 and it can be un-
of the Group V element. Exceptions to this will be noted derstood why TBA and TBP (for the phosphorus alloys)
where appropriate. have proved to be good alternatives to the hydrides.
However, it is fair to say that these have never been
Arsenides and Phosphides widely utilized due to much higher cost than the hydrides
The most commonly studied alloy system is and poor availability.
Al1x Gax As, which is used for LEDs and laser diodes An alternative for improved safety has been investi-
from the near-infrared to the red part of the visible gated more recently and relies on the same principle of
spectrum. This is a well-behaved alloy system with reducing the toxic gas pressure in the event of a system
only a small change in lattice parameter over the en- leak. This alternative stores the hydride in a reversible
tire composition range and it covers a range of bandgaps adsorption system [14.44]. The adsorption system keeps
from 1.435 eV for GaAs to 2.16 eV for AlAs. One prob- the hydride at sub-atmospheric pressure and requires
lem with this alloy is the sensitivity of aluminium to pumping to draw off the hydride when needed, making
Part B 14.2

oxygen, which makes it extremely difficult to grow it inherently safer. One major advantage to this system,
high-quality AlAs. Just 1 ppm of oxygen contamina- in addition to the inherent improvement in safety, is that
tion will result in 1020 cm3 incorporation of oxygen the precursors and hence the precursor chemistry are
into Al0.30 Ga0.7 As [14.42]. In addition to the normal unchanged in the reactor cell.
MOCVD precautions of using ultrahigh-purity hydro- Other alloys commonly grown using MOCVD
gen carrier gas and ensuring that the moisture in the include In0.5 Ga0.5 P, which has a band gap of ap-
system is removed, the hydrides and organometallics proximately 2 eV and is lattice-matched to GaAs. The
also need to have extremely low oxygen contents. Pre- quaternary alloy GaInAsP enables lattice-matching to
cursor manufacturers have tended to keep to the simple InP substrates while controlling the bandgap in the
alkyl precursors but to find innovative ways of reducing 1.3 m and 1.55 m bands used for long-range fiber-
the alkoxide concentrations. optic telecommunications.
Alternative Group V precursors have been sought
due to the high toxicity of arsine and phosphine. These Antimonides
hydride sources also suffer from the fact that they are The antimonides cover an important range of bandgaps
stored in high-pressure cylinders and any leakage could from the near-infrared to the mid-infrared bands, up to 5
result in the escape of large quantities of toxic gas. Al- or 6 m. These compounds and alloys can be used in in-
ternative alkyl Group V sources have been extensively frared detectors, thermophotovoltaic (TPV) devices and
researched but only two precursors have proved to be high-speed transistors. The growth of the antimonides is
suitable for high-quality epitaxial growth, tertiarybutyl- more complex than for the arsenides and phosphides be-
Epitaxial Crystal Growth: Methods and Materials 14.2 Metalorganic Chemical Vapor Deposition (MOCVD) 287

cause the hydride, stibine, is not very stable at room sapphire at 600 C. This is then heated to normal growth
temperature so the use of alkyl precursors has been temperature, where a thicker GaN film is then grown.
a more natural choice. Another factor that has influenced The whole nucleation process can be monitored in situ
the growth of the antimonides is the lower thermody- using laser reflectometry and an example is shown in
namic stability and decomposition of substrates such as Fig. 14.15 [14.48]. It can be seen that approximately 50
InSb above about 400 C. It is also desirable to grow to 100 nm of GaN is grown as a smooth layer but dur-
the films at a much lower temperature than for the ar- ing heating this changes to a rough layer. A remarkable
senides and phosphides in order to keep the native defect part of the process is that during the high-temperature
concentration low for controlled n-type and p-type dop- growth, shown in Fig. 14.15 by interference oscillations,
ing. However, the antimonides have an advantage in there is a recovery in the surface morphology, resulting
that they do not incorporate carbon as readily as in the in smooth, device-quality layers. In some cases the GaN
arsenides and phosphides and there is greater flexibil- buffer layer is substituted with an AlN layer, but in all
ity over the choice of antimony precursors [14.45]. The cases a two-stage growth process is required. The growth
easiest choice is to use trimethylantimony (TMSb) or of device layers is achieved by controlling the band gap
to use larger alkyl groups such as triethyl and triiso- through growth of the alloys GaInN or GaAlN. The band
propyl to reduce the reaction temperature as required. gap of GaN is 3.4 eV, which is in the UV, so the color of
It is also possible to reduce the reaction temperature by the LED is determined by the alloy composition where
replacing TMGa with triethylgallium (TEGa) [14.46]. increasing the In content will reduce the band gap and
This approach has been particularly advantageous when push the emission wavelength from blue to green. How-
growing aluminium-containing alloys where carbon in- ever, this is not an easy alloy to form due to the different
corporation can be a problem, but is reduced using stabilities of the GaN and InN bonds [14.49]. In fact,
TEGa. This serves to illustrate the flexibility of MOCVD it cannot be grown to any useful In content at tempera-
and has given more scope for the design of precursors, tures above 1000 C, so the temperature must be reduced
not envisaged in the early days of MOCVD. to around 800 C. Even with this compromise, the dif-
ferent lattice parameters of GaN and InN result in poor
Nitrides solubility and In contents of more than 40% are not prac-
The nitrides, mainly GaN and the alloy GaInN have tical. In principle it is possible to prepare LEDs of any
brought MOCVD into prominence as a manufactur- color by just changing the In content in the alloy, but
ing technology with the success of high-brightness blue in practice the high-brightness diodes can only be pre-
LEDs making large-screen full-color LED displays a re- pared from nitrides covering the blue to green portion
ality. The precursors used for the nitrides are standard of the spectrum. The growth of Al-containing alloys is
with the methyl alkyls (TMGa and TMIn) for the Group

Part B 14.2
III elements and ammonia for the nitrogen. The key tech-
Reflectance (arb. units)
nological barriers to obtaining device-quality GaN were
Low Heat to > 1000 C Average reflectance
to overcome the problems associated with heteroepi- tempera- causes loss of increases during growth of
taxy onto a non-lattice-matched substrate, sapphire or ture buffer reflectance through thicker bufferlayer showing
growth roughening smoothing of layer
SiC and to control p-type doping [14.47]. Some re- 2000
search has been carried out with homoepitaxy onto GaN
substrates, but the very high pressures needed for bulk 1600
crystal growth of GaN will restrict the sizes of substrates
available. 1200
The heteroepitaxial problems have been overcome
with a two-stage growth. High-quality GaN requires 800
growth temperatures in excess of 1000 C, much higher
than is needed for the arsenides and phosphides. At these 400
temperatures, nucleation onto sapphire is poor and large
faceted islands grow before complete coalescence of the 0
film occurs. This not only leads to very poor surface 2000 3000 4000 5000
morphology but a high dislocation density where the Time (s)
islands coalesce. Two-stage growth overcomes this by Fig. 14.15 Laser reflectometry of GaN nucleation and
growing a uniform nucleation (or buffer) layer onto the growth
288 Part B Growth and Characterization

desirable in order to achieve wider band gaps for ap- toassisted growth. The basic principles are the same
plications such as UV LEDs and solar-blind detectors. as for IIIV MOCVD and, in general, the same re-
The problems are similar to those of the In and Ga al- action chambers can be used but the lower growth
loys, but in the case of Al and Ga the stability of AlN temperatures have led to the development of new
is much greater than that of GaN, which will tend to precursors, particularly for the Group VI elements. Hy-
form AlN clusters. The growth of different alloy com- drides are, in general, not used now but early work
positions, particularly the growth of higher In content on ZnSe and ZnS used hydrogen selenide and hy-
GaInN, has stimulated some research on alternative pre- drogen sulfide [14.50]. A strong prereaction occurred
cursors for nitrogen, as ammonia pyrolysis is not very between the hydrides and dimethylzinc that could re-
efficient at temperatures below 800 C. One of the fa- sult in deposition at room temperature, but as with
vorite candidates is dimethylhydrazine, which will react IIIV MOCVD, prereactions can make it difficult to
readily with TMGa at temperatures down to 400 C. control the defect chemistry and the doping. These II
The reaction of the ammonia with the Group III VI compounds and their alloys have been investigated as
alkyls to form adducts that can then polymerize is a prob- blue emitter materials with similar bandgaps to GaInN.
lem associated with the high growth temperature. This Alternatively, ZnTe is a potential green emitter and the
requires special care over the introduction of the pre- narrower bandgap tellurides are used for infrared detec-
cursors, the control of gas flows and wall temperatures. tors. In fact, the only commercial application of IIVI
A failure to adequately control these parasitic reactions MOCVD has been for the fabrication of HgCdTe alloys
will lead to poor growth efficiency, higher defect con- for infrared detectors. However, the processes used are
centration in the GaN layer and poor dopant control. quite different to standard MOCVD and require differ-
The dopants used for n-type and p-type GaN are Si from ent designs of reactor cells, as will be shown in the next
silane and Mg from dicyclopentadienylmagnesium. The section.
n-type doping has proved to be fairly straightforward, but
Mg doping results in the formation of MgH bonds that MOCVD of HgCdTe
passivate the acceptor state. This problem was solved HgCdTe is one of the few direct bandgap semiconductors
by annealing the epitaxial films after growth to remove suitable for infrared detection in the important 10 m
the hydrogen. This is possible due to the thermal sta- band. The alloy has only a 0.3% mismatch over the
bility of GaN and the high mobility of hydrogen in the entire composition range and will cover the entire in-
lattice. A further problem with p-type doping is that frared spectrum from the near-infrared with CdTe to
the Mg acceptor has an ionization energy of between the far-infrared (HgTe is a semimetal so there is no
160 and 250 meV and only about 10% of the chemically lower limit to the band gap). The main difficulty with
introduced Mg is ionized at room temperature. growing HgCdTe by MOCVD has been the very high
Part B 14.2

Despite the materials challenges of GaN and its al- equilibrium vapor pressure of Hg over the alloy even
loys, MOCVD has enabled the production of a wide at relatively low temperatures. For example, MBE has
range of devices based on these alloys over the past to be carried out at temperatures below 200 C. A fur-
decade, from high-power transistors to laser diodes. ther difficulty created by the instability of HgTe is that
Both of these examples have required improvements in the tellurium-rich phase boundary, which represents the
material quality and a reduction in the relatively high minimum Hg pressure required to achieve growth, has
dislocation densities. In fact, the potential for nitrides a high concentration of doubly ionized metal vacan-
is enormous as the quaternary GaInNAs can be tuned cies that make the material p-type. At typical MOCVD
to around 1 eV with just 4% nitrogen and is a chal- growth temperatures for HgCdTe, 350 to 400 C, the
lenger to the use of InP-based materials for 1.3 m equilibrium vapor pressure for Hg would have to be
telecommunications lasers. close to the saturated vapor pressure for liquid Hg in
order to keep the metal vacancy concentration below
14.2.6 IIVI MOCVD the impurity background. This is clearly not realistic
in MOCVD as the walls of the reaction chamber would
The MOCVD of IIVI semiconductors is carried have to be heated to the same temperature as the substrate
out at much lower temperatures than for their III to avoid mercury condensation, and this, in turn, would
V counterparts and this has stimulated a wide range cause pyrolysis of the precursors before they arrived at
of research on alternative precursors, growth kinetics the substrate. Fortunately, it is possible to grow HgCdTe
and energy-assisted growth techniques such as pho- film on the tellurium-rich phase boundary where the Hg
Epitaxial Crystal Growth: Methods and Materials 14.2 Metalorganic Chemical Vapor Deposition (MOCVD) 289

of HgTe and CdTe were grown and the flow rate opti-
a) mized for each [14.52]. This process relied on very rapid
Group II
injector Cd/Hg interdiffusion in the HgCdTe alloy, which en-
ables complete homogenization of the alloy at the growth
temperature. The composition is now simply controlled
by the relative thicknesses of HgTe and CdTe, as shown
Group VI schematically in Fig. 14.16b.
injector
Hg zone heater Substrate heater
Sulfides and Selenides
Low-temperature growth of ZnSe (below 400 C) has
b) HgTe layer thickness = dHgTe been achieved using ditertiarybutylselenide (DTBSe)
CdTe layer thickness = dCdTe with dimethylzinc (DMZn) or the adduct DMZn.TEN.
x = dCdTe /(dCdTe + dHdTe) The amine, triethylamine (TEN), was first introduced
CdTe layers HgTe CdxHg1 xTe to suppress gas-phase reactions with the hydrides H2 Se
layers and H2 S. However, this adduct has the additional advan-
tage of reducing the saturated vapor pressure of DMZn
Inter- and making it easier to manage. The significance of
diffusion keeping the growth temperature low is to avoid deep-
level native defects that act as trapping sites for donors
substrate substrate and acceptors. The p-type doping of ZnSe with nitrogen
was problematic due to hydrogen passivating the dopant.
Incorporation of nitrogen from a variety of precursor
Fig. 14.16 (a) Schematic of MOCVD cell for HgCdTe sources such as amines and azides up to concentra-
growth, (b) schematic of IMP tions of 1018 cm3 could be readily achieved but the
active dopant concentration, in general, remained be-
source and reactor walls can be held at around 250 C. low 1015 cm3 . This was in contrast with the success of
At this temperature the pyrolysis of the Cd source, MBE growth of ZnSe that was doped from a nitrogen-
dimethylcadmium (DMCd), and the tellurium source, plasma source. Fujita and Fujita [14.53] overcame this
diisopropyltellurium (DIPTe), are sufficiently slow to problem by ex situ annealing in a similar manner to GaN
minimize the premature reaction. but the weaker lattice gives less scope for this treatment
A schematic of an MOCVD reactor suitable for the compared with GaN.
growth of HgCdTe is shown in Fig. 14.16. The main fea- An alternative approach for low-temperature growth

Part B 14.2
tures are the heating of the reactor wall and the internal of ZnSe was to use short-wavelength light and UV wave-
source of liquid Hg. This is the only MOCVD process lengths to illuminate the growing surface and promote
that uses an elemental source and is only possible be- surface photocatalytic reactions [14.54]. It was shown
cause of the high vapor pressure of liquid Hg. In fact, by Irvine et al. [14.55] that the reaction kinetics did not
a higher partial pressure can be achieved using the el- depend on the Se precursor, giving similar growth rates
emental source than an organometallic source, and this for DMSe, DESe and DIPSe, but depended on a hy-
is the only metal where this is true. Another feature of drogen radical reaction that was initiated by a surface
this reactor cell is the injection of DMCd through the decomposition of the Group II precursor. Although this
Hg source to avoid a radical exchange reaction between was effective for growing epitaxial films of ZnSe at tem-
DMCd and Hg [14.51]. peratures well below 400 C, it was clear that hydrogen
The alloy composition needs to be precisely con- incorporation was a natural consequence of the reaction
trolled in order to control the detection wavelength of mechanism.
the infrared detector. For a 10 m detector the pro-
portion of Cd on the metal sub-lattice is 21% and it MOCVD of Group II Oxides
needs to be controlled to better than 0.5%. The reac- A recent resurgence of interest in ZnO and related mater-
tion rates for CdTe and HgTe require different optimum ials such as ZnMgO and ZnCdO has arisen because of the
flow rates, which makes simultaneous alloy control very success of GaInN as a blue emitter and the potential for
difficult. This problem was overcome using the interdif- further developments with UV laser diodes and a solid
fused multilayer process (IMP), where alternate layers state replacement for domestic lighting. GaInN white
290 Part B Growth and Characterization

light LEDs already exist but the efficiency of the phos- to be another class of materials where the versatil-
phors would improve if they were excited with UV rather ity of MOCVD has a lot of potential for innovative
than blue photons. A further potential advantage of ZnO solutions.
is that large ZnO single-crystal substrates can be grown
by the hydrothermal method and would eventually avoid 14.2.7 Conclusions
the defect problems associated with heteroepitaxy that
have slowed progress with GaN. This section of the chapter has covered the basic prin-
All the early work on ZnO MOCVD used oxy- ciples of MOCVD and reviewed the range of IIIV and
gen or water vapor as the oxygen source. These react IIVI semiconductors that can be grown in this way.
strongly at room temperature with DMZn and DEZn. This can be contrasted with LPE and MBE, where each
Although reasonably good quality ZnO films have method will have its own strengths and weaknesses for
been deposited with this approach, it is unlikely that a particular material or application. The strength and the
it will lead to high-quality epitaxial growth or good weakness of MOCVD is in its complexity. With the right
doping control. Essentially, prereaction in all of the precursors it is possible to deposit almost any inorganic
IIIV and IIVI semiconductors has been a barrier to material, but in many cases the reaction mechanisms are
obtaining device-quality material. The favored alterna- not well understood and the development is empirical,
tive oxygen precursors are the alcohols: isopropanol with the researcher spoilt by a very wide choice. This is
and tertiarybutanol. For higher temperatures, N2 O is not to deny the very considerable successes that have led
a suitable precursor. In general, for epitaxial growth to major industries in compound semiconductors that has
on sapphire or ZnO substrates it is necessary to grow been epitomized in the past 10 years by the production-
at temperatures above 600 C, but for polycrystalline ization of GaN and the plethora of large LED displays
transparent conducting oxides (TCOs) these precursors that would not have been possible without MOCVD.
can react at temperatures as low as 300 C. It is pos- Without the pioneering work of Manasevit and Simp-
sible to readily dope ZnO n-type using TMAl, but as son, who demonstrated the potential to grow so many of
with ZnSe it has been difficult to achieve p-type dop- these materials in the early years, and the fortuitous ease
ing. Some encouraging results have been obtained using with which GaAs/AlGaAs could be grown, we might
ammonia [14.56], but this work is still at an early not have tried so hard with the more difficult materials
stage of development and must be solved before elec- and hopefully we will see many more innovations in the
troluminescent devices can be made. This is proving future with MOCVD.
Part B 14.3

14.3 Molecular Beam Epitaxy (MBE)


14.3.1 Introduction and Background sures of impurities are so low, and compatibility with
in situ analytical techniques essential to understand-
MBE is conceptually a very simple route to epitaxial ing the surface reaction kinetics. The basic elements of
growth, in spite of the technology required, and it is this an MBE system are shown schematically in Fig. 14.17.
simplicity that makes MBE such a powerful technique. A number of reviews [14.5759] and books [14.60, 61]
It can be thought of as a refined form of vacuum evap- have discussed the physics, chemistry, technology and
oration, in which neutral atomic and molecular beams applications of MBE.
from elemental effusion sources impinge with thermal The technique that became known as MBE evolved
velocities on a heated substrate under ultrahigh vac- from surface kinetic studies of the interaction of silane
uum (UHV). Because there are no interactions within (SiH4 ) beams with Si [14.62] and of Ga and As2 beams
or between the beams, only the beam fluxes and the with GaAs [14.63]. Cho and coworkers, who first used
surface reactions influence growth, giving unparalleled the term molecular beam epitaxy, demonstrated that
control and reproducibility. Using MBE, complex struc- MBE was a viable technique for the growth of IIIV
tures can be grown atomic layer by atomic layer, with material for devices, leading the way for a worldwide
precise control over thickness, alloy composition and expansion of effort.
intentional impurity (doping) level. UHV confers two Much early MBE equipment had a single vacuum
further advantages: cleanliness, because the partial pres- chamber for loading, deposition and analysis, which
Epitaxial Crystal Growth: Methods and Materials 14.3 Molecular Beam Epitaxy (MBE) 291

led to prolonged system pumpdown between growths.


UHV chamber
The technique required significant improvements in vac-
uum conditions before very high quality thin films
were grown. Cho surrounded the effusion cells with
Cryopanelling
a liquid nitrogen cryopanel to give thermal isolation
and reported the MBE growth of thin films of n- Substrate
and p-type GaAs for device purposes [14.64] and of heating and
RHEED rotation stage RHEED
GaAs/AlGaAs heterostructures [14.65]. The introduc- gun screen
tion of a substrate-exchange load lock [14.66] drastically Substrate
reduced pumpdown times and reduced contamination
of the deposition chamber. The installation of extensive
Molecular beams
internal liquid nitrogen-cooled cryopanels [14.67] sub-
stantially increased the pumping of oxygen containing
species and permitted the growth of AlGaAs with su-
Open shutter Closed shutter
perior quality. Tsang [14.68] demonstrated lasers with
threshold current densities superior to those grown by
LPE. Uniform growth over a 2 -diameter wafer was
achieved by the introduction of a rotating substrate Effusion cells
holder capable of 5 rpm [14.69]. Advanced forms of
these features are now standard on commercial MBE Fig. 14.17 Schematic diagram of an MBE growth chamber, show-
systems, many of which feature a modular design. The ing the effusion cells and shutters, the substrate stage and the
technology of MBE is now mature, with increasing arrangement of the RHEED system
numbers of ever larger high-throughput, multiwafer pro-
duction MBE machines in widespread use since the early and Cho was the first to propose that the two-fold pe-
1990s. riodicity observed in the [1 10] direction on the (001)
MBE has been used to grow a wide range of surface was the result of dimerization of As atoms on the
materials, including semiconductors, superconductors, arsenic-terminated surface, which was confirmed many
metals, oxides, nitrides and organic films. In al- years later by scanning tunneling microscopy [14.73].
most all cases there is a drive to produce structures RHEED is a forward-scattering technique and therefore
with ever smaller dimensions, whether for higher- more compatible with the MBE arrangement of nor-
performance devices, quantum confinement or, more mally incident fluxes than the back-scattering geometry
recently, nanotechnology. This is longest established of LEED.

Part B 14.3
in IIIV semiconductors, from the GaAs/AlGaAs su- A further application of RHEED is the in situ meas-
perlattice [14.70] through quantum wells (QWs) and urement of growth rate. It was found [14.74, 75] that the
modulation doping to quantum wires and quantum intensity of any diffraction feature oscillated with a pe-
dots [14.71]. Such low-dimensional structures form the riod corresponding to the growth of a single monolayer
basis of the QW lasers and p-HEMTs produced in huge (ML) a layer of Ga + As in the [001] direction on
volumes by MBE for optoelectronic and microwave a (001) substrate. These oscillations arise from surface
applications. The combination of precise growth con- morphological changes during two-dimensional (2-D)
trol and in situ analysis makes MBE the preeminent layer-by-layer growth, the Frankvan der Merwe mode,
technology used to meet such demands. and a typical result for GaAs is shown in Fig. 14.18.
The exact origin of the oscillations is still the sub-
14.3.2 Reaction Mechanisms ject of debate [14.59], but the technique was found
to be applicable to many other material systems, in-
One of the first and most important of the in situ an- cluding elemental semiconductors, metals, insulators,
alytical techniques to be used in MBE is reflection superconductors and even organic compounds.
high-energy electron diffraction (RHEED). From an Thus RHEED provided information on surface re-
early stage [14.72] it was used to determine the sur- construction and quantitative measurements of growth
face structure of the clean substrate and growing layer. dynamics, which could be combined with those from
This revealed that, in general, all surfaces are recon- other in situ techniques and related to theoretical treat-
structed (they have a lower symmetry than the bulk), ments. In the early 1970s, the surface chemistry involved
292 Part B Growth and Characterization

Intensity
Ga on

=0 = 0.25 = 0.5 = 0.75 =1 = 1.25 = 1.5 = 1.75 =2

Ga off

0 5 10 15 20
Time (s)
Fig. 14.18 Diagram illustrating the development of RHEED intensity oscillations during 2-D layer-by-layer growth,
together with an actual experimental plot (courtesy of B. A. Joyce)

in the MBE growth of IIIV compounds was studied the dissociation of GaAs results in the desorption of
extensively using a combination of RHEED and mod- As2 , and not of As or As4 [14.78], and that a signifi-
ulated molecular beam mass spectrometry [14.76] or cant amount of desorption takes place at MBE growth
temperature-programmed desorption [14.77]. temperatures. The sublimation of elemental arsenic, as
Detailed information was obtained on surface reac- from an effusion cell, results in the formation of As4
tions involving gallium and arsenic. It was found that alone. It was also shown that growth from Ga + As2 is
a first-order reaction (Fig. 14.19), whereas growth from
Ga + As4 is second-order [14.79,80]. These results sug-
Part B 14.3

gest that in MBE growth Ga sticks to available As sites


and chemisorption of As2 occurs on available Ga atoms.
As2 incident Desorption Chemisorption of As4 occurs with two As4 molecules
flux < 105 s Association
and desorption interacting on adjacent Ga atoms. The sticking coeffi-
of As4, > 1 s cient of As4 is observed to be less than or equal to 0.5,
1st order
whereas the sticking coefficient of As2 can be equal to
one, in agreement with this model. This implies that
Precursor Surface
state migration maximum coverage will be less than 100%, since single
Ga sites cannot be occupied, and that As vacancies will
be introduced into material grown using As4 . This was
Dissociative thought to be responsible for the higher deep-level con-
chemisorption As2 sticking coefficient  1
centrations observed, which were found to be reduced
when As2 was used or at higher growth temperatures. It
is now known that above 580 C As4 dissociates to As2
Ga stabilized Ga As surface on the surface [14.81].
Detailed models were established for the growth of
Fig. 14.19 Surface chemistry of the growth of GaAs from As2 GaAs [14.79, 80] and AlAs [14.82]. With minor modi-
and Ga (courtesy of B. A. Joyce) fications these models are also valid for ternary alloys
Epitaxial Crystal Growth: Methods and Materials 14.3 Molecular Beam Epitaxy (MBE) 293

such as AlGaAs [14.83]. It was established that growth sion with, and probable adsorption by, a surface at liquid
rate depends solely on the net Group III flux (incident nitrogen temperatures. The cryopanel also reduces con-
flux minus desorbing flux), and that ternary alloy com- tamination arising from outgassing from the walls of the
position can be controlled by adjusting the ratio of the chamber that are exposed to radiation from the effusion
Group III fluxes provided the thermal stabilities of both cells.
of the binary compounds that make up the alloy are con- Control over the composition and doping levels of
sidered. The Group V element need only be supplied the epitaxial layers is achieved by precise temperature
in excess. The situation is more complicated for alloys control of the effusion cells and the use of fast-acting
containing both arsenic and phosphorus, since the pres- shutters in front of these cells. In most systems, the
ence of one Group V element influences the sticking growth rate is about 1 monolayer per second, and
coefficient of the other. Foxon et al. [14.84] found that the shutter operation time of 0.1 s thus corresponds
phosphorus has a much lower incorporation probability to less than a monolayer of growth. A growth rate of
than arsenic. one monolayer per second closely approximates one
More recent studies have made use of in situ STM micrometer per hour for GaAs/AlGaAs.
and more powerful theoretical treatments to consider Although often referred to as K-cells, the solid-
nucleation and growth at the atomistic level, but the source effusion cells used in MBE growth have a large
basic models are still sound. orifice so as to obtain a high flux at reasonable tem-
peratures. A true Knudsen cell has a very small outlet
14.3.3 MBE Growth Systems orifice compared to the evaporating surface, so that an
equilibrium vapor pressure, typically 103 torr, is main-
The UHV system required for MBE is of conven- tained within the cell. The diameter of this orifice is
tional stainless steel construction, with an ultimate or less than one tenth of the molecular mean free path,
background vacuum of less than 5 1011 torr achiev- which is typically several centimeters. Under these con-
able with a clean system after baking, and with the ditions, a near approximation to ideal Knudsen effusion
liquid nitrogen cryopanels filled. At such a pressure is obtained from the cell, giving molecular flow with an
the molecules have a typical mean free path of 106 m approximately cosine distribution. The flux from such
and so only suffer collisions with the internal surfaces a cell can be calculated quite accurately, but a high tem-
of the system. It would take several hours to build up perature is required to produce a reasonable growth rate;
a monolayer of impurity on the wafer surface. for example a gallium Knudsen cell would need to be at
Oil-free pumping is used to eliminate the possibility 1500 C to produce the same flux as an open-ended ef-
of contamination by hydrocarbon backstreaming; typi- fusion cell at 1000 C. The lower operating temperature
cally rough pumping is with sorption pumps and UHV helps to reduce impurities in the flux and puts a lower

Part B 14.3
pumping is with ion pumps and titanium sublimation thermal load on the system. The beam from an open-
pumps. Diffusion pumps or turbomolecular pumps can ended cell may not be calculable with any degree of
be used, however, provided suitable cold traps are fitted, accuracy but it is highly reproducible. Once calibrated
and such pumps are required for the higher gas loads via growth rate, normally by in situ measurement, the
involved in GSMBE and CBE. A two- or three-stage flux can be monitored using an ion gauge located on the
substrate entry load-lock and preparation chamber iso- substrate stage.
lated by gate valves is used to minimize the exposure A number of effusion cells can be fitted to the growth
of the system to air. In modular systems, further deposi- chamber, generally in a ring facing towards the substrate
tion and analysis chambers may be added to the system with the axis of each cell at an angle of 2025 to
and samples are transferred via the preparation chamber the substrate normal. Simple geometrical considerations
under UHV conditions. therefore dictate the best possible uniformity that can be
The growth chamber substrate stage is surrounded achieved with a stationary substrate [14.85]. Associated
by a large liquid nitrogen-cooled cryopanel, which has with each cell is a fast-action refractory metal shutter
a high pumping speed for H2 O, CO, O2 and other con- with either pneumatic or solenoid operation.
densable species. This is arranged so that the heated Uniformity of growth rate for a binary compound can
(500700 C) substrate is not directly exposed to ther- be achieved by rotating the substrate at speeds as low
mal sources other than the molecular beams themselves, as a few rpm, but compositional uniformity of ternary
and impurities emanating from any other source can or quaternary alloys requires rotation of the substrate
only reach the substrate after suffering at least one colli- at speeds of up to 120 rpm (normally rotation is timed
294 Part B Growth and Characterization

so that one rotation corresponds to the growth of one problem. Oval defects are microtwin defects originating
monolayer). The mechanical requirements for a rotating at a local imperfection, oriented in the (110) direc-
substrate stage in a UHV system are quite demand- tion and typically 1 to 10 m in length [14.87]. There
ing, as no conventional lubricants can be used on the are several possible sources of these defects, including
bearings or feedthroughs and yet lifetime must exceed foreign impurities on the substrate surface due to inad-
several million rotations. Magnetic rotary feedthroughs equate substrate preparation or to oxides from within
have largely replaced the earlier bellows type. the system, and possibly from the arsenic charge or the
The need to rotate the substrate to give uniformity condensate on the cryopanels. The fact that oval defects
also leads to complications in substrate temperature were not seen when graphite crucibles were used but
measurement. The substrate is heated by radiation from were common with PBN crucibles suggests that gal-
a set of resistively heated tantalum foils behind the sub- lium oxide from the gallium melt is a major source
strate holder, and both the heater and the thermocouple of such defects since oxides would be reduced by the
are stationary. Without direct contact between the ther- graphite. Chai and Chow [14.88] demonstrated a sig-
mocouple and the wafer the indicated thermocouple nificant reduction in defects by careful charging of the
temperature will be very different from the actual gallium source and prolonged baking of the system. The
substrate temperature. Some form of calibration can irregular hillocks and pits seen in MBE-grown mater-
be obtained by using a pyrometer, although problems ial were probably produced by microdroplets of gallium
with window coating, emissivity changes and substrate spitting from the effusion cells on to the substrate sur-
transparency below the bandgap impose limits on the ac- face [14.89]. Gallium spitting can be caused by droplets
curacy of such measurements. Alternatively, a number of of Ga that condense at the mouth of the effusion cell,
absolute temperature measurements can be obtained fall back into the melt and explode, ejecting droplets of
by observing transitions in the RHEED pattern, which liquid Ga, or by turbulence in the Ga melt due to un-
occur at reasonably fixed temperatures. However, such even heating that causes a sudden release of vapor and
transitions occur in the lower temperature range and droplets.
extrapolation to higher growth temperatures is not com- Continuous developments in the design of Group III
pletely reliable. If the substrate is indium bonded to effusion cells for solid-source MBE have largely elim-
a molybdenum block (using the surface tension of the inated the problem of macroscopic defects. Large-area
indium to hold the substrate), then inconsistencies in Ta foil K-cell heaters have reduced the uneven heat-
wetting can lead to variations in temperature across the ing of the PBN crucible; the use of a hot-lipped or
substrate. Most modern systems and all production ma- two-temperature Group III cell, designed with a high-
chines use indium-free mounting, which avoids these temperature front end to eliminate the condensation of
problems. However, the substrate is transparent to much gallium metal at the mouth of the cell, significantly
Part B 14.3

of the IR radiation from the heater, putting a higher reduced the spitting of microdroplets. Combined with
thermal load on the system. careful procedures and the use of an arsenic cracker
The substrate preparation techniques used prior to cell, defect densities as low as 10 cm2 have been
MBE growth are very important, as impurities on the reported [14.90].
surface provide nucleation sites for defects. Historically, There have also been developments in the design of
various chemical clean and etch processes were used, Group V cells. A conventional arsenic effusion cell pro-
but wafers are now usually supplied epi-ready, with duces a flux of As4 , but the use of a thermal cracker
a volatile oxide film on the surface that protects the sur- to produce an As2 flux resulted in the growth of GaAs
face from contamination and can be thermally removed with better optical properties and lower deep-level con-
within the UHV chamber. RHEED is used to confirm centrations [14.91]. In the case of phosphorus, growth
the cleanliness of the surface prior to growth. from P2 was strongly preferred to that from P4 for sev-
Historically, one of the major problems in MBE eral reasons [14.92], and this was one reason behind
was the presence of macroscopic defects, with a typi- the development of GSMBE described below. How-
cal density of 103 105 cm2 , although densities below ever, the use of phosphine requires suitable pumping
300 cm2 were reported for ultraclean systems [14.86]. and safety systems. The Group V cracker cell has two
Defects are generally divided into two types; small distinct zones. The first comprises the As or P reser-
hillocks or pits and oval defects. Such defects are a se- voir and produces a controlled flux of the tetramer; this
rious obstacle to the growth of material for integrated passes through the second high-temperature zone,
circuits, and considerable effort was devoted to the where dissociation to the dimer occurs. Commercial
Epitaxial Crystal Growth: Methods and Materials 14.3 Molecular Beam Epitaxy (MBE) 295

high-capacity cracker cells, some including a valve be- TMGa and cracked arsine in a modified commercial
tween the two zones to allow fast switching of Group V MBE system [14.96].
flux, have been developed for arsenic and phosphorus. The growth of device-quality GaAs, InGaAs and
Commercial MBE systems have increased through- InP from alkyl sources of both Group III and Group
put with multiwafer substrate holders, cassette loading V elements was demonstrated by Tsang [14.97], who
and UHV storage and preparation chambers linked to used the alternative acronym chemical beam epitaxy
the growth chamber with automated transfer, while (CBE). The use of Group V alkyls, which had much
increased capacity effusion cells have reduced the down- poorer purity than the hydrides, was undertaken for
time required for charging. In some cases additional safety reasons [14.98]. Material quality was improved
analytical and processing chambers have been added to when cracked arsine and phosphine were used [14.99].
permit all-UHV processing of the device structure. RHEED observations indicated that reconstructed semi-
conductor surfaces could be produced prior to growth,
14.3.4 Gas Sources in MBE as for MBE [14.100], and GaAs/AlGaAs quantum well
structures were demonstrated that were comparable with
A number of MBE hybrids were developed that com- those grown by MBE or MOVPE [14.101].
bined the advantages of UHV deposition and external Almost all of this work was with IIIVs, where
gas or metalorganic sources to produce a versatile tech- MOMBE/CBE was seen to have several significant
nique that has some advantages over MBE and MOVPE. advantages over MBE while retaining many of its
These techniques utilize the growth chambers developed strengths, including in situ diagnostics. The use of vapor
for MBE and pumping systems with a high continuous Group III sources would avoid the morphological defects
throughput, typically liquid nitrogen-trapped diffusion associated with effusion cells, and higher growth rate
pumps or turbomolecular pumps. Layers are deposited and greater throughput could be achieved. Both Group
from molecular beams of the precursor materials in- III and Group V sources were external, allowing for easy
troduced via gas source cells that are essentially very replacement without the need to break vacuum. Flux
fine leak controllers. As in MBE, there are no interac- control with mass flow controllers (MFCs) and valves
tions within or between beams and the precise control would improve control over changes in composition or
of beams using fast-acting gas-line valves is therefore doping level, since flow could be changed faster than ef-
translated into precise control of the species arriving fusion cell temperature. Abrupt changes could thus be
at the substrate. Shutters are not generally required; achieved that would require switching between two pre-
atomically sharp interfaces and monolayer structures set effusion cells in MBE (a problem when the number of
can be defined as a consequence of submonolayer valve cells was limited by geometry). It also offered improved
switching times. long-term flux stability and greater precursor flexibility.

Part B 14.3
Panish [14.93] investigated the use of cracked arsine As this was still a molecular beam technique, precise
and phosphine in the epitaxial growth of GaAs and InP, control over layer growth and abrupt interfaces would be
later extending this work to the growth of GaInAsP, retained, without any of the gas phase reactions, bound-
and suggested the name gas source MBE (GSMBE). ary layer problems or depletion of reagents associated
The major advantage of gaseous Group V sources was with MOVPE. Other advantages included improved InP
that the cracker cells produced controllable fluxes of quality using a P2 flux, lower growth temperatures and
the dimers As2 and P2 , giving improved control of the selective-area epitaxy.
As:P ratio. The MBE growth of high-quality GaAs from There was, however, a price to pay in system com-
cracked arsine and elemental gallium was demonstrated plexity, with the need for gas handling and high-volume
at the same time by Calawa [14.94]. pumping arrangements added to the expensive UHV
The extension to gaseous Group III sources was growth chamber. These would have been acceptable
made by Veuhoff et al. [14.95], who investigated the if CBE had demonstrated clear advantages, but there
MOCVD of GaAs in a simple MBE system using were a number of other issues. The standard Al and
trimethylgallium (TMGa) and uncracked arsine. Further Ga precursors used in MOVPE (trimethylaluminium
study showed that cracking of arsine at the substrate and trimethylgallium) produced strongly p-type mater-
surface was negligible, leading to the conclusion that ial when used in CBE, due to the incorporation of C as
unintentional cracking of the arsine had taken place an acceptor. Triethylgallium proved to be a viable Ga
in the inlet system. The acronym metalorganic MBE source, but alloy growth was more complicated; no uni-
(MOMBE) was used to describe GaAs growth from versally acceptable Al source was found, while InGaAs
296 Part B Growth and Characterization

growth was found to be strongly temperature-dependent. flux is required to maintain stoichiometry. At higher
The surface chemistry associated with metalorganic temperatures, loss of the Group III element becomes
sources proved complex and the temperature depen- significant, so that the growth rate is less than would
dence of surface reactions not only restricted growth be expected for the incident flux. This is particularly
conditions, but also had a serious impact on uniformity important for the growth of AlGaAs, where growth tem-
and reproducibility, particularly for quaternary alloys peratures above 650 C are generally used to give the
such as GaInAsP [14.102]. The lack of suitable gaseous best optical properties. The Ga flux must be significantly
dopant sources, particularly for Si, was a further hand- increased above that used at lower temperatures in order
icap [14.103], but the deliberate use of C for p-type to maintain the required composition of the alloy.
doping proved a success and this was transferred to MBE Typical growth temperatures for MBE of GaAs are in
and MOVPE. Carbon diffuses significantly less than the the range 580650 C and material with high purity and
standard MBE and MOVPE dopants, Be and Zn, re- low deep-level concentrations has been obtained in this
spectively [14.104], and proved an ideal dopant for thin temperature range [14.106, 107]. The commonly used
highly doped layers such as the base region in hetero- dopants, Be (p-type) and Si (n-type), show excellent
junction bipolar transistors (HBTs) and for p-type Bragg incorporation behavior and electrical activity at these
reflector stacks in vertical cavity surface-emitting laser temperatures and at moderate doping levels. As was
structures (VCSELs). noted above, for highly doped layers Be has largely been
GSMBE remains important, not in the IIIV field replaced by C, which diffuses somewhat more slowly.
where, with some exceptions [14.105], the development At doping levels above 5 1017 , Si occupies both Ga
of high-capacity Group V cracker cells provided an eas- (donor) and As (acceptor) sites, producing electrically
ier route to an As2 and P2 flux, but in the IIInitride compensated material with a consequent reduction in
field. There are two major routes to nitride MBE: ac- mobility. It is still predominantly a donor, however, and
tive nitrogen can be supplied by cracking N2 in an RF is the best available n-type dopant. The electrical prop-
or ECR plasma cell, or ammonia can be injected and al- erties of GaAs also depend on the As/Ga flux ratio,
lowed to dissociate on the substrate surface. In contrast, since this influences the site occupancy of dopants. The
CBE has not demonstrated a sufficient advantage over optimum As/Ga ratio is that which just maintains As-
its parent technologies to be commercially successful, stabilized growth conditions, which can be determined
particularly as both MOVPE and MBE have continued using RHEED observations of surface reconstruction.
to develop as production techniques. MBE-grown GaAs is normally p-type, the dominant
impurity being carbon [14.108]. The carbon concentra-
14.3.5 Growth of IIIV Materials by MBE tion was found to correlate with CO partial pressure
during growth [14.109] CO is a common background
Part B 14.3

Although they were amongst the earliest materials to species in UHV, being synthesized at hot filaments.
be grown by MBE, GaAs-based alloys retain great im- The lowest acceptor levels commonly achieved are of
portance, with MBE supplying materials for the mass the order of 5 1013 cm3 , and such layers can be
production of optoelectronic and microwave devices and lightly doped to give n-type material with high mo-
leading research into new structures and devices. bilities. However, very high purity GaAs has been
produced by adjusting the operating conditions for an
GaAs/AlGaAs arsenic cracker cell [14.110], which suggests that car-
AlGaAs is an ideal material for heterostructures, since bon contamination originates from hydrocarbons in the
AlAs has a greater bandgap than GaAs and the two have As charge. Unintentionally doped GaAs was n-type with
negligible mismatch ( 0.001%). The growth rate in a total impurity concentration of < 5 1013 cm3 and
MBE depends on the net Group III flux, with one mi- a peak mobility of 4 105 cm2 V1 s1 at 40 K, the
crometer per hour corresponding to a flux of 6.25 1014 highest reported for n-type GaAs. The 77 K mobility
Ga (or Al) atoms cm2 s1 . At low growth tempera- of > 200 000 cm2 V1 s1 is comparable with that for
tures, all incident Group III atoms are incorporated into the highest purity GaAs grown by LPE [14.111].
the growing film, together with sufficient arsenic atoms MBE is capable of the growth of very high-quality
to maintain stoichiometry, and excess arsenic atoms material for structures whose physical dimensions are
are desorbed. However, IIIV compounds are thermally comparable to the wavelength of an electron (or hole)
unstable at high temperatures. Above 600 K [14.79] so that quantum size effects are important. Such struc-
arsenic is preferentially desorbed, so an excess arsenic tures have typical layer thicknesses from 100 down to
Epitaxial Crystal Growth: Methods and Materials 14.3 Molecular Beam Epitaxy (MBE) 297

2.8 , the thickness of a monolayer of GaAs, and have


doping and composition profiles defined on an atomic a)
Gate metal
scale. These low-dimensional structures have become
very important in IIIV device technology, one example Undoped AlGaAs Undoped GaAs
being the modulation-doped heterojunction.
The precise control of growth that is possible is
demonstrated by the very high mobilities obtained Undoped AlGaAs
for modulation-doped structures grown by MBE. The
modulation-doped GaAs/AlGaAs heterojunction has
a band structure that causes carriers from the highly Ionised donors 2-D electron gas
doped AlGaAs to be injected into a thin undoped re-
gion in the GaAs (Fig. 14.20a). Since the carriers are
then separated from the donor atoms that normally
scatter them, and confined in a quantum well as a two- Fermi level
dimensional electron gas (2DEG), very high mobilities
can be achieved. Through suitable design of the structure
and the use of lightly doped AlGaAs, a GaAs/AlGaAs b) Source Drain
2DEG structure was produced with a peak mobility of
1.1 107 cm2 V1 s1 at 1.3 K and a 4 K mobility of Doped GaAs Gate
4.5 106 cm2 V1 s1 and with a sheet carrier concen-
tration of 1012 cm2 [14.112]. GaAs layers grown in the Doped AlGaAs
same machine typically exhibited 77 K mobilities of the Undoped AlGaAs
order of 100 000 cm2 V1 s1 . Similar results can be ob-
2-D electron gas
tained by delta doping the AlGaAs, that is by confining
the Si donor atoms to a single monolayer in the AlGaAs Undoped GaAs
separated by a few nm from the well, a technique with
a wide range of applications [14.113].
The major application of this structure for de- S. I. GaAs substrate
vice purposes is the high electron mobility transistor
(HEMT), also known as the modulation-doped FET
(MODFET), an FET in which the carriers are confined Fig. 14.20a,b Schematic diagrams of the band structure
to the two-dimensional layer (Fig. 14.20b). The main (a) and physical arrangement (b) of the high electron mo-

Part B 14.3
advantage of the HEMT is not the increase in mobil- bility transistor (HEMT)/modulation-doped FET
ity, which is modest at room temperature for a practical
device, but the very low noise when it is operated as capital costs for MBE were very similar to those for
a microwave amplifier, due to the reduction in impu- MOVPE, which required costly safety systems for the
rity scattering. The HEMT is an essential component of hydride gases, while MBE costs per wafer were some-
many microwave systems, including mobile phones and what less than for MOVPE. The growth in demand for
satellite TV receivers. HEMTs for mobile phones drove a further expansion in
MBE became used as a production technology for production MBE, although MOVPE remained dominant
GaAs-based devices because of the excellent uniformity in InP-based optoelectronic devices.
and reproducibility possible, and because the extensive
UHV load-lock system of a production machine permits InGaAs
a large number of runs to be undertaken on a continu- InGaAs is generally grown at lower temperatures than
ous basis. This was demonstrated as early as 1991 by GaAs/AlGaAs because of the higher rate of In des-
a number of manufacturers producing both HEMTs and orption. Both InGaAs and the quaternary InGaAsP can
GaAs/AlGaAs lasers [14.114]. By 1994 some 5 mil- be lattice-matched to InP substrates; heterostructures
lion MQW lasers for compact disc applications were in these materials form the basis of the 1.3 m and
produced per month by MBE. Other devices included 1.55 m optoelectronic devices used in telecommuni-
HEMTs and InAs Hall sensors. A comparison of pro- cations. InAs has a lattice mismatch of 7% with
duction costs made at this time [14.115] showed that GaAs; thin films of InGaAs can be deposited pseudo-
298 Part B Growth and Characterization

morphically but as the In content is increased the critical produced. These include substrate cleaning, initial ni-
thickness falls, so that little more than a ML of InAs can tridation, the nucleation and coalescence of islands
be grown in this way. Thicker films will be relaxed by involved in the low-temperature growth of a buffer
the formation of dislocations, limiting their usefulness layer, and subsequent annealing at a higher temperature.
for devices. The pseudomorphic HEMT (or pHEMT) re- The polarity (nature of the outermost layer of atoms)
places the GaAs channel of the conventional HEMT with of {0001}-oriented hexagonal structure films also has
a strained InGaAs channel in order to take advantage of a crucial influence on material quality, but both N- or
the greater carrier confinement and superior electron Ga-polarity can occur with MBE growth on sapphire
transport properties. The maximum In content of the substrates [14.119]. Under typical growth conditions
channel is limited by the need to prevent relaxation. with MOVPE, however, Ga-polarity material is exclu-
Under certain growth conditions InAs or InGaAs is- sively produced.
lands are formed spontaneously. It is generally accepted Several of these problems can be resolved using
that the growth of InAs on GaAs (001) follows a ver- GaN templates obtained by growing thick layers onto
sion of the StranskiKrastanov mode, which implies that suitable substrates using MOVPE and then exploit-
following the deposition of 1.7 ML of InAs in a 2-D ing the advantages of MBE to produce the functional
pseudomorphic form (sometimes referred to as the wet- layer on the GaN template. These advantages include
ting layer), coherent 3-D growth is initiated by a very well-controlled layer-by-layer growth and lower growth
small increment ( 0.1 ML) of deposited material to re- temperatures than those used for MOVPE, so that InGaN
lax the elastic strain introduced by the lattice mismatch. phase separation and In desorption are less problematic
The QDs rapidly reach a saturation number density, and precise quantum wells can be grown. No post-
which is both temperature- and In flux-dependent, with growth thermal annealing is required to activate the
a comparatively narrow size (volume) distribution. The p-type dopant. In this way films have been produced with
actual process is rather more complicated, not least as smooth surface morphology and high performance, al-
a result of alloying with the GaAs substrate, and is the though MBE was still limited to low-power LEDs until
subject of much current research [14.59]. the recent demonstration of laser diodes [14.120].
These islands can be embedded in a layer of GaAs to In the use of active nitrogen from plasma sources,
form self-assembled quantum dots (QDs), which have the III/V flux ratio at the substrate during growth is also
become a topic of immense interest due to the potential a critical parameter. GaN layers grown with a low III/V
application of QDs in a wide range of devices, especially flux ratio (N-stable growth) display a faceted surface
lasers. The volume fraction of QDs in an active layer can morphology and a tilted columnar structure with a high
be increased by building up a 3-D array; the strain field density of stacking faults. Smooth surfaces are only ob-
induced around each dot influences not only the inter-dot tained under Ga-rich conditions, where not only is there
Part B 14.3

spacing but also the capping layer growth, so that subse- a dramatic reduction in surface roughness, but signifi-
quent layers of dots are aligned ( [14.71] and references cant improvements in structural and electrical properties
therein). QD lasers offer a route to long-wavelength are also observed. This is, of course, the exact oppo-
emission from GaAs-based devices [14.116]. site of the growth of most IIIV compounds, such as
GaAs. In the case of nitrides, it is thought that Ga-
Group III Nitrides rich conditions (close to the point where Ga droplets
The growth of Group III nitrides has been dominated by are formed) promote step flow growth, whereas N-
MOVPE since the demonstration of a high-brightness stable growth promotes the nucleation of new islands.
blue-emitting InGaN-AlGaN double heterostructure In contrast, growth from NH3 is smoother under N-rich
LED by Nichia [14.117] and the subsequent develop- conditions [14.121].
ment of other optoelectronic devices, including laser
diodes also emitting in the blue [14.118]. MBE has made Group IIIV Nitrides
a significant contribution to more fundamental studies The dilute nitrides are IIIVN materials such as
and to the growth of nitrides for high-power and mi- GaAsN and GaInNAs, where the N concentration is
crowave devices. Once again the wide range of in situ 2%. Replacing a small fraction of As atoms with
diagnostic techniques available has been important. smaller N atoms reduces both the lattice constant and
For heteroepitaxial growth on the most commonly the bandgap. Adjusting the composition of GaInNAs al-
used substrates, sapphire and silicon carbide, several lows the bandgap, band alignment, lattice constant and
parameters strongly influence the quality of material strain to be tailored in a material that can be lattice-
Epitaxial Crystal Growth: Methods and Materials References 299

matched with GaAs [14.122]. This offers strong carrier and the material properties, and enhanced luminescence
confinement and thermal stability compared to InP- is obtained at wavelengths longer than 1.3 m [14.125].
based devices operating at 1.3 m and 1.55 m, and
allows GaAs VCSEL technology to be exploited at these 14.3.6 Conclusions
wavelengths [14.123].
These materials are grown in a metastable regime MBE, historically seen as centered on GaAs-based elec-
at a low growth temperature because of the miscibil- tronic devices, has broadened its scope dramatically
ity gap in the alloys, so a less stable precursor than in both materials and devices. In addition to the ma-
NH3 is needed. A nitrogen plasma source provides ac- terials described above, MBE has been used to grow
tive N without the incorporation of hydrogen during epitaxial films of a wide range of semiconductors, in-
growth associated with hydride sources, thus avoiding cluding other IIIV materials such as InGaAsP/InP and
the deleterious formation of NH bonds. Material with GaAsSb/InAsSb; silicon and silicon/germanium; IIVI
excellent crystallinity and strong PL at 1.3 m can be materials such as ZnSe; dilute magnetic semiconductors
obtained by optimizing growth conditions and using such as GaAs:Mn [14.126] and other magnetic materials.
post-growth rapid thermal annealing [14.124]. A num- It has also been used for the growth of metals, including
ber of challenges remain, particularly in the higher N epitaxial contacts for devices, oxides [14.127] and or-
material required for longer wavelengths, including the ganic films [14.128]. Two clear advantages possessed by
limited solubility of N in GaAs and nonradiative defects MBE are the wide range of analytical techniques com-
caused by ion damage from the N plasma source. These patible with a UHV system and the precise control of
challenges may be met by using GaInNAsSb; the ad- growth to less than a monolayer, which give it unrivaled
dition of Sb significantly improves the epitaxial growth ability to grow quantum dots and other nanostructures.

References

14.1 D. Elwell, H. J. Scheel: Crystal Growth from High- 14.15 H. Yamane, M. Shimada, T. Sekiguchi, F. J. DiSalvo:
Temperature Solutions (Academic, New York 1975) J. Cryst. Growth 186, 8 (1998)
14.2 H. Nelson: RCA Rev. 24, 603 (1963) 14.16 C. Klemenz, H. J. Scheel: J. Cryst Growth 211, 62
14.3 R. L. Moon: J. Cryst. Growth 170, 1 (1997) (2000)
14.4 H. J. Scheel: The Technology of Crystal Growth and 14.17 A. Krier, H. H. Gao, V. V. Sherstinov: IEE Proc. Opto-
Epitaxy, ed. by H. J. Scheel, T. Fukuda (Wiley, electron 147, 217 (2000)
Chichester 2003) 14.18 E. R. Rubstov, V. V. Kuznetsov, O. A. Lebedev: Inorg.
14.5 P. Capper, T. Tung, L. Colombo: Narrow-Gap IIVI Mater. 34, 422 (1998)

Part B 14
Compounds for Optoelectronic and Electromagnetic 14.19 M. G. Mauk, Z. A. Shellenbarger, P. E. Sims,
Applications, ed. by P. Capper (Chapman & Hall, W. Bloothoofd, J. B. McNeely, S. R. Collins, P. I. Ra-
London 1997) binowitz, R. B. Hall, L. C. DiNetta, A. M. Barnett: J.
14.6 M. B. Panish, I. Hayashi, S. Sumski: Appl. Phys. Lett. Cryst Growth 211, 411 (2000)
16, 326 (1970) 14.20 J.-i. Nishizawa, K. Suto: Widegap IIVI Compounds
14.7 M. G. Astles: Liquid Phase Epitaxial Growth of III- for Optoelectronic Applications, ed. by H. E. Ruda
V Compound Semiconductor Materials and their (Chapman & Hall, London 1992)
Device Applications (IOP, Bristol 1990) 14.21 F. Sakurai, M. Motozawa, K. Suto, J.-i. Nishizawa:
14.8 V. A. Dmitriev: Physica B 185, 440 (1993) J. Cryst Growth 172, 75 (1997)
14.9 T. Ciszek: The Technology of Crystal Growth and 14.22 M. G. Astles: Properties of Narrow Gap Cadmium-
Epitaxy, ed. by H. J. Scheel, T. Fukuda (Wiley, Based Compounds, EMIS Datareview series, ed. by
Chichester 2003) P. Capper (IEE, London 1994) pp. 13, 380
14.10 M. I. Alonso, K. Winer: Phys. Rev. B 39, 10056 (1989) 14.23 B. Pelliciari, J. P. Chamonal, G. L. Destefanis,
14.11 V. A. Dmitriev: Properties of Silicon Carbide, EMIS L. D. Cioccio: Proc. SPIE 865, 22 (1987)
Datareview Series, ed. by G. L. Harris (IEE, London 14.24 P. Belca, P. A. Wolff, R. L. Aggarwal, S. Y. Yuen: J.
1995) p. 214 Vac. Sci. Technol. A 3, 116 (1985)
14.12 Y. Mao, A. Krier: Mater. Res. Soc. Symp. Proc 450, 14.25 S. H. Shin, J. Pasko, D. Lo: Mater. Res. Soc. Symp.
49 (1997) Proc. 89, 267 (1987)
14.13 A. Krier, Z. Labadi, A. Manniche: J. Phys. D: Appl. 14.26 A. Wasenczuk, A. F. M. Willoughby, P. Mackett,
Phys. 32, 2587 (1999) E. S. OKeefe, P. Capper, C. D. Maxey: J. Cryst.
14.14 M. Mauk: private communication (2004) Growth 159, 1090 (1996)
300 Part B Growth and Characterization

14.27 T. Tung, L. V. DeArmond, R. F. Herald: Proc. SPIE 14.54 S. Fujita, A. Tababe, T. Sakamoto, M. Isemura,
1735, 109134 (1992) S. Fujita: J. Cryst. Growth 93, 259 (1988)
14.28 P. W. Norton, P. LoVecchio, G. N. Pultz: Proc. SPIE 14.55 S. J. C. Irvine, M. U. Ahmed, P. Prete: J. Electron.
2228, 73 (1994) Mater. 27, 763 (1988)
14.29 P. Capper, J. Gower, C. Maxey, E. OKeefe, J. Har- 14.56 J. Wang, G. Du, B. Zhao, X. Yang, Y. Zhang, Y. Ma,
ris, L. Bartlett, S. Dean: Growth and Processing D. Liu, Y. Chang, H. Wang, H. Yang, S. Yang: J. Cryst.
of Electronic Materials, ed. by N. McN. Alford (IOM Growth 255, 293 (2003)
Communications, London 1998) 14.57 A. Y. Cho: J. Cryst. Growth 150, 1 (1995)
14.30 C. C. Wang: J. Vac. Sci. Technol. B 9, 1740 (1991) 14.58 C. T. Foxon: J. Cryst. Growth 251, 18 (2003)
14.31 T.N. Casselman, G.R. Chapman, K. Kosai, et al.: U.S. 14.59 B. A. Joyce, T. B. Joyce: J. Cryst. Growth 264, 605
Workshop on Physics and Chemistry of MCT and (2004)
other II-VI compounds, Dallas, TX (Oct. 1991) 14.60 A. Y. Cho: Molecular Beam Epitaxy (AIP, New York
14.32 R. S. Patrick, A.-B. Chen, A. Sher, M. A. Berding: J. 1994)
Vac. Sci. Technol. A 6, 2643 (1988) 14.61 E. H. C. Parker: The Technology and Physics of Mo-
14.33 A. Rogalski: New Ternary Alloy Systems for Infrared lecular Beam Epitaxy (Plenum, New York 1985)
Detectors (SPIE, Bellingham 1994) 14.62 B. A. Joyce, R. R. Bradley: Philos. Mag. 14, 289299
14.34 A. A. Chernov, H. J. Scheel: J. Cryst. Growth 149, 187 (1966)
(1996) 14.63 J. R. Arthur: J. Appl. Phys. 39, 4032 (1968)
14.35 H. M. Manasevit, W. I. Simpson: J. Electrochem. Soc. 14.64 A. Y. Cho: J. Vac. Sci. Technol. 8, 31 (1971)
116, 1725 (1969) 14.65 A. Y. Cho: Appl. Phys. Lett. 19, 467 (1971)
14.36 A. A. Chernov: Kinetic processes in vapor phase 14.66 J. W. Robinson, M. Ilegems: Rev. Sci. Instrum. 49,
growth. In: Handbook of Crystal Growth, ed. by 205 (1978)
D. T. J. Hurle (Elsevier, Amsterdam 1994) 14.67 P. A. Barnes, A. Y. Cho: Appl. Phys. Lett. 33, 651
14.37 G. B. Stringfellow: J. Cryst. Growth 115, 1 (1991) (1978)
14.38 D. M. Frigo, W. W. van Berkel, W. A. H. Maassen, 14.68 W. T. Tsang: Appl. Phys. Lett. 34, 473 (1979)
G. P. M. van Mier, J.H. Wilkie, A. W. Gal: J. Cryst. 14.69 A. Y. Cho, K. Y. Cheng: Appl. Phys. Lett. 38, 360
Growth 124, 99 (1992) (1981)
14.39 S. Tompa, M. A. McKee, C. Beckham, P. A. Zwadzki, 14.70 L. L. Chang, L. Esaki, W. E. Howard, R. Ludeke: J.
J. M. Colabella, P. D. Reinert, K. Capuder, R. A. Stall, Vac. Sci. Technol. 10, 11 (1973)
P. E. Norris: J. Cryst. Growth 93, 220 (1988) 14.71 H. Sakaki: J. Cryst. Growth 251, 9 (2003)
14.40 X. Zhang, I. Moerman, C. Sys, P. Demeester, 14.72 A. Y. Cho: J. Appl. Phys. 41, 2780 (1970)
J. A. Crawley, E. J. Thrush: J. Cryst. Growth 170, 83 14.73 M. D. Pashley, K. W. Haberern, J. M. Woodall: J. Vac.
(1997) Sci. Technol. 6, 1468 (1988)
14.41 P. M. Frijlink, J. L. Nicolas, P. Suchet: J. Cryst. 14.74 J. J. Harris, B. A. Joyce, P. J. Dobson: Surf. Sci. 103,
Growth 107, 166 (1991) L90 (1981)
14.42 D. W. Kisker, J. N. Miller, G. B. Stringfellow: Appl. 14.75 J. H. Neave, B. A. Joyce, P. J. Dobson, N. Norton:
Phys. Lett. 40, 614 (1982) Appl. Phys. 31, 1 (1983)
Part B 14

14.43 C. A. Larson, N. I. Buchan, S. H. Li, G. B. Stringfellow: 14.76 C. T. Foxon, M. R. Boudry, B. A. Joyce: Surf. Sci. 44,
J. Cryst. Growth 93, 15 (1988) 69 (1974)
14.44 M. W. Raynor, V. H. Houlding, H. H. Funke, R. Frye, 14.77 J. R. Arthur: Surf. Sci. 43, 449 (1974)
J. A. Dietz: J. Cryst. Growth 248, 7781 (2003) 14.78 C. T. Foxon, J. A. Harvey, B. A. Joyce: J. Phys. Chem.
14.45 R. M. Biefeld, R. W. Gedgridge Jr.: J. Cryst. Growth Solids 34, 1693 (1973)
124, 150 (1992) 14.79 C. T. Foxon, B. A. Joyce: Surf. Sci. 50, 434 (1975)
14.46 C. A. Wang, S. Salim, K. F. Jensen, A. C. Jones: J. 14.80 C. T. Foxon, B. A. Joyce: Surf. Sci. 64, 293 (1977)
Cryst. Growth 170, 55 (1997) 14.81 E. S. Tok, J. H. Neave, J. Zhang, B. A. Joyce,
14.47 S. Nakamura: Jpn. J. Appl. Phys. 30, 1620 (1991) T. S. Jones: Surf. Sci. 374, 397 (1997)
14.48 A. Stafford, S. J. C. Irvine, K. Jacobs. Bougrioua, 14.82 A. Y. Cho, J. R. Arthur: Prog. Solid State Chem. 10(3),
I. Moerman, E. J. Thrush, L. Considine: J. Cryst. 157191 (1975)
Growth 221, 142 (2000) 14.83 C. T. Foxon, B. A. Joyce: J. Cryst. Growth 44, 75 (1978)
14.49 S. Keller, S. P. DenBaars: J. Cryst. Growth 248, 479 14.84 C. T. Foxon, B. A. Joyce, M. T. Norris: J. Cryst. Growth
(2003) 49, 132 (1980)
14.50 B. Cockayne, P. J. Wright: J. Cryst. Growth 68, 223 14.85 M. A. Herman, H. Sitter: Molecular Beam Epitaxy,
(1984) Springer Ser. Mater. Sci., Vol. 7 (Springer, Berlin,
14.51 W. Bell, J. Stevenson, D. J. Cole-Hamilton, Heidelberg 1988) p. 7
J. E. Hails: Polyhedron 13, 1253 (1994) 14.86 J. Saito, K. Nambu, T. Ishikawa, K. Kondo: J. Cryst.
14.52 J. Tunnicliffe, S. J. C. Irvine, O. D. Dosser, J. B. Mullin: Growth 95, 322 (1989)
J. Cryst. Growth 68, 245 (1984) 14.87 M. Bafleur, A. Munoz-Yague, A. Rocher: J. Cryst.
14.53 S. Fujita, S. Fujita: J. Cryst. Growth 145, 552 (1994) Growth 59, 531 (1982)
Epitaxial Crystal Growth: Methods and Materials References 301

14.88 Y. G. Chai, R. Chow: Appl. Phys. Lett. 38, 796 14.110 C. R. Stanley, M. C. Holland, A. H. Kean, J. M. Cham-
(1981) berlain, R. T. Grimes, M. B. Stanaway: J. Cryst.
14.89 C. E. C. Wood, L. Rathburn, H. Ohmo, D. DeSimone: Growth 111, 14 (1991)
J. Cryst. Growth 51, 299 (1981) 14.111 H. G. B. Hicks, D. F. Manley: Solid State Commun. 7,
14.90 S. Izumi, N. Hayafuji, T. Sonoda, S. Takamiya, 1463 (1969)
S. Mitsui: J. Cryst. Growth 150, 7 (1995) 14.112 C. T. Foxon, J. J. Harris, D. Hilton, J. Hewett,
14.91 J. H. Neave, P. Blood, B. A. Joyce: Appl. Phys. Lett. C. Roberts: Semicond. Sci. Technol. 4, 582 (1989)
36(4), 311 (1980) 14.113 K. Ploog: J. Cryst. Growth 81, 304 (1987)
14.92 C. R. Stanley, R. F. C. Farrow, P. W. Sullivan: The 14.114 H. Tanaka, M. Mushiage: J. Cryst. Growth 111, 1043
Technology and Physics of Molecular Beam Epi- (1991)
taxy, ed. by E. H. C. Parker (Plenum, New York 14.115 J. Miller: IIIVs Rev. 4(3), 44 (1991)
1985) 14.116 D. Bimberg, M. Grundmann, F. Heinrichsdorff,
14.93 M. B. Panish: J. Electrochem. Soc. 127, 2729 (1980) N. N. Ledentsov, V. M. Ustinov, A. R. Korsh,
14.94 A. R. Calawa: Appl. Phys. Lett. 38(9), 701 (1981) M. V. Maximov, Y. M. Shenyakov, B. V. Volovik,
14.95 E. Veuhoff, W. Pletschen, P. Balk, H. Luth: J. Cryst. A. F. Tsatsalnokov, P. S. Kopiev, Zh. I. Alferov: Thin
Growth 55, 30 (1981) Solid Films 367, 235 (2000)
14.96 N. Putz, E. Veuhoff, H. Heinicke, H. Luth, P. J. Balk: 14.117 S. Nakamura, T. Mukai, M. Senoh: Appl. Phys. Lett.
J. Vac. Sci. Technol. 3(2), 671 (1985) 64(13), 1689 (1994)
14.97 W. T. Tsang: Appl. Phys. Lett. 45(11), 1234 (1984) 14.118 S. Nakamura, M. Senoh, S. Nagahama, N. Iwasa,
14.98 W. T. Tsang: J. Vac. Sci. Technol. B 3(2), 666 (1985) T. Yamada, T. Matsushita, H. Kiyoku, Y. Sugimoto:
14.99 W. T. Tsang: Appl. Phys. Lett. 49(3), 170 (1986) Jpn. J. Appl. Phys. 35, 74 (1996)
14.100 T. H. Chiu, W. T. Tsang, J. E. Cunningham, A. Robert- 14.119 H. Morko: J. Mater. Sci. Mater. El. 12, 677 (2001)
son: J. Appl. Phys. 62(6), 2302 (1987) 14.120 S. E. Hooper, M. Kauer, V. Bousquet, K. Johnson,
14.101 W. T. Tsang, R. C. Miller: Appl. Phys. Lett. 48(19), J. M. Barnes, J. Heffernan: Electron. Lett. 40(1), 33
1288 (1986) (2004)
14.102 J. S. Foord, C. L. Levoguer, G. J. Davies, P. J. Skev- 14.121 N. Grandjean, M. Leroux, J. Massies, M. Lagt: Jpn.
ington: J. Cryst. Growth 136, 109 (1994) J. Appl. Phys. 38, 618 (1999)
14.103 M. Weyers, J. Musolf, D. Marx, A. Kohl, P. Balk: J. 14.122 M. Kondow, K. Uomi, A. Niwa, T. Kitatani,
Cryst. Growth 105, 383392 (1990) S. Watahiki, Y. Yazawa: Jpn. J. Appl. Phys. 35, 1273
14.104 R. J. Malik, R. N. Nottenberg, E. F. Schubert, (1996)
J. F. Walker, R. W. Ryan: Appl. Phys. Lett. 53, 2661 14.123 H. Riechert, A. Ramakrishnan, G. Steinle: Semi-
(1988) cond. Sci. Technol. 17, 892 (2002)
14.105 F. Lelarge, J. J. Sanchez, F. Gaborit, J. L. Gentner: J. 14.124 M. Kondow, T. Kitatani: Semicond. Sci. Technol. 17,
Cryst. Growth 251, 130 (2003) 746 (2002)
14.106 A. Y. Cho: J. Appl. Phys. 50, 6143 (1979) 14.125 J. S. Harris, S. R. Bank, M. A. Wistey, H. B. Yuen: IEE
14.107 R. A. Stall, C. E. C. Wood, P. D. Kirchner, L. F. East- Proc. Optoelectron. 151(5), 407 (2004)
man: Electron. Lett. 16, 171 (1980) 14.126 H. Ohno: J. Cryst. Growth 251, 285 (2003)

Part B 14
14.108 R. Dingle, C. Weisbuch, H. L. Stormer, H. Morkoc, 14.127 H. J. Osten, E. Bugiel, O. Kirfel, M. Czernohorsky,
A. Y. Cho: Appl. Phys. Lett. 40, 507 (1982) A. Fissel: J. Cryst. Growth 278, 18 (2005)
14.109 G. B. Stringfellow, R. Stall, W. Koschel: Appl. Phys. 14.128 F.-J. Meyer zu Heringdolf, M. C. Reuter, R. M. Tromp:
Lett. 38, 156 (1981) Nature 412, 517 (2001)

You might also like