You are on page 1of 6

MIXED DESIGN MIXDES 2012, 19th International Conference "Mixed Design of Integrated Circuits and Systems", May 24-26,

-26, 2012, Warsaw, Poland

Survey and Analysis of the Design Issues of a Low


Cost Micro Power DC-DC Step Up Converter for
Indoor Light Energy Harvesting Applications

Carlos Carvalho Joo P. Oliveira, Nuno Paulino


Instituto Superior de Engenharia de Lisboa (ISEL ADEETC) UNINOVA/CTS
Instituto Politcnico de Lisboa (IPL) Departamento de Engenharia Electrotcnica, Faculdade de
Rua Conselheiro Emdio Navarro, n1 Cincias e Tecnologia
1949-014 Lisboa Portugal Universidade Nova de Lisboa
e-mail: cfc@isel.ipl.pt Campus FCT/UNL, 2829-516 Caparica Portugal
e-mail: nunop@uninova.pt

AbstractThis paper discusses the pertinent issues in purposes, the sensor system will not be responsible for
designing and developing a DC-DC converter for a low cost, contributing to chemical pollution caused by disposing them
micro power indoor light harvesting system using CMOS of, or their manufacturing. In economical terms, not using
technology. The different issues associated to this problem are batteries represents cost reduction in both devices and
studied and the relevant literature is analysed. The paper surveys replacement operation procedures.
and analyses the design options available for the PV cells, step-up
voltage converter circuit architecture, maximum power point The organization of this paper is as follows: Section II
tracking (MPPT) methods and energy storage devices. From this presents some considerations about the light energy source.
analysis a possible solution is discussed. Section III discusses energy conditioning systems to process
the energy provided by the harvester. Section IV gives an
Index TermsCMOS, Electronics, Energy harvesting, insight into some devices in which it is possible to store the
Energy storage elements, MPPT techniques, Power management harvested energy. In Section V, the MPPT aspects are
circuits, PV cells addressed, so as to obtain the maximum efficiency out of PV
cells. In the end, Section VI presents the conclusions about this
I. INTRODUCTION survey, foreseeing general future applications.
The ability of circuits to obtain energy from the
surrounding environment for self powering is an interesting II. LIGHT ENERGY HARVESTING
feature that has gained increasing importance [1]. In opposition There are different possible energy sources in the
to traditional powering methods, like those that involve a cord environment that can be harvested to power electronic
connection to the power grid, or the use of batteries, obtaining applications [5]. This paper will only be focused on light
energy from ambient sources promises to take over the energy because, comparing all sources, this is the one that
powering paradigm for sensor networks [2], [3] and embedded shows the highest energy density by volume unity, followed by
systems [4]. Sensor networks that fully rely on grid powering mechanical and thermal energy respectively [5].
only have the opportunity to monitor building indoor
parameters, as they depend upon the cord connection. If one Light energy comes primarily from the Sun, but it also can
wants ubiquity and truly pervasive operation, relying on the be obtained indoor. At the maximum of its height and intensity,
power grid can be a limiting factor. One step forward, towards the Sun can provide as much as 1 kW/m2, but in indoor
unlimited sensor location, could be the use of batteries. environments, as the light gets attenuated, the Sun provides
Although improving the freedom of sensor distribution, one about one tenth of this energy density [6]. Relying on artificial
obstacle remains, related to the batteries themselves. As their illumination can result in only about 10 W/m2 [7]. In some
stored energy gets depleted, batteries need to be replaced. This situations it proves useful to have a history of the solar
can be a problem, if a large number of sensors are deployed, irradiation of the location where the harvester system is to be
some in places that are difficult to reach. Thus, the trivial placed [8], [9].
operation of battery replacement can result in costs a lot greater The key element for this kind of harvesting is the PV cell.
than the batteries themselves. The costs with staff and other This solid state device converts light energy directly to
logistic means may not pay off the utility of the sensor electrical energy without using moving parts. A PV cell is
network. To achieve indefinite operation, the sensors must be basically a photodiode and it can be manufactured in CMOS
supplied such that they obtain their power directly from the technology [10], [11]. However, it is not simple to integrate PV
surrounding environment. This procedure is known as energy cells with other circuits in the same die to obtain a complete
harvesting. By not using batteries for system main powering System-on-Chip (SoC) [12], [13]. The photons of the incident

*QTv`B;?i kyRk #v .2T`iK2Mi Q7 JB+`Q2H2+i`QMB+b  *QKTmi2` a+B2M+2- h2+?MB+H lMBp2`bBiv Q7 GQ/x 988
light can penetrate the die until they reach the substrate where it is mandatory to use two PV cells in series and a voltage
they can cause a positive charge build up ultimately resulting in doubler circuit to step the voltage up. The data in TABLE I.
latch-up. When a series connection of several PV cells is shows some parameters that were obtained by simulating the
needed, building the diodes on the same substrate may be series of two PV cells using the previously described electrical
limiting [14]. To tackle these limitations, it is necessary to use model. The light intensity is swept from 10% to 100%.
more expensive technologies, such as Silicon-on-Insulator
(SOI), allowing for an arbitrary number of series connected PV TABLE I. PERFORMANCE OF A SERIES OF TWO AMORPHOUS SILICON PV
cells to obtain a higher voltage value [15]. CELLS

The efficiency of common PV cells is still relatively low, at Light Maximum power Open circuit Optimal
about 20% [6]. Some solar cells can reach efficiencies as high intensity (Pmax) voltage (voc) voltage (vmax)
as 50%, but involving the use of new layout architectures and 100% 3.550 mW 1.303 V 803.2 mV
less common materials [16], resulting in more expensive 80% 2.830 mW 1.263 V 818.1 mV
systems. 60% 2.037 mW 1.210 V 806.5 mV
To reduce production costs, it is possible to use PV cell 40% 1.187 mW 1.132 V 774.1 mV
technologies with lower efficiencies and lower manufacturing 20% 367.4 W 954.4 mV 591.8 mV
costs, resulting in a larger area for the PV cell, for the same 10% 93.49 W 620.9 mV 316.8 mV
power level. Using amorphous silicon PV cells is an example
of such a trade-off, in which costs are lower, but at the expense
of a larger area [17]. The area of each cell is 1 cm2. A light intensity of 20% of
Based on an amorphous silicon PV cell that was built and the maximum is the threshold that allows for an output voltage
experimentally characterized, an equivalent electrical model of about 1.2 V after the step up operation.
was obtained [17], as shown in Figure 1. This model does not
intend to be an exact physical representation of the cell itself, III. ENERGY CONDITIONING SYSTEMS
but rather to translate its electrical behavior. Since the voltage of a PV cell depends on the light
intensity, temperature and load value, it is necessary to use a
circuit that adjusts the load seen by the PV cell, to maximize
the collected energy and to produce a stabilized output voltage.
As previously explained, the PV cell voltage must be
stepped up (boost operation), or stepped down (buck
operation). These circuits can be inductor based or switched
capacitor based. Either way, the goal is to perform a conversion
Figure 1. Equivalent electrical circuit of the amorphous silicon solar cell
as efficient as possible. The regulator also plays the role of
protecting the energy storage device from overload and when
2000
Solar cell power and current
10
dealing with a PV based system, it sets the output voltage of
the PV cell, in order to have optimal power operation
conditions.
Cell current (mA)
Cell power (W)

A. Inductor Based Converters


1000 5
The inductance value required by most inductor based
converters is outside the range of values that are possible to
integrate in CMOS. Therefore, inductor based voltage
converters require a discrete inductor placed outside the
0
0 0.1 0.2 0.3 0.4 0.5 0.6
0
system. There are numerous examples of energy conditioning
Solar cell output voltage (V)
systems based on traditional and more elaborated architectures
employing inductors, such as in [8], [18], [19], [20].
Figure 2. Power and current curves of the solar cell equivalent circuit model
for maximum illumination (AM1). A comparative study between inductor and SC based
conversion technologies is given in [21]. This study concludes
The power and current curves of the cell for AM1 that converters based on SC have less losses and that capacitors
conditions are depicted in Figure 2. , where the maximum have a greater energy and power density, when compared to
power point (MPP) of the PV cell is shown by the dots. This inductors, if small devices are used. Also, in [22] it is shown
performance was obtained with a cell having an area of about that for very low power systems (< 1Watt), capacitor based
1 cm2. The maximum power (Pmax) obtained is 1.775 mW, at a converters lead the performance, in terms of efficiency.
voltage of about 400 mV (vmax).
If this same cell is to be used indoor, the level of usable B. Switched-Capacitor (SC) Based Converters
power is substantially lower. Also, the voltage at which the It is possible to have a SC voltage converter circuit entirely
power of a single cell is optimal (maximum) is insufficient to built using standard CMOS technology without using external
power CMOS circuits, which typically require 1.2 V. As such,

98e
components. These converters can reach high efficiencies. each other. Each device requires special attention, as their
When dealing with micro-power harvester systems, the limited characteristics involve very specific charging strategies [9].
available energy makes the converter efficiency a critical issue.
One of the biggest problems is the bottom plate capacitance A. Batteries
associated to every capacitor [18], [23]. If the system has a Batteries are used when large energy density is required,
substantial power (more than 1 Watt) and integration is not a but their lifetime is seriously affected by the number of
requirement, usually the inductor-based converters can achieve charging/discharging cycles. So, trying to minimize the number
a better efficiency. of these cycles is an important objective. This aspect is related
Regarding SC topologies, there are some well know, to the amount of time that a battery can remain in operation, so
among which is the Series-Parallel, performing an elevation of that the stored charge can hold for as long as possible. An
the input voltage, according to the number of capacitors example of a work concerning such an issue is presented in
involved [23]. In general, this topology shows a good [33].
performance. A voltage doubler whose base is according to the There are several common types of rechargeable batteries,
same principle can be found in [24]. for instance, Li+/Li-polymer (Lithium-ion / Lithium polymer),
To overcome performance limitations due to the parasitic NiMH (Nickel Metal Hydride) or NiCad (Nickel Cadmium).
bottom plate capacitance, [25] proposes some configurations Typical operating voltages for these kinds of battery
that try to minimize the amount of charge that is lost. Also, in technologies can be approximately 1.2 V for the last two types
[26] and [27] another technique is employed in order to and 3.7 V for the first type [34]. Conventional Li-ion batteries
minimize the bottom plate capacitance loss. For convenience, have typical operating voltages that range from 2.7 V to 4.2 V
the circuit topology used in [26] is depicted in Figure 3. [35]. There are some emerging technologies using materials
like LiCoO2 or graphite, that in conjunction with PVDF-Ionic
electrolyte, have given promising results, just like technologies
based on other types of materials and electrolytes [6]. It is
important to note that each type of technology might require a
different circuit to control its charging phase.
Besides being electrical charge buffers, batteries serve as
voltage stabilizers, providing a constant voltage at the output of
the regulator circuit. Examples of systems that use batteries to
store harvested energy can be found in [36], [37].

B. Supercapacitors
Supercapacitors exhibit characteristics that make them
different from ordinary capacitors. The electrical model of a
supercapacitor is not simply a highly valued capacitor, but a set
of several branches with different time constants [38], for the
case of the double-layer capacitor. Capacitance values can be
as high as 1500 F. This type of device can even achieve power
Figure 3. Switched capacitor doubler, with charge reusing. densities higher than that of conventional batteries.

In general, when dealing with SC converters performance These devices can stand a higher number of
studies, work can be found in [28][31]. One interesting charge/discharge cycles than batteries, being suitable for
technique is used in [32], where a gearbox, switching applications where this kind of regime is usual. The number of
between different topologies, is used so as to maximize the cycles can be as high as a million, leading to an operational
overall performance of the converter system. The need for lifetime of ten years, until the capacitance value starts to show
voltage elevation is also related to the number of PV cells some degradation [3].
connected in series at the input. Supercapacitors are cheap, being very appealing to use in
opposition to batteries, as these are more expensive. An
IV. ENERGY STORAGE DEVICES example of a discrete system that makes use of a
supercapacitor to store harvested energy from a solar harvester
Once the energy has been harvested and conditioned, some
can be found in [39].
means must be used to store that energy, so that it can used at a
later time. For a small system, there are two storing devices, Nonetheless, there are some applications that use both
batteries and supercapacitors, available to perform this task [4]. battery and supercapacitor [9], [34]. These act as a primary and
Depending on the energy usage profile of the system, any of secondary energy buffers, respectively.
these devices can be used in accordance. However, to optimize
their utility and lifetime, each must fit into the appropriate In the case of a low cost system that is only required to
energy usage regime [9]. If appropriate, both devices can be operate when light is available, or a short time after that, the
used in the same application, aiming to extend the lifetime of most economical solution is to use a supercacitor. As an
example, consider a 1 F supercapacitor was charged to 1.3V

98d
and then allowed to power a circuit until its voltage decreases the maximum value around its vicinity, this is not a big
to 1.1V. The energy supplied by this discharging capacitor is problem. The Fractional Open Circuit Voltage (Fractional VOC)
E = C Vout2, in this case 0.02 J. This energy could be method requires to previously determining the characteristics
used to power a 10 mW circuit for 2 seconds, which is enough of the PV cell [48]. The open circuit voltage can be obtained
time, in most cases, to transmit some bytes of information. using a pilot PV cell, smaller than the main cell, exposed in the
same way as the latter. The circuitry needed to implement the
V. MAXIMUM POWER POINT TRACKING TECHNIQUES fractional VOC method is very simple and dissipates little
power, at the cost of producing only an approximation of the
There are some limiting factors when building a solar MPP of the PV cell. This trade-off is acceptable for a micro
energy powered micro sensor system, such as a low energy power system. Work based on this MPPT method can be found
budget, due to size limitations. This budget must be enough to in [48] and [49].
enable the controlling circuits to operate and to maintain the
interaction between the energy processing system and the 1) Determination of the Fractional VOC Coefficient (k) for
harvester at an optimum level [9]. the PV Cell experimentally characterized
In the case of a micro power step-up converter it is possible
To maximize the energy obtained from the PV cell, there to tolerate some inaccuracy in the determination of the MPP, in
are a set of techniques known as Maximum Power Point exchange for using a simpler method for determining the MPP
Tracking (MPPT), which can be used to reach this goal. For of the PV cell that requires less complex circuits and dissipates
instance, it is possible to manipulate the PV cell orientation, in less power. The Fractional Open Circuit Voltage (Fractional
order to maximize the light intensity on its surface [40], but in VOC) meets these requirements, because it is a very simple and
small systems this option is not valid because of the low power inexpensive (hardware wise) method.
and low cost budgets. In indoor applications it is possible to
place the PV cell as close as possible to a light source, with the The Fractional VOC method explores an intrinsic
correct orientation, in order to maximize the received light characteristic of PV cells: there is a proportionality factor
energy. between their open circuit voltage and the voltage at which the
MPP occurs. This factor must be determined beforehand, by
Figure 2. illustrates the MPPT principle. Its algorithm studying the solar cell behavior under several conditions of
should try to reach the dot position, as the equivalent illumination and temperature. The cell whose model is depicted
impedance of both the cell and the converter circuit will vary, in Figure 1. was simulated and showed the performance
according to load, temperature or irradiance changes. The depicted in Figure 4. and Figure 5.
arrows in Figure 2. represent the consequence of the controller
action, dealing with an impedance mismatch, vectoring the PV 0.5
Effects from changing illumination

cell to the new MPP. A substantially wide set of MPPT


0.45
techniques can be found in [41], providing a broad perspective.
Most of the ones that are presented in this section were 0.4

developed for large PV arrays that provide hundreds or 0.35


VMPP (V)

thousands of Watts. In some cases, these techniques can be


extended to systems with power around W or mW. 0.3

0.25

A. True MPPT Techniques


0.2

These techniques are concerned with obtaining and tracking


the MPP of the PV cell, independently of light and temperature 0.3 0.35 0.4 0.45 0.5 0.55 0.6 0.65
VOC (V)
conditions. This accurate estimation is often based on
microcontroller computation requiring the use of an ADC. In Figure 4. Fractional open circuit voltage relation between VOC and VMPP
general, these MPPT techniques do not need to know the PV under several conditions of illumination
cell characteristics beforehand, as the converter system adapts
itself automatically to the given PV cell. Examples of such 0.65
Effects from changing temperature

techniques include algorithms like the Hill-Climbing, used in


0.6
[27], [36], [37], [42], [43], and the Ripple Correlation Control
0.55
(RCC), used in [44][47]. Both of these algorithms can also be
implemented using analog circuits, thus reducing the power 0.5

needed to operate. RCC is possibly the best MPPT method, but


VMPP (V)

0.45

it requires a multiplication to compute the instantaneous power. 0.4

Since an analog multiplier is difficult to design and usually 0.35

dissipates a large power, the RCC method is not suitable for 0.3

micro power systems, such as for the case of indoor light 0.25
energy harvesting. 0.2
0.4 0.45 0.5 0.55 0.6 0.65 0.7 0.75 0.8 0.85 0.9
VOC (V)

B. Quasi-MPPT Techniques
This type of algorithms cannot reach the true MPP of a PV Figure 5. Fractional open circuit voltage relation between VOC and VMPP
cell. Since the power value does not change significantly from under several conditions of temperature

983
By performing a linear regression over the points plotted on [11] Lee, J.S.; Hornsey, R.I.; Renshaw, D.; , "Analysis of CMOS
the obtained graphs, the same way as in [49], one can Photodiodes II - Lateral photoresponse," IEEE Transactions on Electron
determine the slope of these functions. By sweeping a range of Devices, vol.50, no.5, pp. 1239- 1245, May 2003
temperatures that spanned from 55 C to +125 C, the ratio [12] Guilar, N.J.; Kleeburg, T.J.; Chen, A.; Yankelevich, D.R.; Amirtharajah,
VMPP/VOC was around 0.84. By sweeping illumination from R.; , "Integrated Solar Energy Harvesting and Storage," IEEE
10% to 100%, the ratio VMPP/VOC was around 0.76. Assuming Transactions on Very Large Scale Integration (VLSI) Systems, vol.17,
that illumination has more importance, as it is more likely to no.5, pp.627-637, May 2009
vary, a value of 0.77 was selected for k, the Fractional VOC [13] Guilar, N.J.; Fong, E.G.; Kleeburg, T.; Yankelevich, D.R.;
coefficient. This value agrees with the ones stated in [41]. Amirtharajah, R.; , "Energy harvesting photodiodes with integrated 2D
diffractive storage capacitance," ACM/IEEE Int. Symposium on Low
VI. CONCLUSIONS Power Electronics and Design (ISLPED), pp.63-68, 11-13 Aug. 2008
[14] Ferri, M.; Pinna, D.; Dallago, E.; Malcovati, P.; , "A 0.35m CMOS
This paper presented a survey on the relevant issues to take Solar energy scavenger with power storage management system," Ph.D.
into account when designing a low cost, micro power, light Research in Microelectronics and Electronics, PRIME 2009, pp.88-91,
harvesting system for indoor applications. The relevant 12-17 July 2009
literature was analyzed, regarding the light energy source, [15] Ferri, M.; Pinna, D.; Malcovati, P.; Dallago, E.; Ricotti, G.; , "Integrated
types of energy conditioning systems, energy storage devices stabilized photovoltaic energy harvester, ICECS 2009. 16th IEEE Int.
and MPPT techniques. Design options about each of these Conf. on Electronics, Circ. and Systems, pp.299-302, 13-16 Dec. 2009
items were presented. From this analysis, several conclusions [16] Barnett, A.; Honsberg, C.; Kirkpatrick, D.; Kurtz, S.; Moore, D.;
about the constituting elements of the system were reached. Salzman, D.; Schwartz, R.; Gray, J.; Bowden, S.; Goossen, K.; Haney,
The system should use a low cost PV cell, such as a-Si, the M.; Aiken, D.; Wanlass, M.; Emery, K.; , "50% Efficient Solar Cell
system should use a SC DC-DC converter and it should use Architectures and Designs," Conference Record of the IEEE 4th World
supercapacitors as the energy storing devices. Conf. on Photovoltaic Energy Conv., vol.2, pp.2560-2564, May 2006
[17] Amaral, A.; Lavareda, G.; Nunes de Carvalho, C.; Brogueira, P.; Gordo,
REFERENCES P.M.; Subrahmanyam, V.S.; Lopes Gil, C.; Duarte Naia, V; de Lima, A.
P., "Influence of the a-Si:H structural defects studied by positron
[1] Paradiso, J.A.; Starner, T.; , "Energy scavenging for mobile and wireless annihilation on the solar cells characteristics", Thin Solid Films, vol.
electronics," Pervasive Computing, IEEE , vol.4, no.1, pp. 18- 27, Jan.- 403404, pp. 539542, 2002.
March 2005 [18] Richelli, A.; Colalongo, L.; Tonoli, S.; Kovacs, Z., A 0.2V-1.2V
[2] Kansal, A.; Srivastava, M.B.; , "An environmental energy harvesting converter for power harvesting applications, Proc. 34th European
framework for sensor networks," ISLPED '03. Proceedings of the Solid-State Circuits Conf. ESSCIRC 2008, 2008, pp. 406-409.
International Symposium on Low Power Electronics and Design, pp. [19] Huang, M.-H.; Chen, K.-H.; , "Single-Inductor Multi-Output (SIMO)
481- 486, 25-27 Aug. 2003 DC-DC Converters With High Light-Load Efficiency and Minimized
[3] Chou, P.H.; Chulsung Park; , "Energy-efficient platform designs for Cross-Regulation for Portable Devices," IEEE Journal of Solid-State
real-world wireless sensing applications,", ICCAD-2005. IEEE/ACM Int. Circuits, vol.44, no.4, pp.1099-1111, April 2009
Conf. on Computer-Aided Design, pp. 913- 920, 6-10 Nov. 2005 [20] Sze, N.-M.; Su, F.; Lam, Y.-H.; Ki, W.-H.; Tsui, C.-Y.; , "Integrated
[4] Raghunathan, V.; Chou, P.H.; , "Design and Power Management of single-inductor dual-input dual-output boost converter for energy
Energy Harvesting Embedded Systems,", ISLPED'06. Proceedings of harvesting applications," ISCAS 2008. IEEE International Symposium
the International Symposium on Low Power Electronics and Design, on Circuits and Systems, pp.2218-2221, 18-21 May 2008
pp.369-374, 4-6 Oct. 2006 [21] Seeman, M.D.; Ng, V.W.; Hanh-Phuc Le; John, M.; Alon, E.; Sanders,
[5] Chalasani, S.; Conrad, J.M.; , "A survey of energy harvesting sources for S.R.; , "A comparative analysis of Switched-Capacitor and inductor-
embedded systems," Southeastcon, 2008. IEEE , pp.442-447, 3-6 April based DC-DC conversion technologies," IEEE 12th Workshop on
2008 Control and Modeling for Power Electronics (COMPEL), pp.1-7, 28-30
[6] Rabaey, J.; Burghardt, F.; Steingart, D.; Seeman, M.; Wright, P.; , June 2010
"Energy Harvesting - A Systems Perspective,", IEDM 2007. IEEE [22] Pique, G.V.; Bergveld, H.J.; , State-of-the-art of integrated switching
International Electron Devices Meeting, pp.363-366, 10-12 Dec. 2007 power converters, AACD 2011, April 2011
[7] Hande, A.; Polk, T.; Walker, W.; Bhatia, D., "Indoor solar energy [23] Seeman, M.D.; Sanders, S.R.; , "Analysis and Optimization of Switched-
harvesting for sensor network router nodes," Microprocessors and Capacitor DCDC Converters," IEEE Transactions on Power
Microsystems, Vol. 31, No. 6. (01 September 2007), pp. 420-432. Electronics, vol.23, no.2, pp.841-851, March 2008
[8] Dondi, D.; Bertacchini, A.; Larcher, L.; Pavan, P.; Brunelli, D.; Benini, [24] Su, F.; Ki, W.-H.; Tsui, C.-Y.; ,"Regulated Switched-Capacitor Doubler
L.; , "A solar energy harvesting circuit for low power applications," With Interleaving Control for Continuous Output Regulation," IEEE
ICSET 2008. IEEE International Conference on Sustainable Energy Journal of Solid-State Circuits, vol.44, no.4, pp.1112-1120, April 2009
Technologies, pp.945-949, 24-27 Nov. 2008 [25] Ramadass, Y.K.; Chandrakasan, A.P.; , "Voltage Scalable Switched
[9] Jeong, J.; Jiang, X.; Culler, D.; , "Design and analysis of micro-solar Capacitor DC-DC Converter for Ultra-Low-Power On-Chip
power systems for Wireless Sensor Networks," INSS 2008. 5th Int. Applications," Power Electronics Specialists Conference, 2007. PESC
Conf. on Networked Sensing Systems, pp.181-188, 17-19 June 2008 2007. IEEE , pp.2353-2359, 17-21 June 2007
[10] Lee, J.S.; Hornsey, R.I.; Renshaw, D.; , "Analysis of CMOS [26] Carvalho, C.; Paulino, N.; , "A MOSFET only, step-up DC-DC micro
Photodiodes I - Quantum efficiency," IEEE Transactions on Electron power converter, for solar energy harvesting applications," International
Devices, vol.50, no.5, pp. 1233- 1238, May 2003 Journal of Microelectronics and Computer Science, vol.1, no.2, pp.112-
119, 2010, ISSN 2080-8755

98N
[27] Carvalho, C.; Lavareda, G.; Lameiro, J.; Paulino, N.; , A step-up - [39] Simjee, F.; Chou, P.H.; , "Everlast: Long-life, Supercapacitor-operated
power converter for solar energy harvesting applications, using Hill Wireless Sensor Node," ISLPED'06. Proc. of the 2006 Int. Symposium
Climbing maximum power point tracking, IEEE International on Low Power Electronics and Design, pp.197-202, 4-6 Oct. 2006
Symposium on Circuits and Systems ISCAS 2011, pp. 19241927, 15- [40] Mashohor, S.; Samsudin, K.; Noor, A.M.; Rahman, A.R.A.; ,
18 May 2011 "Evaluation of Genetic Algorithm based solar tracking system for
[28] Ngo, K.D.T.; Webster, R.; , "Steady-state analysis and design of a Photovoltaic panels," ICSET 2008. IEEE International Conference on
switched-capacitor DC-DC converter," IEEE Transactions on Aerospace Sustainable Energy Technologies, pp.269-273, 24-27 Nov. 2008
and Electronic Systems, vol.30, no.1, pp.92-101, Jan 1994 [41] Esram, T.; Chapman, P.L.; , "Comparison of Photovoltaic Array
[29] Makowski, M.S.; Maksimovic, D.; , "Performance limits of switched- Maximum Power Point Tracking Techniques," IEEE Transactions on
capacitor DC-DC converters," Power Electronics Specialists Energy Conversion, vol.22, no.2, pp.439-449, June 2007
Conference, PESC '95 Record., 26th Annual IEEE , vol.2, pp.1215-1221 [42] Shao, H.; Tsui, C.-Y.; Ki, W.-H.; , "An inductor-less MPPT design for
vol.2, 18-22 Jun 1995 light energy harvesting systems," ASP-DAC 2009. Asia and South
[30] Zhu, G.; Ioinovici, A.; , "Switched-capacitor power supplies: DC voltage Pacific Design Automation Conference, pp.101-102, 19-22 Jan. 2009
ratio, efficiency, ripple, regulation," ISCAS '96. 'Connecting the World', [43] Kim, Y.; Jo, H.; Kim, D.; , "A new peak power tracker for cost-effective
IEEE International Symposium on Circuits and Systems, 1996, vol.1, photovoltaic power system," IECEC 96. Proceedings of the 31st
pp.553-556 vol.1, 12-15 May 1996 Intersociety Energy Conversion Engineering Conference, vol.3,
[31] Pan, Z.; Zhang, F.; Peng, F.Z.; , "Power losses and efficiency analysis of pp.1673-1678 vol.3, 11-16 Aug 1996
multilevel dc-dc converters," APEC 2005. Twentieth Annual IEEE [44] Midya, P.; Krein, P.T.; Turnbull, R.J.; Reppa, R.; Kimball, J.; ,
Applied Power Electronics Conference and Exposition, vol.3, pp.1393- "Dynamic maximum power point tracker for photovoltaic applications ,"
1398 Vol. 3, 6-10 March 2005 PESC '96 Record., 27th Annual IEEE Power Electronics Specialists
[32] Van Breussegem, T.; Steyaert, M.; , A Fully Integrated Gearbox Conference, vol.2, pp.1710-1716 vol.2, 23-27 Jun 1996
Capacitive DC/DC-converter in 90 nm CMOS: Optimization, Control [45] Lim, Y.H.; Hamill, D.C.; , "Simple maximum power point tracker for
and Measurements, Proceedings of COMPEL 2010, 12, Boulder, 2010 photovoltaic arrays," Electronics Letters , vol.36, no.11, pp. 997- 999, 25
[33] Ramadass, Y.K.; Chandrakasan, A.P.; , "Minimum Energy Tracking May 2000
Loop With Embedded DCDC Converter Enabling Ultra-Low-Voltage [46] Lim, Y.H.; Hamill, D.C.; , "Synthesis, simulation and experimental
Operation Down to 250 mV in 65 nm CMOS," IEEE Journal of Solid- verification of a maximum power point tracker from nonlinear
State Circuits, vol.43, no.1, pp.256-265, Jan. 2008 dynamics," PESC 2001. IEEE 32nd Annual Power Electronics
[34] Jiang, X.; Polastre, J.; Culler, D.; , "Perpetual environmentally powered Specialists Conference, vol.1, pp.199-204 vol. 1, 2001
sensor networks," IPSN 2005. Fourth Int. Symposium on Information [47] Esram, T.; Kimball, J.W.; Krein, P.T.; Chapman, P.L.; Midya, P.; ,
Processing in Sensor Networks, pp. 463- 468, 15 April 2005 "Dynamic Maximum Power Point Tracking of Photovoltaic Arrays
[35] Torres, E.O.; Rincon-Mora, G.A.; , "Electrostatic Energy-Harvesting Using Ripple Correlation Control," IEEE Transactions on Power
and Battery-Charging CMOS System Prototype,", IEEE Trans. on Circ. Electronics, vol.21, no.5, pp.1282-1291, Sept. 2006
and Systems I: Regular Papers, vol.56, no.9, pp.1938-1948, Sept. 2009 [48] Carvalho C.; Lavareda, G.; Paulino, N.; , A DC-DC Step-up  Power
[36] Hui Shao; Chi-Ying Tsui; Wing-Hung Ki; , "An Inductor-less Micro Converter for Energy Harvesting Applications, using Maximum Power
Solar Power Management System Design for Energy Harvesting Point Tracking, Based on Fractional Open Circuit Voltage,
Applications," ISCAS 2007. IEEE International Symposium on Circuits Technological Innovation for Sustainability IFIP Advances in
and Systems, pp.1353-1356, 27-30 May 2007 Information and Communication Technology, vol. 349, pp. 510-517,
[37] Shao, H; Tsui, C.-Y.; Ki, W.-H.; , "The Design of a Micro Power 2011
Management System for Applications Using Photovoltaic Cells With the [49] Brunelli, D.; Moser, C.; Thiele, L.; Benini, L.; , "Design of a Solar-
Maximum Output Power Control," IEEE Trans. on Very Large Scale Harvesting Circuit for Batteryless Embedded Systems," IEEE
Integrat. (VLSI) Systems, vol.17, no.8, pp.1138-1142, Aug. 2009 Transactions on Circuits and Systems I: Regular Papers, vol.56, no.11,
[38] Zubieta, L.; Bonert, R.; , "Characterization of double-layer capacitors for pp.2519-2528, Nov. 2009
power electronics applications," IEEE Transactions on Industry
Applications, vol.36, no.1, pp.199-205, Jan/Feb 2000

9ey

You might also like