Professional Documents
Culture Documents
Manufacturing Technology
Chapter 1, Introduction
Hong Xiao, Ph. D.
hxiao89@hotmail.com
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Objective
After taking this course, you will able to
www2.austin.cc.tx.us/HongXiao/Book.htm
Topics
Introduction
IC Device and Design
Semiconductor Manufacturing Processes
Future Trends
www2.austin.cc.tx.us/HongXiao/Book.htm
Introduction
www2.austin.cc.tx.us/HongXiao/Book.htm
Photo courtesy:
AT&T Archive
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
Moores Law
Intel co-founder Gorden Moore notice in 1964
Number of transistors doubled ever 12 months
while price keeping unchanged
Slowed down in the 1980s to every 18 months
Amazingly still correct, likely to keep until
2010.
www2.austin.cc.tx.us/HongXiao/Book.htm
10M
80486
1M
80386
100K
10K
Pentium
8086
4040
80286
8080
1K
1975
Hong Xiao, Ph. D.
1980
1985
1990
www2.austin.cc.tx.us/HongXiao/Book.htm
1995
2000
10
IC Scales
Integration level
Abbreviation
SSI
2 to 50
MSI
50 to 5,000
LSI
5,000 to 100,000
VLSI
100,000 to 10,000,000
ULSI
10,000,000 to 1,000,000,000
SLSI
over 1,000,000,000
www2.austin.cc.tx.us/HongXiao/Book.htm
11
1995
1997
1999
2001
2004
2007
0.35
0.25
0.18
0.13
0.10
0.07
64 M
256 M
1G
4G
16 G
64 G
0.017
0.007
0.003
0.001
0.0005
0.0002
4M
7M
13 M
25 M
50 M
90 M
0.5
0.2
0.1
0.05
0.02
2M
4M
7M
13 M
25 M
40 M
0.3
200
0.1
200
0.05
200 300
0.03
300
0.02
300
0.01
300
400 (?)
www2.austin.cc.tx.us/HongXiao/Book.htm
12
Chip
or die
300 mm
with 0.25 m
technology
with 0.18 m
technology
200 mm
150 mm
www2.austin.cc.tx.us/HongXiao/Book.htm
13
Source
n+
n+
Ultra shallow junctions
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
14
www2.austin.cc.tx.us/HongXiao/Book.htm
15
www2.austin.cc.tx.us/HongXiao/Book.htm
16
IC Design: First IC
www2.austin.cc.tx.us/HongXiao/Book.htm
17
V in
IC Design:
CMOS Inverter
(a)
V dd
NMOS
PMOS
V ss
V out
(b)
P-well
N-well
Metal 1
Contact
Metal 1, AlCu
W
PMD
n+
n+
STI
P-Well
p+
p+
N-Well
P-Epi
(c)
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
18
Mask 1, N-well
www2.austin.cc.tx.us/HongXiao/Book.htm
Mask 2, P-well
19
Mask/Reticle
Pellicle
Chrome pattern
Quartz substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
20
A Mast
and
a Reticle
www2.austin.cc.tx.us/HongXiao/Book.htm
21
IC Fab
Metallization
CMP
Dielectric
deposition
Test
Wafers
Thermal
Processes
Implant
PR strip
Etch
PR strip
Packaging
Masks
Photolithography
Final Test
Design
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
22
Chapter 2
Introduction of IC
Fabrication
Hong Xiao, Ph. D.
hxiao89@hotmail.com
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Objectives
www2.austin.cc.tx.us/HongXiao/Book.htm
IC Fab
Metallization
CMP
Dielectric
deposition
Test
Wafers
Thermal
Processes
Implant
PR strip
Etch
PR strip
Packaging
Masks
Photolithography
Final Test
Design
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Fab Cost
www2.austin.cc.tx.us/HongXiao/Book.htm
Wafer Yield
YW =
Wafers good
Waferstotal
www2.austin.cc.tx.us/HongXiao/Book.htm
Die Yield
YD =
Dies
good
Dies total
www2.austin.cc.tx.us/HongXiao/Book.htm
Packaging Yield
YC =
Chips good
Chipstotal
www2.austin.cc.tx.us/HongXiao/Book.htm
Overall Yield
YT = YWYDYC
Overall Yield determines whether a fab is
making profit or losing money
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Sale:
~100 chips/wafer
~$50/chip (low-end microprocessor in 2000)
*Cost of wafer and price of chip are changing daily, numbers
are choosing randomly based on general information.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Sale:
www2.austin.cc.tx.us/HongXiao/Book.htm
10
Throughput
Number of wafers able to process
Fab: wafers/month (typically 10,000)
Tool: wafers/hour (typically 60)
www2.austin.cc.tx.us/HongXiao/Book.htm
11
1
Y
n
(1 + DA)
www2.austin.cc.tx.us/HongXiao/Book.htm
12
Y = 28/32 = 87.5%
Y = 2/6 = 33.3%
www2.austin.cc.tx.us/HongXiao/Book.htm
13
Test die
www2.austin.cc.tx.us/HongXiao/Book.htm
14
Scribe Lines
Dies
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
15
Clean Room
Particles kills yield
IC fabrication must in a clean room
www2.austin.cc.tx.us/HongXiao/Book.htm
16
Clean Room
First used for surgery room to avoid bacteria
contamination
Adopted in semiconductor industry in 1950
Smaller device needs higher grade clean room
Less particle, more expensive to build
www2.austin.cc.tx.us/HongXiao/Book.htm
17
www2.austin.cc.tx.us/HongXiao/Book.htm
18
Cleanroom Classes
100000
Cl
# of particles / ft3
10000
,0
as
100
10
0.1
s1
00
Cl
1000
as
Cl
as
sM
-1
0.1
s1
00
0,
00
Cl
as
0
Cl
s1
as
Cl
s1
as
,0
00
s1
00
0
Cl
as
s1
1.0
10
Particle size in micron
www2.austin.cc.tx.us/HongXiao/Book.htm
19
0.1 m
0.2 m
0.3 m
0.5 m
M-1
9.8
2.12
0.865
0.28
35
7.5
10
350
75
30
10
750
300
100
100
5 m
1000
1000
10000
10000
70
www2.austin.cc.tx.us/HongXiao/Book.htm
20
Hole on
PR
Film
Film
Substrate
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
21
www2.austin.cc.tx.us/HongXiao/Book.htm
22
Cleanroom Structure
Makeup Air
Makeup Air
Fans
Equipment Area
Class 1000
Process
Tool
Return Air
HEPA Filter
Process Area
Equipment Area
Class 1000
Process
Tool
Raised Floor
with Grid Panels
www2.austin.cc.tx.us/HongXiao/Book.htm
Pump, RF
and etc.
23
Gowning Area
Shelf of Gloves, Hair
and Shoe Covers
Gown Racks
Entrance
Disposal Bins
Shelf of
Gloves
To
Cleanroom
Wash/Clean
Stations
Shelf of
Gloves
Storage
Benches
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
24
Ion Implantation
PR Stripping
PR Stripping
RTA or Diffusion
www2.austin.cc.tx.us/HongXiao/Book.htm
25
Process Bays
Corridor
Service Area
Sliding Doors
Hong Xiao, Ph. D.
Gowning Area
www2.austin.cc.tx.us/HongXiao/Book.htm
26
Wet Processes
Rinse
www2.austin.cc.tx.us/HongXiao/Book.htm
Dry
27
Horizontal Furnace
Heating Coils
Wafers
Quartz
Tube
Temperature
Gas flow
Center Zone
Flat Zone
Distance
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
28
Vertical Furnace
Process
Chamber
Heaters
Wafers
Tower
www2.austin.cc.tx.us/HongXiao/Book.htm
29
Prep
Chamber
Spin Coater
Chill Plates
Stepper
Chill Plates
Hong Xiao, Ph. D.
Developer
Hot Plates
www2.austin.cc.tx.us/HongXiao/Book.htm
Wafer
Movement
30
PR Strip
Chamber
Etch
Chamber
Etch
Chamber
Transfer
Chamber
Robot
Loading Station
Hong Xiao, Ph. D.
Unloading Station
www2.austin.cc.tx.us/HongXiao/Book.htm
31
Ar Sputtering
Chamber
PECVD
Chamber
Transfer
Chamber
Robot
Loading Station
Hong Xiao, Ph. D.
Unloading Station
www2.austin.cc.tx.us/HongXiao/Book.htm
32
AlCu
Chamber
Ti/TiN
Chamber
Ti/TiN
Chamber
Transfer
Chamber
Robot
Loading Station
Hong Xiao, Ph. D.
Unloading Station
www2.austin.cc.tx.us/HongXiao/Book.htm
33
Polishing
Pad
Post-CMP Clean
Polishing
Heads
Rinse
Multi-head Polisher
Dryer and Wafer
Unloading
Clean Station
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
34
Equipment Area
Equipment Area
Process Tools
Process Area
Service Area
Wafer Loading Doors
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
35
Test Results
Failed die
www2.austin.cc.tx.us/HongXiao/Book.htm
36
Chip-Bond Structure
Microelectronics Devices and Circuits
Chip Backside
Metallization
Chip (Silicon)
Solder
Substrate
Metallization
www2.austin.cc.tx.us/HongXiao/Book.htm
Melt and
Condense
37
Wire Bonding
Metal Wire
Wire Clamp
Bonding Pad
Bonding Pad
Bonding Pad
Formation of
molten metal ball
Press to make
contact
Head retreat
www2.austin.cc.tx.us/HongXiao/Book.htm
38
Wire Bonding
Bonding Pad
Lead
Bonding Pad
Lead
www2.austin.cc.tx.us/HongXiao/Book.htm
39
www2.austin.cc.tx.us/HongXiao/Book.htm
40
IC Chip Packaging
Chip
Bonding
Pad
Pins
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
41
www2.austin.cc.tx.us/HongXiao/Book.htm
42
Socket
Hong Xiao, Ph. D.
Pins
www2.austin.cc.tx.us/HongXiao/Book.htm
43
Bump Contact
Bumps
Chip
Socket
Hong Xiao, Ph. D.
Pins
www2.austin.cc.tx.us/HongXiao/Book.htm
44
Bumps
Chip
Socket
Hong Xiao, Ph. D.
Pins
www2.austin.cc.tx.us/HongXiao/Book.htm
45
Chip
Socket
Hong Xiao, Ph. D.
Pins
www2.austin.cc.tx.us/HongXiao/Book.htm
46
Bonding Wires
Molding Cavity
IC Chip
Lead Frame
Chip Bond Metallization
Pins
Bottom Chase
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
47
Ceramic Seal
Bonding Wires
Cap Seal
Metallization
IC Chip
Ceramic Cap
Layer 2
Layer 2
www2.austin.cc.tx.us/HongXiao/Book.htm
48
Summary
Overall yield
Yield determines losing money or making
profit
Cleanroom and cleanroom protocols
Process bays
Process, equipment, and facility areas
Die test, wafer thinning, die separation, chip
packaging, and final test
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
49
Chapter 3
Basics Semiconductor
Devices and Processing
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Objectives
Identify at least two semiconductor materials from
the periodic table of elements
List n-type and p-type dopants
Describe a diode and a MOS transistor
List three kinds of chips made in the
semiconductor industry
List at least four basic processes required for a
chip manufacturing
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Topics
What is semiconductor
Basic semiconductor devices
Basics of IC processing
www2.austin.cc.tx.us/HongXiao/Book.htm
What is Semiconductor
www2.austin.cc.tx.us/HongXiao/Book.htm
Periodic Table
of the Elements
www2.austin.cc.tx.us/HongXiao/Book.htm
N-type Dopants
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Conducting band, Ec
Nuclei
Band gap, Eg
Valence band, Ev
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Eg = 1.1 eV
Eg = 8 eV
Aluminum
Sodium
Silicon
Silicon dioxide
2.7 cm
4.7 cm
~ 1010 cm
> 1020 cm
Conductors
Hong Xiao, Ph. D.
Semiconductor
www2.austin.cc.tx.us/HongXiao/Book.htm
Insulator
8
Si
Si
Si
Si
Si
Si
Si
Si
Si
Si
Si
Si
Si
www2.austin.cc.tx.us/HongXiao/Book.htm
Si
Why Silicon
Abundant, inexpensive
Thermal stability
Silicon dioxide is a strong dielectric and
relatively easy to form
Silicon dioxide can be used as diffusion
doping mask
www2.austin.cc.tx.us/HongXiao/Book.htm
10
Si
Si
As
Si
Si
Si
Si
Conducting band, Ec
Extra
Electron
Ed ~ 0.05 eV
Eg = 1.1 eV
Si
Valence band, Ev
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
11
Si
Conducting band,
Ec
Si
Hole
Si
Eg = 1.1 eV
Si
Ea ~ 0.05 eV
Si
Si
Si
Electron
Valence band, Ev
www2.austin.cc.tx.us/HongXiao/Book.htm
12
Conducting band, Ec
Electron Eg = 1.1 eV
Electron
Ea ~ 0.05 eV
Hole
Valence band, Ev
Hole
Eg = 1.1 eV
Valence band, Ev
Conducting band, Ec
Electron
Eg = 1.1 eV
Valence band, Ev
www2.austin.cc.tx.us/HongXiao/Book.htm
Hole
13
N-type,
Phosphorus
Dopant concentration
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
14
www2.austin.cc.tx.us/HongXiao/Book.htm
15
Basic Devices
Resistor
Capacitor
Diode
Bipolar Transistor
MOS Transistor
www2.austin.cc.tx.us/HongXiao/Book.htm
16
Resistor
l
R=
wh
: Resistivity
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
17
Resistor
Resistors are made by doped silicon or
polysilicon on an IC chip
Resistance is determined by length, line
width, height, and dopant concentration
www2.austin.cc.tx.us/HongXiao/Book.htm
18
Capacitors
h
d
hl
C =
d
: Dielectric Constant
www2.austin.cc.tx.us/HongXiao/Book.htm
19
Capacitors
Charge storage device
Memory Devices, esp. DRAM
Challenge: reduce capacitor size while
keeping the capacitance
High- dielectric materials
www2.austin.cc.tx.us/HongXiao/Book.htm
20
Capacitors
Dielectric Layer
Dielectric
Layer
Poly Si
Oxide
Si
Poly 2
Poly
Si
Si
Poly 1
Heavily
Doped Si
Parallel plate
Hong Xiao, Ph. D.
Stacked
www2.austin.cc.tx.us/HongXiao/Book.htm
Deep Trench
21
Metal,
l
d
w
www2.austin.cc.tx.us/HongXiao/Book.htm
22
Diode
P-N Junction
Allows electric current go through only
when it is positively biased.
www2.austin.cc.tx.us/HongXiao/Book.htm
23
Diode
V1
V2
P1
V1 > V2 ,
current
V1 < V2 ,
no current
P1 > P2,
P2
current
www2.austin.cc.tx.us/HongXiao/Book.htm
24
Figure 3.14
Transition region
++
++
++
++
++
Vn
Vp
Hong Xiao, Ph. D.
V0
www2.austin.cc.tx.us/HongXiao/Book.htm
25
Intrinsic Potential
kT Na Nd
V0 = ln 2
q
ni
For silicon V0 ~ 0.7 V
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
26
I
V
-I 0
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
27
Bipolar Transistor
PNP or NPN
Switch
Amplifier
Analog circuit
Fast, high power device
www2.austin.cc.tx.us/HongXiao/Book.htm
28
B
E
C
N
C
C
E
B
C
P
E
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
29
p+
n+
Base
Collector
AlCuSi
SiO2
n+
n-epi
p+
Electron flow
n+ buried layer
P-substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
30
CVD
oxide
Emitter
CVD
oxide
Collector
Poly
Field
oxide
n+
n
n+
Field
oxide
Buried Layer
Epi
n+
Field
oxide
P-substrate
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
31
MOS Transistor
Metal-oxide-semiconductor
Also called MOSFET (MOS Field Effect
Transistor)
Simple, symmetric structure
Switch, good for digital, logic circuit
Most commonly used devices in the
semiconductor industry
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
32
NMOS Device
Basic Structure
VG
VD
VG
Metal Gate
Ground
n+
Source
p-Si
VD
n+
Drain
www2.austin.cc.tx.us/HongXiao/Book.htm
33
NMOS Device
Positive charges
VG = 0
VD
Electron flow
VG > V T > 0
VD > 0
Metal Gate
SiO2
n+
Source
n+
p-Si
Drain
No current
SiO2
n+
Source
+++++++
p-Si
n+
Drain
Negative charges
www2.austin.cc.tx.us/HongXiao/Book.htm
34
PMOS Device
Negative charges
VG = 0
VD
VG < V T < 0
Hole flow
VD > 0
Metal Gate
SiO2
p+
Source
p+
n-Si
Drain
No current
SiO2
p+
Source
+++++++
n-Si
p+
Drain
Positive charges
www2.austin.cc.tx.us/HongXiao/Book.htm
35
MOSFET
www2.austin.cc.tx.us/HongXiao/Book.htm
36
Drinking Fountain
www2.austin.cc.tx.us/HongXiao/Book.htm
37
Basic Circuits
Bipolar
PMOS
NMOS
CMOS
BiCMOS
www2.austin.cc.tx.us/HongXiao/Book.htm
38
Bipolar
MOSFET
BiCMOS
Dominate
IC industry
Germanium
Compound
www2.austin.cc.tx.us/HongXiao/Book.htm
39
Bipolar
50%
MOSFET
1980
Hong Xiao, Ph. D.
1990
www2.austin.cc.tx.us/HongXiao/Book.htm
4%
8%
88%
2000
40
Bipolar IC
Earliest IC chip
1961, four bipolar transistors, $150.00
Market share reducing rapidly
Still used for analog systems and power
devices
TV, VCR, Cellar phone, etc.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
41
PMOS
First MOS field effect transistor, 1960
Used for digital logic devices in the 1960s
Replaced by NMOS after the mid-1970s
www2.austin.cc.tx.us/HongXiao/Book.htm
42
NMOS
Faster than PMOS
Used for digital logic devices in 1970s and
1980s
Electronic watches and hand-hold calculators
Replaced by CMOS after the 1980s
www2.austin.cc.tx.us/HongXiao/Book.htm
43
CMOS
Most commonly used circuit in IC chip
since 1980s
Low power consumption
High temperature stability
High noise immunity
Symmetric design
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
44
CMOS Inverter
Vdd
PMOS
V in
Vout
NMOS
Vss
www2.austin.cc.tx.us/HongXiao/Book.htm
45
CMOS IC
n+ Source/Drain
p+ Source/Drain
Gate Oxide
Polysilicon
p-Si
STI
Balk Si
n-Si
www2.austin.cc.tx.us/HongXiao/Book.htm
USG
46
BiCMOS
www2.austin.cc.tx.us/HongXiao/Book.htm
47
IC Chips
Memory
Microprocessor
Application specific IC (ASIC)
www2.austin.cc.tx.us/HongXiao/Book.htm
48
Memory Chips
Devices store data in the form of electric charge
Volatile memory
Dynamic random access memory (DRAM)
S random access memory (SRAM)
Non-volatile memory
Erasable programmable read only memory (EPROM)
FLASH
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
49
DRAM
Major component of computer and other
electronic instruments for data storage
Main driving force of IC processing development
One transistor, one capacitor
www2.austin.cc.tx.us/HongXiao/Book.htm
50
Bit line
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
51
SRAM
Fast memory application such as computer cache
memory to store commonly used instructions
Unit memory cell consists of six transistors
Much faster than DRAM
More complicated processing, more expensive
www2.austin.cc.tx.us/HongXiao/Book.htm
52
EPROM
Non-volatile memory
Keeping data ever without power supply
Computer bios memory which keeps boot
up instructions
Floating gate
UV light memory erase
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
53
EPROM
Passivation
Dielectric
Inter-poly
Dielectric
Gate
Oxide
VD
Poly 2
Control Gate
Poly 1
Floating Gate
n+
n+
Source
VG
p-Si
Drain
www2.austin.cc.tx.us/HongXiao/Book.htm
54
EPROM Programming
Passivation
Dielectric
Inter-poly
Dielectric
Gate
Oxide
VD > 0
Poly 2
Control Gate
e- e- e- e- e- e-
Floating Gate
e-
n+
Source
VG>VT>0
p-Si
n+
Drain
www2.austin.cc.tx.us/HongXiao/Book.htm
Electron
Tunneling
55
EPROM Programming
Passivation
Dielectric
Inter-poly
Dielectric
Gate
Oxide
VG>VT>0
VD > 0
Poly 2
Control Gate
e- e-
Floating Gate
n+
n+
Source
UV light
p-Si
Drain
www2.austin.cc.tx.us/HongXiao/Book.htm
Electron
Tunneling
56
IC Fabrication Processes
Ion implantation,
Diffusion
Adding
Wafer Clean
IC
Fab.
Removing
Etch
CMP
Annealing
Heating
Reflow
CV
PVD
Electrical
Patterned etch
Blanket
Strip
Meta
Oxid
Implantati
Epi, Poly
Dielectri
Meta
Dielectri
Meta
Alloying
Exposure (heating)
PR coating (adding)
Baking (heating,
www2.austin.cc.tx.us/HongXiao/Book.htm
Developing
Patterning
Hong Xiao, Ph. D.
Photolithography
57
www2.austin.cc.tx.us/HongXiao/Book.htm
58
P-silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
n+
59
Epitaxy Grow
n-epi
n+ buried layer
P-silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
60
Isolation Implantation
p+
n-epi
p+
n+ buried layer
P-silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
61
n+
n+
n-epi
p+
n+ buried layer
P-silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
62
Metal Etch
SiO2
Emitter
p+
n+
Base
Collector
AlCuSi
n+
n-epi
p+
n+ buried layer
P-silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
63
Emitter
Base
Collector
AlCuSi
CVD
oxide
p+
n+
n+
n-epi
p+
n+ buried layer
P-silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
64
MOSFET
Good for digital electronics
Major driving forces:
Watches
Calculators
PC
Internet
Telecommunication
www2.austin.cc.tx.us/HongXiao/Book.htm
65
Bipolar dominated
First MOSFET made in Bell Labs
Silicon substrate
Diffusion for doping
Boron diffuses faster in silicon
PMOS
www2.austin.cc.tx.us/HongXiao/Book.htm
66
(R)
Etch oxide
(R)
Field oxidation
(A)
(R)
Mask 1. (Source/Drain)
(P)
Al deposition
(A)
Etch oxide
(R)
Mask 4. (Metal)
(P)
(R)
Etch Aluminum
(R)
(A)
(R)
Mask 2. (Gate)
(P)
Metal Anneal
(H)
Etch oxide
(R)
CVD oxide
(A)
(R)
(P)
Gate oxidation
(A)
Etch oxide
(R)
Mask 3. (Contact)
Hong Xiao, Ph. D.
(P)
www2.austin.cc.tx.us/HongXiao/Book.htm
67
N-Silicon
N-Silicon
Primer
Field Oxide
Field Oxide
Photoresist
N-Silicon
N-Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
68
Field Oxide
Source/Drain Mask
PR
Photoresist
N-Silicon
N-Silicon
Field Oxide
Field Oxide
PR
PR
N-Silicon
UV Light
N-Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
69
Field Oxide
p+
N-Silicon
N-Silicon
Field Oxide
p+
p+
N-Silicon
p+
Gate Oxide
p+
Field Oxide
p+
N-Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
70
p+
Field Oxide
p+
p+
N-Silicon
Gate Oxide
Field Oxide
p+
p+
N-Silicon
Gate Oxide
AlSi
N-Silicon
Gate Oxide
Field Oxide
p+
p+
p+
N-Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
71
Illustration of a PMOS
Gate Oxide
p+
p+
N-Silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
72
Self-aligned source/drain
Main driving force: watches and calculators
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
73
Fi
eld
ox
id
e
Po
lys
ili
co
Phosphorus Ions, P+
Gate
n+
p-silicon
Source/Drain
Hong Xiao, Ph. D.
n+
Gate oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
74
PSG reflow
Mask 3. Contact
Etch PSG/USG
Strip photo resist/Clean
Al deposition
Mask 4. Metal
Etch Aluminum
Strip photo resist
Etch polysilicon
Strip photo resist/Clean
S/D and poly dope implant
Anneal and poly reoxidation
Metal anneal
CVD oxide
Mask 5. Bonding pad
Etch oxide
CVD USG/PSG
www2.austin.cc.tx.us/HongXiao/Book.htm
75
p-Si
p-Si
poly
p-Si
P+ Ion
Implant
poly
Poly Etch
p-Si
poly
p-Si
Gate
Oxidation
p-Si
p-Si
Poly Dep.
Field
Oxidation
poly
n+
p-Si
www2.austin.cc.tx.us/HongXiao/Book.htm
n+
Annealing
76
PSG
Etch
PSG
PSG
poly
poly
p-Si
p-Si
PSG
AlSi
PSG
poly
poly
p-Si
p-Si
PSG
Reflow
Metal
Dep.
AlSi
AlSi
Metal
Etch
PSG
PSG
poly
poly
p-Si
Hong Xiao, Ph. D.
SiN
n+
p-Si
www2.austin.cc.tx.us/HongXiao/Book.htm
Nitride
Dep.
n+
77
CMOS
www2.austin.cc.tx.us/HongXiao/Book.htm
78
Advantages of CMOS
Low power consumption
High temperature stability
High noise immunity
www2.austin.cc.tx.us/HongXiao/Book.htm
79
Vin
Vout
PMOS
Vin
Vout
NMOS
Vss
In
Out
www2.austin.cc.tx.us/HongXiao/Book.htm
80
USG dep/etch/dep
PMD
p+
n+
n+
AlCuSi
BPSG
LOCOS
SiO2
p+
p+
p+
N-well
Poly Si Gate
P-type substrate
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
81
CMOS Chip
with 4 Metal
Layers
Passivation 2, nitride
Passivation 1, USG
Metal 4
Lead-tin
alloy bump
Copper
Tantalum
barrier layer
FSG
Metal 3
Copper
FSG
Nitride etch
stop layer
FSG
Metal 2
Nitride
seal layer
Copper
FSG
Tungsten plug
M1
Cu
Cu
Tantalum
barrier layer
FSG
FSG
Tungsten local
Interconnection
Hong Xiao, Ph. D.
PSG
n+
p+
USG
P-well
www2.austin.cc.tx.us/HongXiao/Book.htm N-well
P-epi
P-wafer
STI
Tungsten
n+
p+
PMD nitride
82 layer
barrier
Summary
Semiconductors are the materials with
conductivity between conductor and
insulator
Its conductivity can be controlled by dopant
concentration and applied voltage
Silicon, germanium, and gallium arsenate
Silicon most popular: abundant and stable
oxide
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
83
Summary
Boron doped semiconductor is p-type,
majority carriers are holes
P, As, or Sb doped semiconductor is p-type,
the majority carriers are electrons
Higher dopant concentration, lower resistivity
At the same dopant concentration, n-type has
lower resistivity than p-type
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
84
Summary
R= l/A
C= A/d
Capacitors are mainly used in DRAM
Bipolar transistors can amplify electric signal,
mainly used for analog systems
MOSFET electric controlled switch, mainly
used for digital systems
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
85
Summary
MOSFETs dominated IC industry since 1980s
Three kinds IC chips microprocessor,
memory, and ASIC
Advantages of CMOS: low power, high
temperature stability, high noise immunity,
and clocking simplicity
www2.austin.cc.tx.us/HongXiao/Book.htm
86
Summary
The basic CMOS process steps are transistor
making (front-end) and
interconnection/passivation (back-end)
The most basic semiconductor processes are
adding, removing, heating, and patterning
processes.
www2.austin.cc.tx.us/HongXiao/Book.htm
87
Chapter 4
Wafer Manufacturing
and Epitaxy Growing
Hong Xiao, Ph. D.
hxiao89@hotmail.com
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Objectives
www2.austin.cc.tx.us/HongXiao/Book.htm
Crystal Structures
Amorphous
No repeated structure at all
Polycrystalline
Some repeated structures
Single crystal
One repeated structure
www2.austin.cc.tx.us/HongXiao/Book.htm
Amorphous Structure
www2.austin.cc.tx.us/HongXiao/Book.htm
Polycrystalline Structure
Grain
Boundary
Grain
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
Why Silicon?
Abundant, cheap
Silicon dioxide is very stable, strong
dielectric, and it is easy to grow in thermal
process.
Large band gap, wide operation temperature
range.
www2.austin.cc.tx.us/HongXiao/Book.htm
Name
Silicon
Symbal
Si
Atomic number
14
Atomic weight
28.0855
Discoverer
Discovered at
Sweden
Discovery date
1824
Origin of name
2.352
Density of solid
2.33 g/cm3
Molar volume
12.06 cm3
Velocity of sound
2200 m/sec
Electrical resistivity
100,000 cm
Reflectivity
28%
Melting point
1414 C
Boiling point
2900 C
www2.austin.cc.tx.us/HongXiao/Book.htm
Source: http://www.shef.ac.uk/chemistry/web-elements/nofr-key/Si.html
www2.austin.cc.tx.us/HongXiao/Book.htm
<100> plane
y
x
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
10
<111> plane
<100> plane
x
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
11
<110> plane
y
x
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
12
Atom
www2.austin.cc.tx.us/HongXiao/Book.htm
13
Silicon atom
www2.austin.cc.tx.us/HongXiao/Book.htm
14
www2.austin.cc.tx.us/HongXiao/Book.htm
15
www2.austin.cc.tx.us/HongXiao/Book.htm
16
Silicon Atom
Impurity in
Interstitial Site
Silicon
Interstitial
Frenkel Defect
www2.austin.cc.tx.us/HongXiao/Book.htm
17
Dislocation Defects
www2.austin.cc.tx.us/HongXiao/Book.htm
18
www2.austin.cc.tx.us/HongXiao/Book.htm
19
Epitaxy deposition
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
20
SiO2
Sand
C
Carbon
Si
MGS
www2.austin.cc.tx.us/HongXiao/Book.htm
CO2
Carbon Dioxide
21
Silicon Purification I
Hydrochloride
Si + HCl
Condenser
TCS
Reactor,
300 C
Silicon
Powder
Filters
Purifier
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
H2
Hydrogen
Si
EGS
www2.austin.cc.tx.us/HongXiao/Book.htm
3HCl
Hydrochloride
23
Silicon Purification II
H2
Process
Chamber
EGS
H2 and TCS
Liquid
TCS
TCS+H2EGS+HCl
Carrier gas
bubbles
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
24
Source: http://www.fullman.com/semiconductors/_polysilicon.html
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
25
Single Crystal
silicon Ingot
Molten Silicon
1415 C
Heating Coils
Graphite Crucible
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
26
CZ Crystal Pullers
www2.austin.cc.tx.us/HongXiao/Book.htm
27
CZ Crystal Pulling
Source: http://www.fullman.com/semiconductors/_crystalgrowing.html
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
28
Heating Coils
Movement
Molten Silicon
Heating
Coils
Single Crystal
Silicon
Seed Crystal
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
29
Floating Zone
Pure silicon crystal (no crucible)
More expensive, smaller wafer size (150 mm)
Mainly for power devices.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
30
www2.austin.cc.tx.us/HongXiao/Book.htm
31
Wafer Sawing
Coolant
Orientation
Notch
Crystal Ingot
Saw Blade
Ingot
Movement
Diamond Coating
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
32
Thickness (m)
279
381
525
625
675
725
775
Area (cm2)
20.26
45.61
78.65
112.72
176.72
314.16
706.21
www2.austin.cc.tx.us/HongXiao/Book.htm
Weight (grams)
1.32
4.05
9.67
17.87
27.82
52,98
127.62
33
Wafer movement
www2.austin.cc.tx.us/HongXiao/Book.htm
34
Wafer Lapping
Rough polished
conventional, abrasive, slurry-lapping
To remove majority of surface damage
To create a flat surface
www2.austin.cc.tx.us/HongXiao/Book.htm
35
Wet Etch
Remove defects from wafer surface
4:1:3 mixture of HNO3 (79 wt% in H2O),
HF (49 wt% in H2O), and pure CH3COOH.
Chemical reaction:
3 Si + 4 HNO3 + 6 HF 3 H2SiF6 + 4 NO + 8 H2O
www2.austin.cc.tx.us/HongXiao/Book.htm
36
Wafer Holder
Polishing Pad
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
37
914 m
76 m
914 m
After Etch
12.5 m
814 m
<2.5 m
750 m
After CMP
After Lapping
www2.austin.cc.tx.us/HongXiao/Book.htm
38
Epitaxy Grow
Definition
Purposes
Epitaxy Reactors
Epitaxy Process
www2.austin.cc.tx.us/HongXiao/Book.htm
39
Epitaxy: Definition
Greek origin
epi: upon
taxy: orderly, arranged
Epitaxial layer is a single crystal layer on a
single crystal substrate.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
40
Epitaxy: Purpose
Barrier layer for bipolar transistor
Reduce collector resistance while keep high
breakdown voltage.
Only available with epitaxy layer.
www2.austin.cc.tx.us/HongXiao/Book.htm
41
p+
n+
Base
Collector
AlCuSi
SiO2
n+
n-Epi
p+
Electron flow
n+ Buried Layer
P-substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
42
BPSG
n+
n+
P-Well
USG
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
43
SiH4
Dichlorosilane
DCS
SiH2Cl2
Trichlorosilane
TCS
SiHCl3
Tetrachlorosilane
www2.austin.cc.tx.us/HongXiao/Book.htm
SiCl4
44
B2H6
Phosphine
PH3
Arsine
AsH3
www2.austin.cc.tx.us/HongXiao/Book.htm
45
SiH2Cl2
DCS
Si
Epi
2HCl
Hydrochloride
Heat (1100 C)
AsH3
Hong Xiao, Ph. D.
As
www2.austin.cc.tx.us/HongXiao/Book.htm
3/2 H2
46
AsH3
H2
HCl
Si
AsH3
As
www2.austin.cc.tx.us/HongXiao/Book.htm
47
900
800
700
0.5
0.2
0.1
Growth
SiH4
Mass transport
limited
SiHCl 3
0.05
0.02
0.01
0.7
0.8
0.9
1000/T(K)
1.0
www2.austin.cc.tx.us/HongXiao/Book.htm
1.1
48
Barrel Reactor
Radiation
Heating
Coils
Wafers
www2.austin.cc.tx.us/HongXiao/Book.htm
49
Vertical Reactor
Wafers
Heating
Coils
Reactants
Reactants and
byproducts
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
50
Horizontal Reactor
Heating Coils
Wafers
Reactants
Reactants and
byproducts
www2.austin.cc.tx.us/HongXiao/Book.htm
51
www2.austin.cc.tx.us/HongXiao/Book.htm
52
www2.austin.cc.tx.us/HongXiao/Book.htm
53
Heating Lamps
Wafer
Reactants
Reactants &
byproducts
Susceptor
Quartz
Lift
Fingers
www2.austin.cc.tx.us/HongXiao/Book.htm
Quartz
Window
54
www2.austin.cc.tx.us/HongXiao/Book.htm
55
www2.austin.cc.tx.us/HongXiao/Book.htm
56
Properties of Hydrogen
Name
Symbol
Atomic number
Atomic weight
Discoverer
Discovered at
Discovery date
Origin of name
Molar volume
Velocity of sound
Refractive index
Melting point
Boiling point
Thermal conductivity
Hong Xiao, Ph. D.
Hydrogen
H
1
1.00794
Henry Cavendish
England
1766
From the Greek words "hydro" and "genes" meaning
"water" and "generator"
11.42 cm 3
1270 m/sec
1.000132
-258.99 C
-252.72 C
0.1805 W m-1 K-1
www2.austin.cc.tx.us/HongXiao/Book.htm
57
Impurity Particle
Hillock
Epi Layer
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
58
Future Trends
www2.austin.cc.tx.us/HongXiao/Book.htm
59
Summary
Silicon is abundant, cheap and has strong,
stable and easy grown oxide.
<100> and <111>
CZ and floating zone, CZ is more popular
Sawing, edging, lapping, etching and CMP
www2.austin.cc.tx.us/HongXiao/Book.htm
60
Summary
Epitaxy: single crystal on single crystal
Needed for bipolar and high performance
CMOS, DRAM.
Silane, DCS, TCS as silicon precursors
B2H6 as P-type dopant
PH3 and AsH3 as N-type dopants
Batch and single wafer systems
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
61
Chapter 5
Thermal Processes
Hong Xiao, Ph. D.
hxiao89@hotmail.com
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Objective
www2.austin.cc.tx.us/HongXiao/Book.htm
Topics
Introduction
Hardware
Oxidation
Diffusion
Annealing
Post-Implantation
Alloying
Reflow
RTP
RTA
RTP
Future Trends
www2.austin.cc.tx.us/HongXiao/Book.htm
Definition
Thermal processes are the processes operate
at high temperature, which is usually higher
than melting point of aluminum.
They are performed in the front-end of the
semiconductor process, usually in high
temperature furnace commonly called
diffusion furnace.
www2.austin.cc.tx.us/HongXiao/Book.htm
Introduction
Advantages of Silicon
Abundant, cheap
Stable and useful oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
IC Fab
Metallization
CMP
Dielectric
Thin Film
Test
Wafers
Thermal
Processes
Implant
PR strip
Etch
PR strip
Packaging
Masks
Photolithography
Final Test
Design
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Hardware Overview
www2.austin.cc.tx.us/HongXiao/Book.htm
Horizontal Furnace
www2.austin.cc.tx.us/HongXiao/Book.htm
Gas
Deliver
System
Process
Tubes
Loading
System
Control System
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Control System
Computer
Microcontroller
Microcontroller
Microcontroller
Microcontroller
Microcontroller
Process Tube
Interface
Board
Exhaust
Interface
Board
Gas Panel
Interface
Board
Loading
Station
Interface
Board
Vacuum
System
Interface
Board
www2.austin.cc.tx.us/HongXiao/Book.htm
10
To
Process
Tube
Control Valve
Regulator
Gas cylinders
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
11
Source Cabinet
Source Gases
Oxygen
Water Vapor
Nitrogen
Hydrogen
www2.austin.cc.tx.us/HongXiao/Book.htm
12
Oxidation Sources
Dry Oxygen
Water vapor sources
Bubblers
Flash systems
www2.austin.cc.tx.us/HongXiao/Book.htm
13
Diffusion Sources
P-type dopant
B2H6, burnt chocolate, sickly sweet odor
Poisonous, flammable, and explosive
N-type dopants
PH3, rotten fish smell
AsH3, garlic smell
Poisonous, flammable, and explosive
Purge gas
N2
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
14
Deposition Sources
Silicon source for poly and nitride deposition:
Silane, SiH4, pyrophoric, toxic and explosive
DCS, SiH2Cl2, extremely flammable
Purge gas
N2
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
15
Anneal Sources
High purity N2, is used for most anneal
processes.
H2O sometimes used as ambient for PSG or
BPSG reflow.
O2 is used for USG anneal after USG CMP in
STI formation process.
Lower grade N2 is used for idle purge.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
16
Exhaust System
Removal of hazardous gases before release
Poisonous, flammable, explosive and corrosive
gases.
Burn box removes most poisonous, flammable
and explosive gases
Scrubber removes burned oxide and corrosive
gases with water.
Treated gases exhaust to the atmosphere.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
17
To
Exhaust
Process Tube
Wafer Boat
Paddle
www2.austin.cc.tx.us/HongXiao/Book.htm
18
Tower
www2.austin.cc.tx.us/HongXiao/Book.htm
19
Temperature Control
Thermal processes are very sensitive to the
temperature
Precisely temperature control is vital
0.5 C at central zone
0.05% at 1000 C!
www2.austin.cc.tx.us/HongXiao/Book.htm
20
www2.austin.cc.tx.us/HongXiao/Book.htm
21
Reaction Chamber
High-purity Quartz
Stability at high temperature
Basic Cleanliness
Drawback
Fragility
Some metallic ions
Not a sodium barrier
Small flakes at > 1200 C, devitrification
www2.austin.cc.tx.us/HongXiao/Book.htm
22
Horizontal Furnace
Heating Coils
Wafers
Quartz
Tube
Temperature
Gas flow
Center Zone
Flat Zone
Distance
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
23
Heaters
Tower
www2.austin.cc.tx.us/HongXiao/Book.htm
24
Quartz Tube
Electric Fused
Flame Fused
Both of them as trace amount of metals
Flame-fused tubes produced devices have
better characteristics.
www2.austin.cc.tx.us/HongXiao/Book.htm
25
In-situ clean
Plasma generator inside tube
Free fluorine from NF3 etch away contaminant
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
26
Con
Heavier
More expensive
www2.austin.cc.tx.us/HongXiao/Book.htm
27
Temperature Control
Anti-Warp Methods
Ramping
Load wafer slowly at a lower temperature (idle
temperature, ~ 800 C)
Ramp temperature to process point after a short
stabilization period
Slow loading
1 inch/min
thermal capacity of 200 six-inch wafers can
drop temperature as much as 50 C
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
28
Horizontal Furnace
Contain 3 or 4 tubes (reaction chambers)
Separate temperature control system for
each tube
www2.austin.cc.tx.us/HongXiao/Book.htm
29
Horizontal Furnace
Heating Coils
Wafers
Quartz
Tube
Temperature
Gas flow
Center Zone
Flat Zone
Distance
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
30
Furnace
Wafer Clean Station
Wafer Loading Station
Manual wafer loading
Automatic wafer loading
www2.austin.cc.tx.us/HongXiao/Book.htm
31
Vertical Furnaces
Place the process tube in vertical direction
Smaller footprint
Better contamination control
Better wafer handling
Lower maintenance cost and higher uptime
www2.austin.cc.tx.us/HongXiao/Book.htm
32
Wafers
Suscepter
Tower
www2.austin.cc.tx.us/HongXiao/Book.htm
33
Smaller Footprint
Clean room footage becomes very expensive
Small footprint reduces cost of ownership (COO)
www2.austin.cc.tx.us/HongXiao/Book.htm
34
www2.austin.cc.tx.us/HongXiao/Book.htm
35
www2.austin.cc.tx.us/HongXiao/Book.htm
36
Summery of Hardware
Furnaces are commonly used in thermal processes
Furnaces usually consist with control system, gas
delivery system, process tube or chamber, wafer
loading system, and exhaust system.
Vertical furnace is more widely used due to it
smaller footprint, better contamination control,
and lower maintenance.
Precise temperature and its uniformity is vital for
the success of the thermal processes.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
37
Oxidation
www2.austin.cc.tx.us/HongXiao/Book.htm
38
Oxidation
Introduction
Applications
Mechanism
Process
System
RTO
www2.austin.cc.tx.us/HongXiao/Book.htm
39
Introduction
Silicon reacts with oxygen
Stable oxide compound
Widely used in IC manufacturing
Si + O2 SiO2
www2.austin.cc.tx.us/HongXiao/Book.htm
40
Oxidation
Original Silicon Surface
Silicon
Dioxide
O2
O2
O2
O2
O2
O2
O2
O2
O2
O2
O2
O2
O2
Silicon
O2
O2
55%
45%
www2.austin.cc.tx.us/HongXiao/Book.htm
41
Oxygen
O
8
15.9994
Joseph Priestley, Carl Scheele
England, Sweden
1774
From the Greek words "oxy genes" meaning
"acid" (sharp) and "forming" (acid former)
17.36 cm3
317.5 m/sec
1.000271
54.8 K = -218.35 C
90.2 K = -182.95 C
0.02658 W m-1 K-1
Thermal oxidation, oxide CVD, reactive
sputtering and photoresist stripping
O2, H 2 O, N2 O, O3
www2.austin.cc.tx.us/HongXiao/Book.htm
43
Application of Oxidation
Diffusion Masking Layer
Surface Passivation
Screen oxide, pad oxide, barrier oxide
Isolation
Field oxide and LOCOS
Gate oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
44
Diffusion Barrier
Much lower B and P diffusion rates in SiO2
than that in Si
SiO2 can be used as diffusion mask
Dopant
SiO2
SiO2
Si
www2.austin.cc.tx.us/HongXiao/Book.htm
45
Screen Oxide
Sacrificial Oxide
Barrier Oxide
SiO2
Si
www2.austin.cc.tx.us/HongXiao/Book.htm
46
Screen Oxide
Dopant Ions
Photoresist
Photoresist
Si Substrate
Screen Oxide
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
47
USG
Silicon
Barrier Oxide
USG
Trench Fill
Silicon
USG CMP; USG Anneal; Nitride and Pad Oxide Strip
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
48
Silicon Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
49
www2.austin.cc.tx.us/HongXiao/Book.htm
50
Field Oxidation
Field Oxide
Silicon
Oxide Etch
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
51
LOCOS Process
Pad Oxide
Silicon nitride
P-type substrate
Pad oxidation, nitride deposition and patterning
Silicon nitride
p+ P-type substrate
SiO2
p+
LOCOS oxidation
p+ P-type substrate
SiO2
p+
+
p
Isolation Doping
Birds Beak
+
Isolation Doping p
www2.austin.cc.tx.us/HongXiao/Book.htm
52
LOCOS
Compare with blanket field oxide
Better isolation
Lower step height
Less steep sidewall
Disadvantage
rough surface topography
Birds beak
www2.austin.cc.tx.us/HongXiao/Book.htm
53
STI
P-Well
N-Well
Sacrificial Oxidation
USG
STI
P-Well
N-Well
Strip Sacrificial Oxide
Gate Oxide
USG
STI
P-Well
N-Well
Gate Oxidation
www2.austin.cc.tx.us/HongXiao/Book.htm
54
VD > 0
Poly Si
Gate
Thin oxide
n+
Source
Electrons
p-Si
n+
Drain
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
55
Thickness
Application
Time in application
Native
15 - 20
undesirable
Screen
~ 200
Implantation
Mid-70s to present
Masking
~ 5000
Diffusion
1960s to mid-1970s
3000 - 5000
Isolation
1960s to 1990s
Pad
100 - 200
1960s to present
Sacrificial
<1000
Defect removal
1970s to present
Gate
30 - 120
Gate dielectric
1960s to present
Barrier
100 - 200
STI
1980s to present
www2.austin.cc.tx.us/HongXiao/Book.htm
56
www2.austin.cc.tx.us/HongXiao/Book.htm
57
Particulates
Organic residues
Inorganic residues
Native oxide layers
www2.austin.cc.tx.us/HongXiao/Book.htm
58
RCA Clean
Developed by Kern and Puotinen in 1960 at RCA
Most commonly used clean processes in IC fabs
SC-1-- NH4OH:H2O2:H2O with 1:1:5 to 1:2:7 ratio
at 70 to 80 C to remove organic contaminants.
SC-2-- HCl:H2O2:H2Owith 1:1:6 to 1:2:8 ratio at
70 to 80 C to remove inorganic contaminates.
DI water rinse
HF dip or HF vapor etch to remove native oxide.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
59
www2.austin.cc.tx.us/HongXiao/Book.htm
60
www2.austin.cc.tx.us/HongXiao/Book.htm
61
www2.austin.cc.tx.us/HongXiao/Book.htm
62
www2.austin.cc.tx.us/HongXiao/Book.htm
63
Oxidation Mechanism
Si + O2
SiO2
Oxygen comes from gas
Silicon comes from substrate
Oxygen diffuse cross existing silicon
dioxide layer and react with silicon
The thicker of the film, the lower of the
growth rate
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
64
Oxide Thickness
www2.austin.cc.tx.us/HongXiao/Book.htm
65
1.0
1200 C
1150 C
0.8
1100 C
0.6
1050 C
0.4
1000 C
950 C
0.2
900 C
0
Hong Xiao, Ph. D.
6 8 10 12 14 16
Oxidation Time (hours)
www2.austin.cc.tx.us/HongXiao/Book.htm
18
20
66
www2.austin.cc.tx.us/HongXiao/Book.htm
67
3.0
1150 C
1100 C
1050 C
2.5
1000 C
2.0
950 C
1.5
900 C
1.0
0.5
0
6 8 10 12 14 16
Oxidation Time (hours)
www2.austin.cc.tx.us/HongXiao/Book.htm
18
20
68
Oxidation Rate
Temperature
Chemistry, wet or dry oxidation
Thickness
Pressure
Wafer orientation (<100> vs. <111>)
Silicon dopant
www2.austin.cc.tx.us/HongXiao/Book.htm
69
Oxidation Rate
Temperature
Oxidation rate is very sensitive
(exponentially related) to temperature
Higher temperature will have much higher
oxidation rate.
The higher of temperature is, the higher of
the chemical reaction rate between oxygen
and silicon is and the higher diffusion rate
of oxygen in silicon dioxide is.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
70
Oxidation Rate
Wafer Orientation
<111> surface has higher oxidation rate
than <100> surface.
More silicon atoms on the surface.
www2.austin.cc.tx.us/HongXiao/Book.htm
71
<111> Orientation
1200 C
95 C Water
1100 C
1000 C
920 C
1
2
3
Oxidation Time (hours)
www2.austin.cc.tx.us/HongXiao/Book.htm
4
72
Oxidation Rate
Dopant Concentration
Dopant elements and concentration
Highly phosphorus doped silicon has higher
growth rate, less dense film and etch faster.
Generally highly doped region has higher
grow rate than lightly doped region.
More pronounced in the linear stage (thin
oxides) of oxidation.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
73
Oxidation: Dopants
Pile-up and Depletion Effects
N-type dopants (P, As, Sb) have higher
solubility in Si than in SiO2, when SiO2
grow they move into silicon, it is call
pile-up or snowplow effect.
Boron tends to go to SiO2, it is called
depletion effect.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
74
Original Si Surface
Original Distribution
SiO2
Si
Si-SiO2 interface
Dopant Concentration
Dopant Concentration
Si-SiO2 interface
SiO2
Si
www2.austin.cc.tx.us/HongXiao/Book.htm
75
Oxidation Rate
Doped oxidation (HCl)
HCl is used to reduce mobile ion contamination.
Widely used for gate oxidation process.
Growth rate can increase from 1 to 5 percent.
www2.austin.cc.tx.us/HongXiao/Book.htm
76
Oxidation Rate
Differential Oxidation
The thicker of the oxide film is, the slower of
the oxidation rate is.
Oxygen need more time to diffuse cross the
existing oxide layer to react with substrate
silicon.
www2.austin.cc.tx.us/HongXiao/Book.htm
77
Pre-oxidation Clean
www2.austin.cc.tx.us/HongXiao/Book.htm
78
Oxidation Process
Dry Oxidation, thin oxide
Gate oxide
Pad oxide, screen oxide, sacrificial oxide, etc.
www2.austin.cc.tx.us/HongXiao/Book.htm
79
MFC
MFC
Purge N2
Control Valves
O2
Process N2
HCl
MFC
Regulator
www2.austin.cc.tx.us/HongXiao/Book.htm
80
Dry Oxidation
Dry O2 as the main process gas
HCl is used to remove mobile ions for
gate oxidation
High purity N2 as process purge gas
Lower grade N2 as idle purge gas
www2.austin.cc.tx.us/HongXiao/Book.htm
81
www2.austin.cc.tx.us/HongXiao/Book.htm
82
Dangling
Bond
SiO2
+
Si-SiO2
Interface
+
Si
www2.austin.cc.tx.us/HongXiao/Book.htm
83
www2.austin.cc.tx.us/HongXiao/Book.htm
84
Temperature
Film Thickness
Oxidation Time
Dry oxidation
1000 C
1000
~ 2 hours
Wet oxidation
1000 C
1000
~ 12 minutes
www2.austin.cc.tx.us/HongXiao/Book.htm
85
Boiler
Bubbler
Flush
Pyrogenic
www2.austin.cc.tx.us/HongXiao/Book.htm
86
Boiler System
Heated Gas line
MFC
Process
Tube
Exhaust
Vapor Bubbles
Water
Heater
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
87
Bubbler System
N2
MFC
N2 + H2O
Process
Tube
Exhaust
N2 Bubbles
Heater
www2.austin.cc.tx.us/HongXiao/Book.htm
88
Flush System
Water
Hot Plate
N2
Process
Tube
MFC
Heater
www2.austin.cc.tx.us/HongXiao/Book.htm
89
H2
Process Tube
Thermal Couple
Hong Xiao, Ph. D.
Wafer Boat
Paddle
www2.austin.cc.tx.us/HongXiao/Book.htm
90
Pyrogenic System
Advantage
All gas system
Precisely control of flow rate
Disadvantage
Introducing of flammable, explosive hydrogen
www2.austin.cc.tx.us/HongXiao/Book.htm
91
MFC
MFC
MFC
Wafers
Burn Box
Purge N2
Control Valves
O2
Process N2
H2
MFC
Regulator
Scrubbier
Exhaust
www2.austin.cc.tx.us/HongXiao/Book.htm
92
www2.austin.cc.tx.us/HongXiao/Book.htm
93
www2.austin.cc.tx.us/HongXiao/Book.htm
94
www2.austin.cc.tx.us/HongXiao/Book.htm
95
Ramp
up 1& 2
RTO
RTA
O2 flow
Cool
down
Unload
wafer
Temperature
HCl flow
N2 flow
Time
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
96
www2.austin.cc.tx.us/HongXiao/Book.htm
97
www2.austin.cc.tx.us/HongXiao/Book.htm
98
1000 C
Pressure
Time
1 atmosphere
5 hours
5 atmosphere
1 hour
25 atmosphere
12 minutes
www2.austin.cc.tx.us/HongXiao/Book.htm
99
5 hours
Pressure
Temperature
1 atmosphere
1000 C
10 atmosphere
700 C
www2.austin.cc.tx.us/HongXiao/Book.htm
100
www2.austin.cc.tx.us/HongXiao/Book.htm
101
Oxide Measurement
Thickness
Uniformity
Color chart
Ellipsometry
Reflectometry
Hong Xiao, Ph. D.
Gate oxide
Break down
voltage
C-V
characteristics
www2.austin.cc.tx.us/HongXiao/Book.htm
102
Ellipsometry
Elliptically Polarized
Reflected Light
Linearly Polarized Incident Light
p
s
n1, k 1, t1
n2, k 2
www2.austin.cc.tx.us/HongXiao/Book.htm
103
Reflectometry
Human eye or
photodetector
Incident light
1
2
www2.austin.cc.tx.us/HongXiao/Book.htm
104
Oxide
Capacitor
Meter
Aluminum
Silicon
Metal Platform
Heater
Heater
www2.austin.cc.tx.us/HongXiao/Book.htm
105
Summary of Oxidation
Oxidation of silicon
High stability and relatively easy to get.
Application
Isolation, masking, pad, barrier, gate, and etc.
www2.austin.cc.tx.us/HongXiao/Book.htm
106
Diffusion
www2.austin.cc.tx.us/HongXiao/Book.htm
107
Diffusion
Most common physics phenomena
Materials disperse from higher concentration
to lower concentration region
Silicon dioxide as diffusion mask
Was widely used for semiconductor doping
Diffusion Furnace and Diffusion Bay
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
108
Dopant
Silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
109
Dopant
Junction Depth
Silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
110
www2.austin.cc.tx.us/HongXiao/Book.htm
111
Diffusion
Masking Oxide
N-Silicon
Masking Oxide
p+
p+
N-Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
112
Diffusion
Replaced by ion implantation due to the less
process control
Still being used in drive-in for well formation
www2.austin.cc.tx.us/HongXiao/Book.htm
113
Thermal Budget
Dopant atoms diffuse fast at high temperature
D = D0 exp (EA/kT)
Smaller device geometry, less room for dopant
thermal diffusion, less thermal budget
Thermal budget determines the time and
temperature of the post-implantation thermal
processes
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
114
As S/D Implantation
www2.austin.cc.tx.us/HongXiao/Book.htm
115
Thermal Budget
1100 1000
900
1 m
0.5 m
2 m
1000
Thermal Budget (sec)
T (C)
800
Source: Chang
and Sze, ULSI
Technology
0.25 m
100
10
7
Hong Xiao, Ph. D.
10
104/T (K)
www2.austin.cc.tx.us/HongXiao/Book.htm
116
www2.austin.cc.tx.us/HongXiao/Book.htm
117
Drive-in
www2.austin.cc.tx.us/HongXiao/Book.htm
118
www2.austin.cc.tx.us/HongXiao/Book.htm
119
Drive-in
Phosphorus diffuses into silicon substrate
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
120
MFC
MFC
MFC
Wafers
Burn Box
Purge N 2
Control Valves
O2
Process N2
POCl 3
MFC
Regulator
Scrubbier
Exhaust
www2.austin.cc.tx.us/HongXiao/Book.htm
121
Wafer Clean
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
122
Oxidation
SiO2
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
123
PR
SiO2
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
124
PR
SiO2
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
125
Strip Photoresist
SiO2
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
126
Wafer Clean
SiO2
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
127
www2.austin.cc.tx.us/HongXiao/Book.htm
128
Cap Oxidation
SiO2
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
129
Temp
Ramp
Temp.
Stab.
Dopant Deposition
Cap
Oxide
N2
Vent
Ramp Pull
Down
Temperature
N2 Flow
POCl3 Flow
O2 Flow
Wafer
Position
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
130
Drive-in
SiO2
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
131
SiO2
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
132
Phosphorus Drive-in
Push
Stab.
Temp
Ramp
Temp.
Stab.
Drive-in
Ramp
Down
Pull
Temperature
N2 Flow
O2 Flow
Wafer
Position
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
133
www2.austin.cc.tx.us/HongXiao/Book.htm
134
www2.austin.cc.tx.us/HongXiao/Book.htm
135
P-Epi
Hong Xiao, Ph. D.
N-Well
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
136
www2.austin.cc.tx.us/HongXiao/Book.htm
137
Surface Clean
Silicide
Sidewall Spacer
Sidewall Spacer
STI
STI
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
138
BSG CVD
Silicide
Sidewall Spacer
Sidewall Spacer
Boro-Silicate Glass
STI
STI
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
139
Silicide
Gate Oxide
Boro-Silicate Glass
STI
STI
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
140
Strip BSG
Polysilicon
Silicide
Gate Oxide
STI
STI
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
141
Doping Measurement
Four-point probe
Rs = /t
www2.austin.cc.tx.us/HongXiao/Book.htm
142
P2
S1
P3
S2
P4
S3
Doped Region
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
143
Summary of Diffusion
Physics of diffusion is well understood
Diffusion was widely used in doping
processes in early IC manufacturing
Replaced by ion implantation since the mid1970s
www2.austin.cc.tx.us/HongXiao/Book.htm
144
www2.austin.cc.tx.us/HongXiao/Book.htm
145
Post-implantation Annealing
Energetic ions damage crystal structure
Amorphous silicon has high resistivity
Need external energy such as heat for atoms
to recover single crystal structure
Only in single crystal structure dopants can
be activated
www2.austin.cc.tx.us/HongXiao/Book.htm
146
Post-implantation Annealing
Single crystal structure has lowest potential
energy
Atoms tend to stop on lattice grid
Heat can provide energy to atoms for fast
thermal motion
Atoms will find and settle at the lattice grid
where has the lowest potential energy position
Higher temperature, faster annealing
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
147
Lattice Atoms
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
148
Lattice Atoms
Hong Xiao, Ph. D.
Dopant Atom
www2.austin.cc.tx.us/HongXiao/Book.htm
149
Thermal Annealing
Lattice Atoms
Hong Xiao, Ph. D.
Dopant Atom
www2.austin.cc.tx.us/HongXiao/Book.htm
150
Thermal Annealing
Lattice Atoms
Hong Xiao, Ph. D.
Dopant Atoms
www2.austin.cc.tx.us/HongXiao/Book.htm
151
Annoy Annealing
A thermal process in which different atoms
chemically bond with each other to form a
metal alloy.
Widely used in silicide formation
Self aligned silicide (salicide)
Titanium silicide, TiSi2
Cobalt silicide, CoSi2
www2.austin.cc.tx.us/HongXiao/Book.htm
152
Silicide
www2.austin.cc.tx.us/HongXiao/Book.htm
153
www2.austin.cc.tx.us/HongXiao/Book.htm
154
STI
n+
USG
p+
p+
Ti Deposition
Titanium Silicide
STI
n+
n+
USG
USG
p+
p+
Annealing
Sidewall Spacer
STI
n+
Titanium Silicide
n+
USG
USG
p+
p+
Ti Strip
www2.austin.cc.tx.us/HongXiao/Book.htm
155
Aluminum-silicon Alloy
Form on silicon surface
Prevent junction spiking due to silicon
dissolving in aluminum
www2.austin.cc.tx.us/HongXiao/Book.htm
156
Junction Spike
Al
SiO2
Al
p+
Al
p+
n-type Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
157
Reflow
www2.austin.cc.tx.us/HongXiao/Book.htm
158
n+
n+
PSG
SiO2
LOCOS
p+
p+
p+
N-well
P-type substrate
After
Reflow p+
n+
n+
PSG
SiO2
LOCOS
p+
p+
p+
N-well
P-type substrate
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
159
Reflow
Undoped silicate glass (USG) becomes soften
at very high temperature T > 1500 C, will flow
due to the surface tension
PSG and BPSG become soften at significant
lower temperature (< 1100 C down to 850 C)
Phosphorus also can trap sodium
PSG and BPSG is commonly used as pre-metal
dielectric (PMD)
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
160
Reflow Process
Wafer loading
Temperature rump-up
Temperature stabilization
Reflow
Temperature rump-down
Wafer unloading
www2.austin.cc.tx.us/HongXiao/Book.htm
161
Reflow Process
Reflow usually used N2 ambient
Sometimes H2O vapor is also used
H2O helps to filly oxidize dopant atoms
www2.austin.cc.tx.us/HongXiao/Book.htm
162
Reflow Process
Smaller device, less thermal budget
No enough thermal budget for reflow
for sub-0.25 m devices
PSG anneal (~750 C) instead of reflow
www2.austin.cc.tx.us/HongXiao/Book.htm
163
Summary of Anneal
The most commonly used anneal processes are
post-implantation annealing, alloy annealing and
reflow
Thermal anneal is required after ion implantation
for recover crystal structure and activation
dopant atoms
Thermal anneal helps metal to react with silicon
to form silicides
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
164
Summary of Anneal
Metal anneal helps to form larger grain size and
reduces the resistivity
PSG or BPSG reflow smoothens and flattens the
dielectric surface and helps photolithography
and metallization processes
RTP becomes more commonly used in annealing
processes
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
165
Summary of Anneal
Advantages of RTP
www2.austin.cc.tx.us/HongXiao/Book.htm
166
High Temperature
Deposition Processes
www2.austin.cc.tx.us/HongXiao/Book.htm
167
What is CVD
Chemical Vapor Deposition
Gas(es) or vapor(s) chemically react on
substrate surface and form solid byproduct on
the surface as deposited thin film.
Other byproducts are gases and leave the
surface.
Widely used in IC processing for metal,
dielectric and silicon thin film deposition.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
168
www2.austin.cc.tx.us/HongXiao/Book.htm
169
Epitaxy
Monocrystralline layer
Epitaxy silicon
Epitaxy silicon-germanium
Epitaxy GaAs
www2.austin.cc.tx.us/HongXiao/Book.htm
170
Epitaxy Silicon
Provide high quality silicon substrate without
trace amount of oxygen and carbon.
Required for bipolar devices.
Needed for high performance CMOS devices.
www2.austin.cc.tx.us/HongXiao/Book.htm
171
Epitaxy Silicon
High temperature (~1000 C) processes.
Silane (SiH4), DCS (SiH2Cl2) or TCS
(SiHCl3) as silicon source gases.
Hydrogen as process gas and purge gas
Arsine (AsH3), Phosphine (PH3), and
Diborane (B2H6) are used as dopant gases.
www2.austin.cc.tx.us/HongXiao/Book.htm
172
SiH4
Silane
Si + H2
Epi-Si
Hydrogen
DCS process
Heat (1150 C)
SiH2Cl2
Silane
Si + 2HCl
Epi-Si
www2.austin.cc.tx.us/HongXiao/Book.htm
Hydrochloride
173
AsH3
Arsine
As + 3/2 H2
As
Hydrogen
Heat (1000 C)
PH3
Arsine
P + 3/2 H2
Phosphorus
www2.austin.cc.tx.us/HongXiao/Book.htm
Hydrogen
174
B2H6
Diborane
2 B + 3 H2
Boron
www2.austin.cc.tx.us/HongXiao/Book.htm
Hydrogen
175
Epitaxy Silicon
Usually deposited (grown) by wafer
manufacturer instead by IC fab.
In fab epi process: special needs such as
usually dopant concentration and epi
thickness.
Selective epi for raised source/drain.
Single wafer epitaxy process.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
176
Polysilicon
High temperature stability.
Reasonable good conductivity.
Widely used for the gate and local
interconnection in MOS devices.
Also widely used as the capacitor electrodes
in memory devices, especially DRAM.
www2.austin.cc.tx.us/HongXiao/Book.htm
177
Ta2O5 or BST
Poly 4
Poly 3
TiSi2
Poly 1
n+
n+
p-Silicon
Sidewall
Spacer
Poly 2
n+
www2.austin.cc.tx.us/HongXiao/Book.htm
178
Polysilicon
High temperature (~700 C) furnace
LPCVD processes.
Silane (SiH4) or DCS (SiH2Cl2) as silicon
source gases.
Nitrogen as purge gas
Arsine (AsH3), Phosphine (PH3), and
Diborane (B2H6) are used as dopant gases.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
179
Polysilicon Deposition
Silane process
Heat (750 C)
SiH4
Silane
Si + H2
Poly-Si
Hydrogen
DCS process
Heat (750 C)
SiH2Cl2
Silane
Si + 2HCl
Poly-Si
www2.austin.cc.tx.us/HongXiao/Book.htm
Hydrochlride
180
Polysilicon Doping
N-type Dopant
Heat (750 C)
AsH3
Arsine
As + 3/2 H2
As
Hydrogen
Heat (750 C)
PH3
Phosphine
P + 3/2 H2
Phosphorus
www2.austin.cc.tx.us/HongXiao/Book.htm
Hydrogen
181
Polysilicon Doping
P-type Dopant
Heat (750 C)
B2H6
Diborane
2 B + 3 H2
Boron
www2.austin.cc.tx.us/HongXiao/Book.htm
Hydrogen
182
Temperature Relationship of
Silane Process
www2.austin.cc.tx.us/HongXiao/Book.htm
183
T<550 C
Amorphous Si
www2.austin.cc.tx.us/HongXiao/Book.htm
T > 900 C
Single Crystal Si
184
MFC
MFC
MFC
Wafers
Burn Box
Purge N2
Process N2
SiH4
Control Valves
Regulator
Scrubbier
Exhaust
www2.austin.cc.tx.us/HongXiao/Book.htm
185
www2.austin.cc.tx.us/HongXiao/Book.htm
186
Si 3N4
Dep.
Pump N2
Down Vent
Lower Unload
Tower Wafer
Wafer
Temperature
N2 Flow
Silane Flow
Chamber
Pressure
Wafer Tower
Position
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
187
WSi x
Deposition
Chamber
WSi x
Deposition
Chamber
Wafer
Transfer
Robot
Cooldown
Chamber
Wafer Loading
Stations
www2.austin.cc.tx.us/HongXiao/Book.htm
188
RTA Chamber
Poly Si
Deposition
Chamber
Wafer Transfer
Robot
Cool down
Chamber
Wafer Loading
Stations
www2.austin.cc.tx.us/HongXiao/Book.htm
189
Silicon Nitride
Dense material
Widely used as diffusion barrier layer and
passivation layer
LPCVD (front-end) and PECVD (back-end)
LPCVD nitride usually is deposited in a furnace
www2.austin.cc.tx.us/HongXiao/Book.htm
190
www2.austin.cc.tx.us/HongXiao/Book.htm
191
LOCOS Process
Pad Oxide
Silicon nitride
P-type substrate
Pad oxidation, nitride deposition and patterning
Silicon nitride
p+ P-type substrate
SiO2
p+
LOCOS oxidation
p+ P-type substrate
SiO2
p+
+
Isolation Doping p
Birds Beak
+
Isolation Doping p
www2.austin.cc.tx.us/HongXiao/Book.htm
192
STI Process
Nitride
Pad Oxide
Nitride
Pad Oxide
Photoresist
Photoresist
Silicon
Strip Photoresist
Nitride
Pad Oxide
Silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
193
STI Process
Trench Trench on Silicon
Nitride
Pad Oxide
Silicon
USG
Silicon
Barrier Oxide
USG
Silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
194
Photoresist
BPSG
Sidewall
Spacer
TiSi2
Nitride
n+
BPSG
n+
p-Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
n+
Poly
Gate
195
Nitride Breakthrough
Photoresist
Photoresist
BPSG
BPSG
Sidewall
Spacer
Oxide
TiSi2
Nitride
n+
n+
p-Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
n+
Poly
Gate
196
Strip Photoresist
BPSG
BPSG
Sidewall
Spacer
Oxide
TiSi2
Nitride
n+
n+
p-Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
n+
Poly
Gate
197
BPSG
BPSG
Sidewall
Spacer
Oxide
TiSi2
Nitride
n+
n+
p-Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
n+
Poly
Gate
198
BPSG
BPSG
Sidewall
Spacer
Oxide
TiSi2
Nitride
n+
n+
p-Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
n+
Poly
Gate
199
M2
Cu
FSG
FSG
M1
IMD Etch
Stop Nitride
Cu
FSG
FSG
PMD
Barrier
Nitride
PSG
W
W
PSG
STI
n+
n+
P-Well
Sidewall
Spacer
USG
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
200
www2.austin.cc.tx.us/HongXiao/Book.htm
201
Process Chamber
Wafers
Tower
MFC
MFC
MFC
Purge N2
NH 3
Process N 2
SiH2Cl 2
MFC
Control Valves
Pump
Burn Box
Scrubbier
Regulator
www2.austin.cc.tx.us/HongXiao/Book.htm
Exhaust
202
Si 3N4
Dep.
Pump N2
Down Vent
Lower Unload
Tower Wafer
Wafer
Temperature
N2 Flow
NH3 Flow
DCS Flow
Chamber
Pressure
Wafer Tower
Position
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
203
www2.austin.cc.tx.us/HongXiao/Book.htm
204
www2.austin.cc.tx.us/HongXiao/Book.htm
205
www2.austin.cc.tx.us/HongXiao/Book.htm
206
www2.austin.cc.tx.us/HongXiao/Book.htm
207
www2.austin.cc.tx.us/HongXiao/Book.htm
208
External Chamber
Quartz
Chamber
Process
Gases
Tungsten-Halogen Lamp
IR Pyrometer
www2.austin.cc.tx.us/HongXiao/Book.htm
209
Lamp Array
Top
Lamps
Bottom
Lamps
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
210
RTP Chamber
Photo courtesy of
Applied Materials, Inc
www2.austin.cc.tx.us/HongXiao/Book.htm
211
www2.austin.cc.tx.us/HongXiao/Book.htm
212
www2.austin.cc.tx.us/HongXiao/Book.htm
213
Diffusion Rate
Temperature
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
214
Gate
RTA
Furnace anneal
www2.austin.cc.tx.us/HongXiao/Book.htm
215
www2.austin.cc.tx.us/HongXiao/Book.htm
216
Cool down
Temperature
Load
wafer
Unload
wafer
N2 Flow
Time
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
217
Thermal Nitridization
Titanium PVD
Thermal nitridization with NH3
NH3 + Ti TiN + 3/2 H2
www2.austin.cc.tx.us/HongXiao/Book.htm
218
Titanium Nitridization
Ti
SiO2
Ti
TiN
SiO2
www2.austin.cc.tx.us/HongXiao/Book.htm
219
RTO Process
Ultra thin silicon dioxide layer < 30
Better WTW uniformity
Better thermal budget control
www2.austin.cc.tx.us/HongXiao/Book.htm
220
Ramp
up 1& 2
RTO
RTA
O2 flow
Cool
down
Unload
wafer
Temperature
HCl flow
N2 flow
Time
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
221
Future Tends
Rapid thermal process (RTP)
In-situ process monitoring
Cluster tools
Furnace will still be used
www2.austin.cc.tx.us/HongXiao/Book.htm
222
RTCVD Chamber
Lamp Housing
Heating Lamps
Wafer
Reactants
Reactants &
byproducts
Water Cooled
Chamber Wall
Hong Xiao, Ph. D.
Quartz
Window
www2.austin.cc.tx.us/HongXiao/Book.htm
IR Pyrometer
223
Temperature
Room
Temp.
Hong Xiao, Ph. D.
Furnace
RTP
Time
www2.austin.cc.tx.us/HongXiao/Book.htm
224
Cluster Tool
RTCVD
-Si
RTO/RTP
HF Vapor
Clean
Transfer
Chamber
Loading Station
Hong Xiao, Ph. D.
Cool
down
Unloading Station
www2.austin.cc.tx.us/HongXiao/Book.htm
225
Summary of RTP
Fast
Better process control
Thermal budget
Wafer to wafer uniformity
www2.austin.cc.tx.us/HongXiao/Book.htm
226
www2.austin.cc.tx.us/HongXiao/Book.htm
227
Chapter 6
Photolithography
Hong Xiao, Ph. D.
hxiao89@hotmail.com
www2.austin.cc.tx.us/HongXiao/Book.htm
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Objectives
www2.austin.cc.tx.us/HongXiao/Book.htm
Introduction
Photolithography
Temporarily coat photoresist on wafer
Transfers designed pattern to photoresist
Most important process in IC fabrication
40 to 50% total wafer process time
Determines the minimum feature size
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Applications of Photolithography
Main application: IC patterning process
Other applications: Printed electronic board,
nameplate, printer plate, and et al.
www2.austin.cc.tx.us/HongXiao/Book.htm
IC Fabrication
e-Beam or Photo
EDA
Mask or
Reticle
Ion Implant
PR
Chip
Etch
Photolithography
EDA: Electronic Design Automation
PR: Photoresist
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
IC Processing Flow
Materials
IC Fab
Metallization
CMP
Dielectric
deposition
Test
Wafers
Thermal
Processes
Implant
PR strip
Etch
PR strip
Packaging
Masks
Photolithography
Final Test
www2.austin.cc.tx.us/HongXiao/Book.htm
IC Design
Hong Xiao, Ph. D.
Photolithography Requirements
High Resolution
High PR Sensitivity
Precision Alignment
Precise Process Parameters Control
Low Defect Density
www2.austin.cc.tx.us/HongXiao/Book.htm
Photoresist
Photo sensitive material
Temporarily coated on wafer surface
Transfer design image on it through
exposure
Very similar to the photo sensitive
coating on the film for camera
www2.austin.cc.tx.us/HongXiao/Book.htm
Photoresist
Negative Photoresist
Positive Photoresist
Becomes insoluble
after exposure
Becomes soluble
after exposure
When developed,
the unexposed parts
dissolved.
When developed,
the exposed parts
dissolved
Cheaper
Better resolution
www2.austin.cc.tx.us/HongXiao/Book.htm
Mask/reticle
Photoresist
Substrate
Negative
Photoresist
Substrate
Positive
Photoresist
Exposure
After
Development
Substrate
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
10
Photoresist Chemistry
www2.austin.cc.tx.us/HongXiao/Book.htm
11
Photoresist Composition
Polymer
Solvents
Sensitizers
Additives
www2.austin.cc.tx.us/HongXiao/Book.htm
12
Polymer
Solid organic material
Transfers designed pattern to wafer surface
Changes solubility due to photochemical
reaction when exposed to UV light.
Positive PR: from insoluble to soluble
Negative PR: from soluble to insoluble
www2.austin.cc.tx.us/HongXiao/Book.htm
13
Solvent
Dissolves polymers into liquid
Allow application of thin PR layers by spinning.
www2.austin.cc.tx.us/HongXiao/Book.htm
14
Sensitizers
Controls and/or modifies photochemical
reaction of resist during exposure.
Determines exposure time and intensity
www2.austin.cc.tx.us/HongXiao/Book.htm
15
Additives
Various added chemical to achieve desired
process results, such as dyes to reduce
reflection.
www2.austin.cc.tx.us/HongXiao/Book.htm
16
Negative Resist
Most negative PR are polyisoprene type
Exposed PR becomes cross-linked polymer
Cross-linked polymer has higher chemical
etch resistance.
Unexposed part will be dissolved in
development solution.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
17
Negative Photoresist
Mask
Negative
Photoresist
Expose
Development
www2.austin.cc.tx.us/HongXiao/Book.htm
18
Negative Photoresist
Disadvantages
Polymer absorbs the development solvent
Poor resolution due to PR swelling
Environmental and safety issues due to the
main solvents xylene.
www2.austin.cc.tx.us/HongXiao/Book.htm
19
Comparison of Photoresists
PR
+ PR
Film
Film
Substrate
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
20
Positive Photoresist
www2.austin.cc.tx.us/HongXiao/Book.htm
21
Positive Photoresist
www2.austin.cc.tx.us/HongXiao/Book.htm
22
Question
Positive photoresist can achieve much higher
resolution than negative photoresist, why
didnt people use it before the 1980s?
Positive photoresist is much more expensive
therefore negative photoresist was used until
it had to be replaced when the minimum
feature size was shrunk to smaller than 3 m.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
23
www2.austin.cc.tx.us/HongXiao/Book.htm
24
www2.austin.cc.tx.us/HongXiao/Book.htm
25
After PEB
Heat
Exposed PR
+ H+
Protecting Groups
+ H+
Protecting Groups
www2.austin.cc.tx.us/HongXiao/Book.htm
26
Requirement of Photoresist
High resolution
Thinner PR film has higher the resolution
Thinner PR film, the lower the etching and ion
implantation resistance
www2.austin.cc.tx.us/HongXiao/Book.htm
27
www2.austin.cc.tx.us/HongXiao/Book.htm
28
Resolution
Adhesion
Expose rate, Sensitivity and Exposure Source
Process latitude
Pinholes
Particle and Contamination Levels
Step Coverage
Thermal Flow
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
29
Resolution Capability
The smallest opening or space that can
produced in a photoresist layer.
Related to particular processes including expose
source and developing process.
Thinner layer has better resolution.
Etch and implantation barrier and pinhole-free
require thicker layer
Positive resist has better resolution due to the
smaller size of polymer.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
30
Photoresist Characteristics
Summary
Parameter
Negative
Positive
Polymer
Polyisoprene
Novolac Resin
Photo-reaction
Polymerization
Photo-solubilization
Changes film
to base soluble
Additives
www2.austin.cc.tx.us/HongXiao/Book.htm
Sensitizer
Dyes
31
Photolithography Process
www2.austin.cc.tx.us/HongXiao/Book.htm
32
www2.austin.cc.tx.us/HongXiao/Book.htm
33
Wafer clean
Dehydration bake
Spin coating primer and PR
Soft bake
Alignment and exposure
Development
Pattern inspection
Hard bake
www2.austin.cc.tx.us/HongXiao/Book.htm
PR coating
Development
34
Trackstepper
integrated
system
Wafer clean
Pre-bake and primer coating
PR coating
Photoresist spin coating
Soft bake
Alignment and exposure
Post exposure bake
Development
Development
Hard bake
Pattern inspection
www2.austin.cc.tx.us/HongXiao/Book.htm
35
Figure 6.5
Previous
Process
Clean
Surface
preparation
PR coating
Soft bake
Hard bake
Development
PEB
Alignment
&
Exposure
Track system
Photo cell
Rejected
Inspection
Strip
PR
Photo Bay
Approved
Etch
Hong Xiao, Ph. D.
Ion
Implant
www2.austin.cc.tx.us/HongXiao/Book.htm
36
Wafer Clean
Gate Oxide
Polysilicon
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
37
Polysilicon
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
38
Photoresist Coating
Primer
Photoresist
Polysilicon
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
39
Soft Bake
Photoresist
Polysilicon
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
40
Photoresist
Polysilicon
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
41
Photoresist
Polysilicon
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
42
Photoresist
Polysilicon
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
43
Development
PR
Polysilicon
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
44
Hard Bake
PR
Polysilicon
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
45
Pattern Inspection
PR
Polysilicon
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
46
Wafer Clean
Remove contaminants
Remove particulate
Reduce pinholes and other defects
Improve photoresist adhesion
Basic steps
Chemical clean
Rinse
Dry
www2.austin.cc.tx.us/HongXiao/Book.htm
47
www2.austin.cc.tx.us/HongXiao/Book.htm
48
Chemical Clean
Hong Xiao, Ph. D.
Rinse
www2.austin.cc.tx.us/HongXiao/Book.htm
Dry
49
Dehydration bake
Remove moisture from wafer surface
Promote adhesion between PR and surface
Usually around 100 C
Integration with primer coating
www2.austin.cc.tx.us/HongXiao/Book.htm
50
www2.austin.cc.tx.us/HongXiao/Book.htm
51
Wafer
Hot Plate
Dehydration Bake
Hong Xiao, Ph. D.
Primer Layer
Wafer
HMDS
Vapor
Hot Plate
www2.austin.cc.tx.us/HongXiao/Book.htm
52
Wafer Cooling
Wafer need to cool down
Water-cooled chill plate
Temperature can affect PR viscosity
Affect PR spin coating thickness
www2.austin.cc.tx.us/HongXiao/Book.htm
53
Spin Coating
Wafer sit on a vacuum chuck
Rotate at high speed
Liquid photoresist applied at center of
wafer
Photoresist spread by centrifugal force
Evenly coat on wafer surface
www2.austin.cc.tx.us/HongXiao/Book.htm
54
Viscosity
Fluids stick on the solid surface
Affect PR thickness in spin coating
Related to PR type and temperature
Need high spin rate for uniform coating
www2.austin.cc.tx.us/HongXiao/Book.htm
55
Thickness (mm)
3.0
2.5
2.0
1.5
1.0
0.5
0
50 cst
27 cst
20 cst
10 cst
5 cst
2k
3k
4k
5k
6k 7k
www2.austin.cc.tx.us/HongXiao/Book.htm
56
nipS
Time
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
57
PR Spin Coater
Photoresist spread on spinning wafer surface
Wafer held on a vacuum chuck
Slow spin ~ 500 rpm
Ramp up to ~ 3000 - 7000 rpm
www2.austin.cc.tx.us/HongXiao/Book.htm
58
Spin Coater
Automatic wafer loading system from
robot of track system
Vacuum chuck to hold wafer
Resist containment and drain
Exhaust features
Controllable spin motor
Dispenser and dispenser pump
Edge bead removal
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
59
Wafer
EBR
Water
Sleeve
Chuck
Drain
Exhaust
Vacuum
www2.austin.cc.tx.us/HongXiao/Book.htm
60
Photoresist Applying
PR dispenser
nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
61
PR suck back
PR dispenser
nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
62
PR suck back
PR dispenser
nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
63
PR suck back
PR dispenser
nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
64
PR suck back
PR dispenser
nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
65
PR suck back
PR dispenser
nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
66
PR suck back
PR dispenser
nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
67
PR suck back
PR dispenser
nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
68
PR suck back
PR dispenser
nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
69
PR suck back
PR dispenser
nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
70
PR suck back
PR dispenser
nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
71
www2.austin.cc.tx.us/HongXiao/Book.htm
72
Solvent
Wafer
Chuck
Spindle
To vacuum
pump
www2.austin.cc.tx.us/HongXiao/Book.htm
73
Solvent
Wafer
Chuck
Spindle
To vacuum
pump
www2.austin.cc.tx.us/HongXiao/Book.htm
74
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
75
www2.austin.cc.tx.us/HongXiao/Book.htm
76
Chuck
Spindle
www2.austin.cc.tx.us/HongXiao/Book.htm
77
Patterned photoresist
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
78
Soft Bake
Evaporating most of solvents in PR
Solvents help to make a thin PR but absorb
radiation and affect adhesion
Soft baking time and temperature are determined
by the matrix evaluations
Over bake: polymerized, less photo-sensitivity
Under bake: affect adhesion and exposure
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
79
Soft Bake
Hot plates
Convection oven
Infrared oven
Microwave oven
www2.austin.cc.tx.us/HongXiao/Book.htm
80
Baking Systems
Wafer
Heater
MW Source
Heated N 2
Photoresist
Chuck
Wafers
Vacuum
Wafer
Heater
Hot plate
Convection oven
www2.austin.cc.tx.us/HongXiao/Book.htm
Vacuum
Microwave oven
81
Hot Plates
Widely used in the
industry
Back side heating, no
surface crust
In-line track system
www2.austin.cc.tx.us/HongXiao/Book.htm
Wafer
Heater
82
Wafer Cooling
www2.austin.cc.tx.us/HongXiao/Book.htm
83
www2.austin.cc.tx.us/HongXiao/Book.htm
84
Contact printer
Proximity printer
Projection printer
Stepper
www2.austin.cc.tx.us/HongXiao/Book.htm
85
Contact Printer
Simple equipment
Use before mid-70s
Resolution: capable for sub-micron
Direct mask-wafer contact, limited mask
lifetime
Particles
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
86
Contact Printer
Light Source
Lenses
Mask
Photoresist
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
87
Contact Printing
UV Light
Mask
PR
N-Silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
88
Proximity Printer
www2.austin.cc.tx.us/HongXiao/Book.htm
89
Proximity Printer
Light Source
Lenses
Mask
Photoresist
~10 m
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
90
Proximity Printing
~10 m
UV Light
Mask
PR
N-Silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
91
Projection Printer
Works like an overhead projector
Mask to wafer, 1:1
Resolution to about 1 m
www2.austin.cc.tx.us/HongXiao/Book.htm
92
Projection System
Light Source
Lenses
Mask
Photoresist
Wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
93
Light Source
Lens
Mask
Synchronized
mask and wafer
movement
Lens
Photoresist
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
94
Stepper
Most popular used photolithography tool in
the advanced IC fabs
Reduction of image gives high resolution
0.25 m and beyond
Very expensive
www2.austin.cc.tx.us/HongXiao/Book.htm
95
Q&A
Why does the 5:1 shrink ratio is more
popular than the 10:1 shrink ratio?
10:1 image shrink has better resolution than
5:1 image shrink. However, it only exposes
a quarter of the area, which means total
exposure time will be quadrupled.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
96
Step-&-Repeat Alignment/Exposure
Light
Source
Projection
Lens
Reticle
Projection
Lens
Wafer
Wafer Stage
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
97
Reference Mark
Alignment Laser
Reticle Stage
Reticle
Interferometer
Laser
Projection Lens
Y
X
Interferometer
Mirror Set
Wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
98
Wafer Stage
www2.austin.cc.tx.us/HongXiao/Book.htm
99
I-line
(365)
G-line
(436)
H-line
(405)
Deep UV
(<260)
300
400
500
600
Wavelength (nm)
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
100
Mercury Lamp
Excimer Laser
Fluorine Laser
Hong Xiao, Ph. D.
Name
Wavelength (nm)
Application feature
size ( m)
G-line
436
0.50
H-line
405
I-line
365
XeF
351
XeCl
308
KrF (DUV)
248
0.25 to 0.15
ArF
193
0.18 to 0.13
F2
157
0.13 to 0.1
www2.austin.cc.tx.us/HongXiao/Book.htm
0.35 to 0.25
101
Exposure Control
Exposure controlled by production of light
intensity and exposure time
Very similar to the exposure of a camera
Intensity controlled by electrical power
Adjustable light intensity
Routine light intensity calibration
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
102
Question
Someone did a routine illuminator intensity
calibration with a reticle still on the stage.
What kind of problem will it induce?
www2.austin.cc.tx.us/HongXiao/Book.htm
103
Answer
Since the reticle can block some light,
photodetector on wafer stage will receive
less photons than it should receive.
Therefore, it will give a lower reading. To
calibrate, the applied power will be
increased and the light intensity will be
higher than it should be. It could cause
overexposure and CD loss.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
104
www2.austin.cc.tx.us/HongXiao/Book.htm
105
Light Intensity
Average
Intensity
Constructive
Interference,
Overexpose
Surface
the of PR
Hong Xiao, Ph. D.
/nPR
Surface of
the substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
106
www2.austin.cc.tx.us/HongXiao/Book.htm
107
www2.austin.cc.tx.us/HongXiao/Book.htm
108
www2.austin.cc.tx.us/HongXiao/Book.htm
109
www2.austin.cc.tx.us/HongXiao/Book.htm
110
Photoresist
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
111
Wafer Cooling
After PEB the wafer is put on a chill plate
to cool down to the ambient temperature
before sent to the development process
High temperature can accelerate chemical
reaction and cause over-development,
PR CD loss
www2.austin.cc.tx.us/HongXiao/Book.htm
112
Development
Developer solvent dissolves the softened
part of photoresist
Transfer the pattern from mask or reticle to
photoresist
Three basic steps:
Development
Rinse
Dry
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
113
Development: Immersion
Develop
Hong Xiao, Ph. D.
Rinse
www2.austin.cc.tx.us/HongXiao/Book.htm
Spin Dry
114
Developer Solution
+PR normally uses weak base solution
The most commonly used one is the
tetramethyl ammonium hydride, or TMAH
((CH3)4NOH).
www2.austin.cc.tx.us/HongXiao/Book.htm
115
Development
Mask
PR
PR
Film
Film
Substrate
PR Coating
Substrate
Exposure
PR
PR
Film
Substrate
Etching
Hong Xiao, Ph. D.
Film
Substrate
Development
www2.austin.cc.tx.us/HongXiao/Book.htm
116
Development Profiles
PR
PR
Substrate
Substrate
Normal Development
Incomplete Development
PR
PR
Substrate
Substrate
Under Development
Over Development
www2.austin.cc.tx.us/HongXiao/Book.htm
117
Developer Solutions
Positive PR
Negative PR
Developer
TMAH
Xylene
Rinse
DI Water
n-Butylacetate
www2.austin.cc.tx.us/HongXiao/Book.htm
118
Developer
Wafer
Water
sleeve
Chuck
Drain
Vacuum
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
119
Photoresist
Wafer
Chuck
Spindle
www2.austin.cc.tx.us/HongXiao/Book.htm
120
Photoresist
Wafer
Exposed
Photoresist
Chuck
Spindle
www2.austin.cc.tx.us/HongXiao/Book.htm
121
Development solution
dispenser nozzle
Wafer
Chuck
Spindle
To vacuum
pump
www2.austin.cc.tx.us/HongXiao/Book.htm
122
www2.austin.cc.tx.us/HongXiao/Book.htm
123
Edge PR
removed
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
124
DI Water Rinse
DI water
dispenser
nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
125
Spin Dry
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
126
Wafer
Chuck
Spindle
www2.austin.cc.tx.us/HongXiao/Book.htm
127
Development
Developer
puddle
Wafer
Form puddle
Spin spray
www2.austin.cc.tx.us/HongXiao/Book.htm
Spin rinse
and dry
128
Hard Bake
www2.austin.cc.tx.us/HongXiao/Book.htm
129
PR
Substrate
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
130
Hard Bake
www2.austin.cc.tx.us/HongXiao/Book.htm
131
Hard Bake
Under-bake
Photoresist is not filly polymerized
High photoresist etch rate
Poor adhesion
Over-baking
PR flow and bad resolution
www2.austin.cc.tx.us/HongXiao/Book.htm
132
Photoresist Flow
Over baking can causes too much PR flow,
which affects photolithography resolution.
PR
PR
Substrate
Substrate
Normal Baking
Over Baking
www2.austin.cc.tx.us/HongXiao/Book.htm
133
Q&A
If wrong PR is refilled in the spinner, what
could be the consequence?
Each PR has its own sensitivity & viscosity,
require its own spin rates, ramp rates, and
time, baking times and temperature,
exposure intensities and times, developer
solutions and development conditions.
Pattern transfer will fail.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
134
Pattern Inspection
Fail inspection, stripped PR and rework
Photoresist pattern is temporary
Etch or ion implantation pattern is permanent.
www2.austin.cc.tx.us/HongXiao/Book.htm
135
Q&A
Why cant optical microscope be used for
the 0.25 m feature inspection?
Because the feature size (0.25 m = 2500
) is smaller than the wavelength of the
visible light, which is from 3900 (violet)
to 7500 (red)..
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
136
Electron Microscope
Electron Beam
Less secondary
electrons on the
sidewall and plate
surface
Hong Xiao, Ph. D.
PR
More secondary
electrons on the
corners
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
137
Pattern Inspection
Overlay or alignment
run-out, run-in, reticle rotation, wafer rotation,
misplacement in X-direction, and misplacement
in Y-direction
www2.austin.cc.tx.us/HongXiao/Book.htm
138
Misalignment Cases
Run-out
Run-in
Reticle rotation
Wafer rotation
Misplacement in x-direction
Misplacement in y-direction
www2.austin.cc.tx.us/HongXiao/Book.htm
139
Critical Dimension
PR
Substrate
Good CD
Hong Xiao, Ph. D.
PR
Substrate
CD Loss
www2.austin.cc.tx.us/HongXiao/Book.htm
PR
Substrate
Sloped Edge
140
Pattern Inspection
If the wafers pass the inspection, they will
move out of photo bay and go to the next
process step
Either etch or ion implantation
www2.austin.cc.tx.us/HongXiao/Book.htm
141
www2.austin.cc.tx.us/HongXiao/Book.htm
142
Wafer In
Hot Plate
Spin Station
Stepper
Track Robot
Developer
dispenser
Hong Xiao, Ph. D.
Hot Plate
Track
www2.austin.cc.tx.us/HongXiao/Book.htm
143
Spin Station
Stepper
Track Robot
Developer
dispenser
Hong Xiao, Ph. D.
Hot Plate
Track
www2.austin.cc.tx.us/HongXiao/Book.htm
144
Spin Station
Stepper
Track Robot
Developer
dispenser
Hong Xiao, Ph. D.
Hot Plate
Track
www2.austin.cc.tx.us/HongXiao/Book.htm
145
Soft Bake
Hot Plate
Spin Station
Stepper
Track Robot
Developer
dispenser
Hong Xiao, Ph. D.
Hot Plate
Track
www2.austin.cc.tx.us/HongXiao/Book.htm
146
Spin Station
Stepper
Track Robot
Developer
dispenser
Hong Xiao, Ph. D.
Hot Plate
Track
www2.austin.cc.tx.us/HongXiao/Book.htm
147
Spin Station
Stepper
Track Robot
Developer
dispenser
Hong Xiao, Ph. D.
Hot Plate
Track
www2.austin.cc.tx.us/HongXiao/Book.htm
148
Development
Hot Plate
Spin Station
Stepper
Track Robot
Developer
dispenser
Hong Xiao, Ph. D.
Hot Plate
Track
www2.austin.cc.tx.us/HongXiao/Book.htm
149
Hard Bake
Hot Plate
Spin Station
Stepper
Track Robot
Developer
dispenser
Hong Xiao, Ph. D.
Hot Plate
Track
www2.austin.cc.tx.us/HongXiao/Book.htm
150
Wafer out
Hot Plate
Spin Station
Stepper
Track Robot
Developer
dispenser
Hong Xiao, Ph. D.
Hot Plate
Track
www2.austin.cc.tx.us/HongXiao/Book.htm
151
Prep
Chamber
Spin Coater
Chill Plates
Stepper
Chill Plates
Hong Xiao, Ph. D.
Developer
Hot Plates
www2.austin.cc.tx.us/HongXiao/Book.htm
Wafer
Movement
152
Smaller footprint
Lower cost of ownership (COO)
www2.austin.cc.tx.us/HongXiao/Book.htm
153
Spin
Coaters
Prep Chamber
www2.austin.cc.tx.us/HongXiao/Book.htm
154
Future Trends
www2.austin.cc.tx.us/HongXiao/Book.htm
155
Optical Lithography
Optics
Light diffraction
Resolution
Depth of focus (DOF)
www2.austin.cc.tx.us/HongXiao/Book.htm
156
Diffraction
www2.austin.cc.tx.us/HongXiao/Book.htm
157
Diffracted light
Mask
Intensity of the
projected light
www2.austin.cc.tx.us/HongXiao/Book.htm
158
Diffraction Reduction
Short wavelength waves have less diffraction
Optical lens can collect diffracted light and
enhance the image
www2.austin.cc.tx.us/HongXiao/Book.htm
159
Mask
ro
Less diffraction after
focused by the lens
Ideal light
Intensity pattern
www2.austin.cc.tx.us/HongXiao/Book.htm
160
Numerical Aperture
NA is the ability of a lens to collect diffracted
light
NA = 2 r0 / D
r0 : radius of the lens
D = the distance of the object from the lens
www2.austin.cc.tx.us/HongXiao/Book.htm
161
Resolution
The achievable, repeatable minimum
feature size
Determined by the wavelength of the light
and the numerical aperture of the system.
The resolution can be expressed as
www2.austin.cc.tx.us/HongXiao/Book.htm
162
Resolution
K1
R=
NA
K1 is the system constant, is the
wavelength of the light, NA = 2 ro/D, is the
numerical aperture
NA: capability of lens to collect diffraction
light
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
163
Exercise 1, K1 = 0.6
K 1
R=
NA
G-line
I-line
DUV
Hong Xiao, Ph. D.
436 nm
365 nm
248 nm
193 nm
0.60
0.60
0.60
0.60
___
___
___
___
www2.austin.cc.tx.us/HongXiao/Book.htm
m
m
m
m
164
To Improve Resolution
Increase NA
Larger lens, could be too expensive and unpractical
Reduce DOF and cause fabrication difficulties
Reduce wavelength
Need develop light source, PR and equipment
Limitation for reducing wavelength
UV to DUV, to EUV, and to X-Ray
Reduce K1
Phase shift mask
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
165
RF
4
10
4
10
10
2
10
IR
MW
10
0
10
10
10
12
10
UV
14
10
X-ray
16
10
18
10
-ray
20
10
f (Hz)
(meter)
RF: Radio frequency; MW: Microwave; IR: infrared; and UV: ultraviolet
www2.austin.cc.tx.us/HongXiao/Book.htm
166
Depth of focus
The range that light is in focus and can
achieve good resolution of projected image
Depth of focus can be expressed as:
K 2
DOF =
2
2 ( NA )
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
167
Depth of Focus
K2
DOF =
2 ( NA ) 2
Focus
www2.austin.cc.tx.us/HongXiao/Book.htm
168
Exercise 2, K2 = 0.6
K 2
DOF =
2 ( NA ) 2
G-line
I-line
DUV
Hong Xiao, Ph. D.
436 nm
365 nm
248 nm
193 nm
0.60
0.60
0.60
0.60
www2.austin.cc.tx.us/HongXiao/Book.htm
DOF
___
___
___
___
m
m
m
m
169
Depth of Focus
Smaller numerical aperture, larger DOF
Disposable cameras with very small lenses
Almost everything is in focus
Bad resolution
www2.austin.cc.tx.us/HongXiao/Book.htm
170
Depth of focus
Photoresist
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
171
www2.austin.cc.tx.us/HongXiao/Book.htm
172
www2.austin.cc.tx.us/HongXiao/Book.htm
173
www2.austin.cc.tx.us/HongXiao/Book.htm
174
www2.austin.cc.tx.us/HongXiao/Book.htm
175
Future Trends
Photolithography
1.6
1.4
1.5
1.2
Maybe photolithography
1.0
Next Generation
Lithography
0.8
0.8
0.5
0.6
0.35
0.4
0.25
0.2
0.18 0.13
0.10 0.07
0
84
88
90
93
95
98
Year
01
04
www2.austin.cc.tx.us/HongXiao/Book.htm
07
10
14
176
Chrome pattern
d
nf
Quartz substrate
d(nf 1) = /2
nf : Refractive index of phase shift coating
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
177
Chrome pattern
Phase-shifting etch
ng
Quartz substrate
d(ng 1) = /2
ng: refractive index of the quartz substrate
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
178
Constructive
Interference
Total Light
Intensity
Total Light
Intensity
Destructive
Interference
PR
Substrate
PR
Substrate
Final Pattern
Final Pattern
PR
Substrate
Substrate
Designed Pattern
Hong Xiao, Ph. D.
PR
Designed Pattern
www2.austin.cc.tx.us/HongXiao/Book.htm
179
Future Trends
Even shorter wavelength
193 nm
157 nm
Silicate glass absorbs UV light when < 180 nm
CaF2 optical system
www2.austin.cc.tx.us/HongXiao/Book.htm
180
EUV
= 10 to 14 nm
Higher resolution
Mirror based
Projected application ~ 2010
0.1 m and beyond
www2.austin.cc.tx.us/HongXiao/Book.htm
181
EUV Lithography
Mask
Wafer
Mirror 2
Mirror 1
www2.austin.cc.tx.us/HongXiao/Book.htm
182
X-ray lithography
www2.austin.cc.tx.us/HongXiao/Book.htm
183
X-ray Printing
Beryllium
X-ray
Gold
Photoresist
Substrate
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
184
Beryllium
Gold
Chromium
Photo Mask
Hong Xiao, Ph. D.
X-ray Mask
www2.austin.cc.tx.us/HongXiao/Book.htm
185
E-Beam
Used for making mask and reticles
Smallest geometry achieved: 0.014 m
Direct print possible, no mask is required
Low throughput
www2.austin.cc.tx.us/HongXiao/Book.htm
186
Stigmator
Deflection
Coils
Lens
Wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
187
SCALPEL
www2.austin.cc.tx.us/HongXiao/Book.htm
188
www2.austin.cc.tx.us/HongXiao/Book.htm
189
Safety
Chemical
Mechanical
Electrical
Radiation
www2.austin.cc.tx.us/HongXiao/Book.htm
190
Chemical Safety
Wet clean
Sulfuric acid (H2SO4): corrosive
Hydrogen peroxide (H2O2): strong oxidizer
Xylene (solvent and developer of PR):
flammable and explosive
HMDS (primer): flammable and explosive
TMAH (+PR development solution): poisonous
and corrosive
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
191
Chemical Safety
Mercury (Hg, UV lamp) vapor
highly toxic;
www2.austin.cc.tx.us/HongXiao/Book.htm
192
Mechanical Safety
Moving Parts
Hot surface
High pressure lump
www2.austin.cc.tx.us/HongXiao/Book.htm
193
Electrical Safety
www2.austin.cc.tx.us/HongXiao/Book.htm
194
Radiation Safety
www2.austin.cc.tx.us/HongXiao/Book.htm
195
Summary
www2.austin.cc.tx.us/HongXiao/Book.htm
196
Chapter 7
Plasma Basic
Hong Xiao, Ph. D.
hxiao89@hotmail.com
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
Objectives
www2.austin.cc.tx.us/HongXiao/Book.htm
Topics of Discussion
What is plasma?
Why use plasma?
Ion bombardment
Application of plasma process
www2.austin.cc.tx.us/HongXiao/Book.htm
Applications of Plasma
CVD
Etch
PVD
Ion Implantation
Photoresist strip
Process chamber dry clean
www2.austin.cc.tx.us/HongXiao/Book.htm
What Is Plasma
A plasma is a ionized gas with equal numbers
of positive and negative charges.
A more precise definition: a plasma is a quasineutral gas of charged and neutral particles
which exhibits collective behavior.
Examples: Sun, flame, neon light, etc.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Components of Plasma
A plasma consists of neutral atoms or
molecules, negative charges (electrons) and
positive charges (ions)
Quasi-neutral:
ni ne
Ionization rate:
ne/(ne + nn)
www2.austin.cc.tx.us/HongXiao/Book.htm
Ionization Rate
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
Electrodes
Plasma
Dark
spaces or
sheath
layers
To Vacuum Pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Generation of a Plasma
External power is needed
Radio frequency (RF) power is the most
commonly used power source
Vacuum system is required to generate a
stable RF plasma
www2.austin.cc.tx.us/HongXiao/Book.htm
10
Ionization
Electron collides with neutral atom or molecule
Knock out one of orbital electron
e+A
A+ + 2 e
www2.austin.cc.tx.us/HongXiao/Book.htm
11
Illustration of Ionization
Nucleus
Free
Electron
Hong Xiao, Ph. D.
Nucleus
Orbital
Electron
www2.austin.cc.tx.us/HongXiao/Book.htm
Free
Electrons
12
Excitation-Relaxation
e+A
A*
A* + e
A + h (Photos)
www2.austin.cc.tx.us/HongXiao/Book.htm
13
Excitation Collision
Grounded
electron
Excited
electron
Impact
electron
Impact
electron
Nucleus
www2.austin.cc.tx.us/HongXiao/Book.htm
Nucleus
14
Relaxation
h: Planck Constant
h
: Frequency of Light
Excited State
h
Ground State
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
15
Dissociation
Electron collides with a molecule, it can
break the chemical bond and generate free
radicals:
e + AB
A+B+ e
www2.austin.cc.tx.us/HongXiao/Book.htm
16
Dissociation
e-
Free Radicals
B
A B
Molecule
www2.austin.cc.tx.us/HongXiao/Book.htm
e-
17
Plasma Etch
CF4 is used in plasma to generate fluorine
free radical (F) for oxide etch
e + CF4 CF3 + F + e
4F + SiO2 SiF4 + 2O
Enhanced etch chemistry
www2.austin.cc.tx.us/HongXiao/Book.htm
18
www2.austin.cc.tx.us/HongXiao/Book.htm
19
Q&A
Why are dissociation not important in the
aluminum and copper PVD processes?
Aluminum and copper sputtering processes
only use argon. Argon is a noble gas, which
exist in the form of atoms instead of
molecules. Thus there is no dissociation
process in argon plasma
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
20
Q&A
Is there any dissociation collision in PVD
processes?
Yes. In TiN deposition process, both Ar and
N2 are used. In plasma, N2 is dissociated to
generate free radical N, which reacts with
Ti target to from TiN on the surface. Ar+
ions sputter TiN molecules from the surface
and deposit them on wafer surface.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
21
Byproducts
e- + SiH4
SiH2 + H2 + e-
2.2 eV
SiH3 + H + e-
4.0 eV
Si + 2 H2 + e-
4.2 eV
SiH + H2 + H + e-
5.7 eV
SiH2* + 2H + e-
8.9 eV
Si* + 2H2 + e-
9.5 eV
SiH2+ + H2 + 2 e-
11.9 eV
SiH3+ + H + 2 e-
12.32 eV
Si+ + 2H2 + 2 e-
13.6 eV
+
SiH
+
H
+
H
+
2
e
2
www2.austin.cc.tx.us/HongXiao/Book.htm
15.3 eV
Energy of Formation
22
Q&A
Which one of collisions in Table 7.1 is most
likely to happen? Why?
www2.austin.cc.tx.us/HongXiao/Book.htm
23
www2.austin.cc.tx.us/HongXiao/Book.htm
24
MFP Illustration
Large
particle
Large
particle
Small
particle
Small
particle
(a)
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
(b)
25
1
p
www2.austin.cc.tx.us/HongXiao/Book.htm
26
Q&A
Why does one need a vacuum chamber to
generate a stable plasma?
At atmospheric pressure (760 Torr), MFP of an
electron is very short. Electrons are hard to get
enough energy to ionize gases molecules.
Extremely strong electric field can create
plasma in the form of arcing (lightening)
instead of steady state glow discharge.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
27
www2.austin.cc.tx.us/HongXiao/Book.htm
28
www2.austin.cc.tx.us/HongXiao/Book.htm
29
Thermal Velocity
Electron thermal velocity
v = (kTe/me)1/2
RF plasma, Te is about 2 eV
ve 5.93107 cm/sec = 1.33107 mph
www2.austin.cc.tx.us/HongXiao/Book.htm
30
www2.austin.cc.tx.us/HongXiao/Book.htm
31
Gyro-motion
Magnetic Field Line
www2.austin.cc.tx.us/HongXiao/Book.htm
32
Gyrofrequency
Charged particle in gyro motion in magnetic field
qB
=
m
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
33
Gyro radius
Gyroradius of charged particle in a magnetic
field, , can be expressed as:
= v/
www2.austin.cc.tx.us/HongXiao/Book.htm
34
f(E)
Boltzmann Distribution
Electrons with
enough energy
for ionization
Energy, E
2 - 3 eV
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
35
Ion Bombardment
Anything close to plasma gets ion bombardment
Very important for sputtering, etch and PECVD
Mainly determined by RF power
Pressure also can affect bombardment
www2.austin.cc.tx.us/HongXiao/Book.htm
36
Ion Bombardment
Electrons reach electrodes and chamber wall first
Electrodes charged negatively, repel electrons
and attract ions.
The sheath potential accelerates ions towards the
electrode and causes ion bombardment.
Ion bombardment is very important for etch,
sputtering and PECVD processes.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
37
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
Bulk plasma
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
x
Sheath Region
+
+
+
+
+
+
+
Electrode
Sheath Potential
Vp
Sheath Potential
Dark space
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Vf
38
Argon sputtering
Dielectric etch for gap fill
Metal deposition
www2.austin.cc.tx.us/HongXiao/Book.htm
39
Volt
Plasma Potential
DC Bias
RF potential
Time
www2.austin.cc.tx.us/HongXiao/Book.htm
40
DC bias
0
time
RF potentials
Lower RF power
Higher RF power
Smaller DC bias
Larger DC bias
www2.austin.cc.tx.us/HongXiao/Book.htm
41
Ion Bombardment
Ion energy
Ion density
Both controlled by RF power
www2.austin.cc.tx.us/HongXiao/Book.htm
42
www2.austin.cc.tx.us/HongXiao/Book.htm
43
Vp = 10 20 V
www2.austin.cc.tx.us/HongXiao/Book.htm
44
Wafer Potential
DC bias
Self bias
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
45
DC bias V1
V1 = 200 to 1000 V
V1/V2 =(A2/A1)
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
46
www2.austin.cc.tx.us/HongXiao/Book.htm
47
www2.austin.cc.tx.us/HongXiao/Book.htm
48
www2.austin.cc.tx.us/HongXiao/Book.htm
49
Plasma Etch
PVD
Ion implantation
www2.austin.cc.tx.us/HongXiao/Book.htm
50
www2.austin.cc.tx.us/HongXiao/Book.htm
51
Chemical reaction
SiH4+ O 2 SiO2 +
Process parameters
p =3 Torr, T=400 C
Deposition rate
8000 /min
Process systems
Batch system
Single-wafer system
Difficult to control
Easier to control
www2.austin.cc.tx.us/HongXiao/Book.htm
52
www2.austin.cc.tx.us/HongXiao/Book.htm
53
www2.austin.cc.tx.us/HongXiao/Book.htm
54
www2.austin.cc.tx.us/HongXiao/Book.htm
55
Better uniformity
Better process control
Higher process integration capability.
Easier to deposit metal alloy films
www2.austin.cc.tx.us/HongXiao/Book.htm
56
www2.austin.cc.tx.us/HongXiao/Book.htm
57
PECVD Chambers
Ion bombardment control film stress
Wafer is placed grounded electrode
Both RF hot and grounded electrodes have
about the same area
It has very little self-bias
The ion bombardment energy is about 10 to
20 eV, mainly determined by the RF power
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
58
Plasma
www2.austin.cc.tx.us/HongXiao/Book.htm
Wafer
59
www2.austin.cc.tx.us/HongXiao/Book.htm
60
www2.austin.cc.tx.us/HongXiao/Book.htm
62
Process
chamber
Plasma
Magnet coils
Wafer
Chuck
By-products to
the pump
RF power
Backside
cooling helium
www2.austin.cc.tx.us/HongXiao/Book.htm
63
www2.austin.cc.tx.us/HongXiao/Book.htm
64
MW or RF
Process
gases
Plasma
Process
chamber
Free radicals
By-products to
the pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Heated plate
65
Photoresist Strip
www2.austin.cc.tx.us/HongXiao/Book.htm
66
Remote plasma
chamber
Plasma
Process chamber
Wafer with
photoresist
O H O
O H O H
H2O, CO2,
To the pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Heated plate
67
www2.austin.cc.tx.us/HongXiao/Book.htm
68
NF3
Remote plasma
chamber
Plasma
Process
chamber
F
N2 F
Wafer
F
N2, SiF4,
To pump
Hong Xiao, Ph. D.
N2
F F
Heated plate
www2.austin.cc.tx.us/HongXiao/Book.htm
69
www2.austin.cc.tx.us/HongXiao/Book.htm
70
www2.austin.cc.tx.us/HongXiao/Book.htm
71
NF3
Remote plasma
chamber
Plasma
CVD
chamber
F
N2 F
N2
N2, SiF4,
To pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Heated plate
72
www2.austin.cc.tx.us/HongXiao/Book.htm
73
High-density Plasma
High-density at low pressure are desired
Lower pressure longer MFP, less ion scattering,
enhances etch profile control.
Higher density, more ions and free radicals
Enhance chemical reaction
Increase ion bombardment
www2.austin.cc.tx.us/HongXiao/Book.htm
74
www2.austin.cc.tx.us/HongXiao/Book.htm
75
www2.austin.cc.tx.us/HongXiao/Book.htm
76
www2.austin.cc.tx.us/HongXiao/Book.htm
77
www2.austin.cc.tx.us/HongXiao/Book.htm
78
www2.austin.cc.tx.us/HongXiao/Book.htm
79
RF current in coil
www2.austin.cc.tx.us/HongXiao/Book.htm
80
Ceramic cover
Plasma
Wafer
Chamber body
Bias RF
E-chuck
Helium
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
81
Application of ICP
Dielectric CVD
All patterned etch processes
Sputtering clean prior to metal deposition
Metal plasma PVD
Plasma immersion ion implantation
www2.austin.cc.tx.us/HongXiao/Book.htm
82
ECR
Gyro-frequency or cyclotron frequency:
qB
=
m
Determined by magnetic field
www2.austin.cc.tx.us/HongXiao/Book.htm
83
ECR
Electron cyclotron resonance when MW = e
Electrons get energy from MW
Energetic electrons collide with other atoms
or molecules
Ionization collisions generate more electrons
Electrons are spiraling around the field line
Many collisions even at very low pressure
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
84
Illustration of ECR
Electron trajectory
Mic
row
ave
Pow
er
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
85
Illustration of ECR
Microwave
Magnetic
Coils
ECR
Plasma
Magnetic
field line
Wafer
Bias RF
E-chuck
Helium
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
86
ECR
Bias RF power controls the ion energy
Microwave power controls the ion flux
Magnet coil current controls plasma position
and process uniformity
Helium backside cooling system with E-chuck
controls wafer temperature
www2.austin.cc.tx.us/HongXiao/Book.htm
87
Application of ECR
Dielectric CVD
All patterned etch processes
Plasma immersion ion implantation
www2.austin.cc.tx.us/HongXiao/Book.htm
88
Summary
www2.austin.cc.tx.us/HongXiao/Book.htm
89
Summary
Increasing RF power increases both ion flux
and ion energy in capacitive coupled plasmas
Low frequency RF power gives ions more
energy, causes heavier ion bombardment
The etch processes need much more ion
bombardment than the PECVD
Low pressure, high density plasma are desired
ICP and ECR are two HDP systems used in IC
fabrication
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
90
Chapter 8
Ion Implantation
Hong Xiao, Ph. D.
hxiao89@hotmail.com
www2.austin.cc.tx.us/HongXiao/Book.htm
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Objectives
www2.austin.cc.tx.us/HongXiao/Book.htm
Ion Implantation
Introduction
Safety
Hardware
Processes
Summary
www2.austin.cc.tx.us/HongXiao/Book.htm
IC Fab
Metalization
CMP
Dielectric
deposition
Test
Wafers
Thermal
Processes
Implant
PR strip
Etch
PR strip
Packaging
Masks
Photolithography
Final Test
www2.austin.cc.tx.us/HongXiao/Book.htm
Design
Hong Xiao, Ph. D.
What is Semiconductor?
Why semiconductor need to be doped?
What is n-type dopant?
What is p-type dopant?
www2.austin.cc.tx.us/HongXiao/Book.htm
Introduction
Dope semiconductor
Two way to dope
Diffusion
Ion implantation
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
Oxidation
SiO2
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
10
Drive-in
SiO2
Doped junction
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
11
SiO2
Doped junction
Si Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
12
www2.austin.cc.tx.us/HongXiao/Book.htm
13
www2.austin.cc.tx.us/HongXiao/Book.htm
14
n-Si
p+ S/D
Aligned
Gate Oxide
n-Si
Metal Gate
p+ S/D
Misaligned
www2.austin.cc.tx.us/HongXiao/Book.htm
15
SiO2
Poly Si
n+
P+
n+
P-type Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
16
Comparison of
Implantation and Diffusion
Doped region
SiO2
PR
Si
Si
Junction depth
Diffusion
Ion implantation
www2.austin.cc.tx.us/HongXiao/Book.htm
17
Comparison of
Implantation and Diffusion
Diffusion
Ion Implantation
Batch process
www2.austin.cc.tx.us/HongXiao/Book.htm
18
www2.austin.cc.tx.us/HongXiao/Book.htm
19
Applications
Ions
Doping
n-type: P, As, Sb
p-type: B
Pre-amorphous
Si or Ge
Buried oxide
O
www2.austin.cc.tx.us/HongXiao/Book.htm
Poly barrier
N
20
Other Applications
Oxygen implantation for silicon-oninsulator (SOI) device
Pre-amorphous silicon implantation on
titanium film for better annealing
Pre-amorphous germanium implantation on
silicon substrate for profile control
...
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
21
Phosphorus
P
15
30.973762
Hennig Brand
Germany
1669
From the Greek word "phosphoros" meaning
"bringer of light" (an ancient name for the
planet Venus)
Density of solid
1.823 g/cm3
Molar volume
17.02 cm3
Velocity of sound
N/A
Electrical resistivity
10 cm
Refractivity
1.001212
Reflectivity
N/A
Melting point
44.3 C
Boiling point
277 C
Thermal conductivity
0.236 W m-1 K-1
Coefficient of linear thermal expansion
N/A
Applications
N-type dopant in diffusion, ion implantation,
epitaxial grow and polysilicon deposition.
Dopant of CVD silicate glass (PSG and BPSG).22
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Main sources
P (red), PH3, POCl 3
Arsenic
As
33
74.9216
Known since ancient times
not known
not known
From the Greek word "arsenikon" meaning
"yellow orpiment"
Density of solid
5.727 g/cm3
Molar volume
12.95 cm 3
Velocity of sound
N/A
Electrical resistivity
30.03 cm
Refractivity
1.001552
Reflectivity
N/A
Melting point
614 C
Boiling point
817 C
Thermal conductivity
50.2 W m -1 K-1
Coefficient of linear thermal expansion
N/A
Applications
N-type dopant in diffusion, ion implantation,
epitaxial grow and polysilicon deposition.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
23
Main sources
As, AsH3
Boron
B
5
10.811
Sir Humphrey Davy, Joseph-Louis Gay-Lussac,
England, France
1808
From the Arabic word "buraq" and the Persian
word "burah"
Density of solid
2.460 g/cm3
Molar volume
4.39 cm3
Velocity of sound
16200 m/sec
Electrical resistivity
> 10 12 cm
Refractivity
N/A
Reflectivity
N/A
Melting point
2076 C
Boiling point
3927 C
Thermal conductivity
27 W m-1 K-1
Coefficient of linear thermal expansion
6 10-6 K-1
Applications
P-type dopant in diffusion, ion implantation,
epitaxial grow and polysilicon deposition.
Dopant of CVD silicate glass (BPSG)
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
24
Main sources
B, B2H6, BF3
Stopping Mechanism
www2.austin.cc.tx.us/HongXiao/Book.htm
25
electronic stopping
www2.austin.cc.tx.us/HongXiao/Book.htm
26
Stopping Mechanism
The total stopping power
Stotal = Sn + Se
Sn: nuclear stopping, Se: electronic stopping
Low E, high A ion implantation: mainly
nuclear stopping
High E, low A ion implantation, electronic
stopping mechanism is more important
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
27
Stopping Mechanisms
Ion
Random Collisions
(S=Sn+Se)
Channeling
(SSe)
Back Scattering (SSn)
www2.austin.cc.tx.us/HongXiao/Book.htm
28
II
III
Nuclear
Stopping
Electronic
Stopping
Ion Velocity
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
29
Substrate
Collision
Ion Trajectory
Ion Beam
Projected Range
www2.austin.cc.tx.us/HongXiao/Book.htm
30
ln (Concentration)
Projected
Range
Substrate Surface
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
31
1.000
P
B
0.100
As
Sb
0.010
10
100
1000
www2.austin.cc.tx.us/HongXiao/Book.htm
32
1.20
1.00
B
0.80
0.60
0.40
As
0.20
Sb
0.00
Si
SiO2
Si3N4
Al
www2.austin.cc.tx.us/HongXiao/Book.htm
PR
33
Lots of collisions
www2.austin.cc.tx.us/HongXiao/Book.htm
34
Channeling Effect
Lattice Atoms
Channeling Ion
Collisional Ion
Wafer
Surface
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
35
Post-collision Channeling
Collisional
Channeling
Collisional
Wafer
Surface
www2.austin.cc.tx.us/HongXiao/Book.htm
36
Post-collision Channeling
Channeling
Collisional
Dopant Concentration
Collisional
www2.austin.cc.tx.us/HongXiao/Book.htm
37
Shadowing effect
Ion blocked by structures
www2.austin.cc.tx.us/HongXiao/Book.htm
38
Shadowing Effect
Ion Beam
Polysilicon
Substrate
Doped Region
Shadowed Region
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
39
Shadowing Effect
After Annealing and Diffusion
Polysilicon
Substrate
Doped Region
www2.austin.cc.tx.us/HongXiao/Book.htm
40
Q&A
Why dont people use channeling effect to
create deep junction without high ion energy?
Ion beam is not perfectly parallel. Many ions
will start to have a lot of nuclear collisions
with lattice atoms after they penetrating into
the substrate. Some ions can channel deep into
the substrate, while many others are stopped
as the normal Gaussian distribution.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
41
Damage Process
Implanted ions transfer energy to lattice atoms
Atoms to break free
www2.austin.cc.tx.us/HongXiao/Book.htm
42
Damaged Region
Heavy Ion
Single Crystal Silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
43
Before Implantation
Hong Xiao, Ph. D.
After Implantation
www2.austin.cc.tx.us/HongXiao/Book.htm
44
www2.austin.cc.tx.us/HongXiao/Book.htm
45
Thermal Annealing
46
Thermal Annealing
47
Thermal Annealing
48
Thermal Annealing
49
Thermal Annealing
50
Thermal Annealing
51
Thermal Annealing
52
Thermal Annealing
53
Before Annealing
After Annealing
www2.austin.cc.tx.us/HongXiao/Book.htm
54
www2.austin.cc.tx.us/HongXiao/Book.htm
55
Si
Source/Drain
RTP Annealing
Poly Si
Gate
SiO2
Si
Furnace Annealing
www2.austin.cc.tx.us/HongXiao/Book.htm
56
www2.austin.cc.tx.us/HongXiao/Book.htm
57
Gas system
Electrical system
Vacuum system
Ion beamline
www2.austin.cc.tx.us/HongXiao/Book.htm
58
Ion Implanter
www2.austin.cc.tx.us/HongXiao/Book.htm
59
Implantation Process
Gases and Vapors:
P, B, BF3, PH3, and AsH3
Next Step
Implanter
Select Ion:
B, P, As
Hong Xiao, Ph. D.
Select Ion
Energy
Select Beam
Current
www2.austin.cc.tx.us/HongXiao/Book.htm
60
Ion Implanter
Gas Cabin
Ion
Source
Electrical
System
Analyzer
Magnet
Vacuum
Pump
Beam
Line
Electrical
System
Vacuum
Pump
Plasma Flooding
System
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Wafers
End Analyzer
61
www2.austin.cc.tx.us/HongXiao/Book.htm
62
RF system
Some ion sources use RF to generate ions
www2.austin.cc.tx.us/HongXiao/Book.htm
63
www2.austin.cc.tx.us/HongXiao/Book.htm
64
www2.austin.cc.tx.us/HongXiao/Book.htm
65
Ion source
Extraction electrode
Analyzer magnet
Post acceleration
Plasma flooding system
End analyzer
www2.austin.cc.tx.us/HongXiao/Book.htm
66
Vacuum
Pump
Ion
Source
Extraction
Electrode
Post Acceleration
Electrode
Plasma Flooding
System
Analyzer
Magnet
Beam
Line
Vacuum
Pump
Wafers
End Analyzer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
67
www2.austin.cc.tx.us/HongXiao/Book.htm
68
Ion Source
Source Gas or Vapor
Arc Power
~ 120 V
Tungsten
Filament
Anti-cathode
+
Filament
Power, 0-5V,
up to 200A
Plasma
Magnetic Field Line
www2.austin.cc.tx.us/HongXiao/Book.htm
Source
Magnet
69
RF Ion Source
Dopant Gas
RF Coils
+ RF
-
Plasma
Extraction
Electrode
Ion Beam
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
70
Magnetic
Field Line
ECR
Plasma
Extraction
Electrode
www2.austin.cc.tx.us/HongXiao/Book.htm
71
www2.austin.cc.tx.us/HongXiao/Book.htm
72
Extraction Assembly
Suppression Electrode
Extraction Electrode
Top View
Ion Source
Plasma
Ion Beam
+
Extraction
Power, up
to 60 kV
Slit Extracting
Ion Beam
Suppression
Power, up to
10 kV
Terminal Chassis
www2.austin.cc.tx.us/HongXiao/Book.htm
73
www2.austin.cc.tx.us/HongXiao/Book.htm
74
Analyzer
Magnetic Field (Point Outward)
Ion Beam
Flight Tube
Smaller m/q Ratio
www2.austin.cc.tx.us/HongXiao/Book.htm
75
76
www2.austin.cc.tx.us/HongXiao/Book.htm
77
www2.austin.cc.tx.us/HongXiao/Book.htm
78
www2.austin.cc.tx.us/HongXiao/Book.htm
79
Post Acceleration
Suppression Electrode
Acceleration Electrode
Ion Beam
Terminal Chassis
Hong Xiao, Ph. D.
Suppression
Power, up to
10 kV
Post Accel.
Power, up
to 60 kV
+
www2.austin.cc.tx.us/HongXiao/Book.htm
80
Ion Beam
www2.austin.cc.tx.us/HongXiao/Book.htm
81
Bias Electrode
Ion Trajectory
www2.austin.cc.tx.us/HongXiao/Book.htm
Wafer
82
www2.austin.cc.tx.us/HongXiao/Book.htm
83
Charging Effect
Ions trajectory
Wafer
++++
www2.austin.cc.tx.us/HongXiao/Book.htm
84
www2.austin.cc.tx.us/HongXiao/Book.htm
85
Tungsten
Filament
Ar
Ion
Beam
Filament
Current
Plasma
Electrons
Wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
86
Electron Gun
Secondary Electron Target
Electrons
Secondary
Electrons
Ion Beam
Electron
Gun
Hong Xiao, Ph. D.
Thermal
Filament
www2.austin.cc.tx.us/HongXiao/Book.htm
Wafer
87
Wafer Handling
Ion beam diameter: ~25 mm (~1),
Wafer diameter: 200 mm (8) or larger
Needs to move beam or wafer, or both, to
scan ion beam across the whole wafer
Spin wheel
Spin disk
Single wafer scan
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
88
Spin Wheel
Wafers
Spin arm
Spin rate: to
2400 rpm
Ion beam
Implanted stripe
www2.austin.cc.tx.us/HongXiao/Book.htm
89
Spin Disk
Wafers
Ion Beam
www2.austin.cc.tx.us/HongXiao/Book.htm
90
Wafer
Movement
Scanning Electrodes
www2.austin.cc.tx.us/HongXiao/Book.htm
91
www2.austin.cc.tx.us/HongXiao/Book.htm
92
www2.austin.cc.tx.us/HongXiao/Book.htm
93
Beam Stop
Graphite
Top View
Ion Beam
Magnets
Water Cooled
Base Plate
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Faraday
Current
Detectors
94
www2.austin.cc.tx.us/HongXiao/Book.htm
95
0.25 m, 256 Mb
0.18 m, 1 Gb
Well
P/600/21013
P/400/21013
P/300/11013
Anti-punch through
P/100/51013
As/100/51012
As/50/21012
Threshold
B/10/71012
B/5/31012
B/2/41012
Poly dope
P/30/21015
B/20/21015
B/20/31015
N2/20/31015
B/7/51013
B/5/11014
B/2/81013
As/30/51013
Source/drain contact
B/10/21015
B/7/21015
B/6/21015
Well
B/225/31013
B/200/11013
B/175/11013
Anti-punch through
B/30/21013
B/50/51012
B/45/51012
Threshold
B/10/71012
B/5/31012
B/2/41012
Poly dope
P/30/51015
P/20/21015
As/40/31015
N2/20/31015
P/20/51013
P/12/51013
P/5/31013
B/30/31012
As/30/31015
B/20/31012
As/20/31015
B/7/21013
As/15/31015
Implant Step
N-well
P-well
www2.austin.cc.tx.us/HongXiao/Book.htm
96
Photoresist
N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
97
B+
Photoresist
USG
STI
P-Well
P-Epi
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
98
P+
Photoresist
USG
STI
P-Well
P-Epi
Hong Xiao, Ph. D.
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
99
P+
Photoresist
STI
n+
n+
USG
P-Well
N-Well
P-Epi
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
100
Energy
Current
High energy
Low energy
Low energy
Low energy
low current
high current
low current
low current
www2.austin.cc.tx.us/HongXiao/Book.htm
101
Process Issues
Wafer charging
Particle contamination
Elemental contamination
Process evaluation
www2.austin.cc.tx.us/HongXiao/Book.htm
102
Wafer Charging
www2.austin.cc.tx.us/HongXiao/Book.htm
103
www2.austin.cc.tx.us/HongXiao/Book.htm
104
Antenna Ratio
Top View
Side View
Polysilicon
Field Oxide
Silicon Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
Gate Oxide
105
Particle Contamination
Large particles can block the ion beam
especially for the low energy processes,
VT adjust, LDD and S/D implantations,
Cause incomplete dopant junction.
Harmful to yield
www2.austin.cc.tx.us/HongXiao/Book.htm
106
www2.austin.cc.tx.us/HongXiao/Book.htm
107
Elemental Contamination
www2.austin.cc.tx.us/HongXiao/Book.htm
108
Process Evaluation
Four-point probe
Thermal wave
Optical measurement system (OMS)
www2.austin.cc.tx.us/HongXiao/Book.htm
109
Four-Point Probe
Perform after anneal
Measure sheet resistance
Sheet resistant is a function of dopant
concentration and junction depth
Commonly used to monitor doping process
www2.austin.cc.tx.us/HongXiao/Book.htm
110
P2
S2
P3
P4
S3
Dope Region
Substrate
For a typical four-point probe, S1 = S2 = S3 = 1mm,
If current is applied between P1 and P4, Rs = 4.53 V/I
If current is applied between P1 and P3, Rs = 5.75 V/I
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
111
www2.austin.cc.tx.us/HongXiao/Book.htm
112
R
R
Pump
Laser
Thermal Waver
Signal Detector
I
t
Probe Laser
www2.austin.cc.tx.us/HongXiao/Book.htm
113
www2.austin.cc.tx.us/HongXiao/Book.htm
114
www2.austin.cc.tx.us/HongXiao/Book.htm
115
Photo Detector
PDI Count
PDI Count
Before Implantation
After Implantation
www2.austin.cc.tx.us/HongXiao/Book.htm
116
www2.austin.cc.tx.us/HongXiao/Book.htm
117
www2.austin.cc.tx.us/HongXiao/Book.htm
118
Ion Implantation:
Electro-magnetic Safety
High voltage: from facility 208 V to
acceleration electrode up to 50 kV.
Ground strip, Work with buddy!
Lock & tag
Magnetic field: pacemaker, etc.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
119
www2.austin.cc.tx.us/HongXiao/Book.htm
120
Ion Implantation:
Corrosive by-products
BF3 as dopant gas
Fluorine will react with hydrogen to from
HF
Anything in the beamline could have HF
Double glove needed while wet clean those
parts
www2.austin.cc.tx.us/HongXiao/Book.htm
121
www2.austin.cc.tx.us/HongXiao/Book.htm
122
Technology Trends
Ultra shallow junction (USJ)
Silicon on insulator (SOI)
Plasma immersion ion implantation (PIII)
www2.austin.cc.tx.us/HongXiao/Book.htm
123
Shallow
Low sheet resistance
Low contact resistance
Minimal impact on channel profile
Compatible with polysilicon gate
www2.austin.cc.tx.us/HongXiao/Book.htm
124
Soft Error
Electron-hole pairs generated by -decay
Electrons from substrate overwrite the
messages in memory capacitors
Storage capacitors need large capacitance
Limit further shrinking device feature size
www2.austin.cc.tx.us/HongXiao/Book.htm
125
Silicon substrate
+
Electron-hole pair
+
+
+
+
+
+
+
-particle
www2.austin.cc.tx.us/HongXiao/Book.htm
126
Gate oxide
p+ source/drain
Polysilicon
p-Si
STI
n-Si
Buried oxide
Balk Si
www2.austin.cc.tx.us/HongXiao/Book.htm
USG
127
SOI Formation
Implanted wafers
Heavy oxygen ion implantation
High temperature annealing
Bonded wafers
Two wafers
Grow oxide on one wafer
High temperature bond wafer bonding
Polish one wafer until thousand away from SiO2
www2.austin.cc.tx.us/HongXiao/Book.htm
128
www2.austin.cc.tx.us/HongXiao/Book.htm
129
www2.austin.cc.tx.us/HongXiao/Book.htm
130
www2.austin.cc.tx.us/HongXiao/Book.htm
131
Heavily
doped Si
Silicon
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
132
Magnetic
field line
Wafer
Bias RF
E-chuck
Helium
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
133
www2.austin.cc.tx.us/HongXiao/Book.htm
134
Ion source
Extraction
Analyzer magnets
Post acceleration
Charge neutralization system
Beam stop
www2.austin.cc.tx.us/HongXiao/Book.htm
135
Well
Source/Drain
Vt Adjust
LDD
www2.austin.cc.tx.us/HongXiao/Book.htm
136
Chapter 9, Etch
Hong Xiao, Ph. D.
hxiao89@hotmail.com
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
Objectives
Upon finishing this course, you should able to:
Familiar with etch terminology
Compare wet and dry etch processes
List four materials need to be etched during IC
processing and list the main dry etch etchants
Describe etch process in IC fabrication
Become aware of hazards in etch processes
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Outline
Introduction
Terminology
Wet and dry etch
Plasma basics
Plasma etch processes
www2.austin.cc.tx.us/HongXiao/Book.htm
Definition of Etch
www2.austin.cc.tx.us/HongXiao/Book.htm
Photoresist
Polysilicon
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
Photoresist
Polysilicon
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
Development/Hard Bake/Inspection
PR
Polysilicon
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
Etch Polysilicon
Polysilicon
PR
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
Polysilicon
PR
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
Strip Photoresist
Gate Oxide
Polysilicon
STI
USG
P-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
10
Ion Implantation
+
Dopant
Ions,
As
Gate Oxide
STI
n+
n+
Polysilicon
USG
P-Well
Hong Xiao, Ph. D.
Source/Drain
www2.austin.cc.tx.us/HongXiao/Book.htm
11
STI
Polysilicon Gate
n+
n+
USG
P-Well
Hong Xiao, Ph. D.
Source/Drain
www2.austin.cc.tx.us/HongXiao/Book.htm
12
IC Fab
Metallization
CMP
Dielectric
deposition
Test
Wafers
Thermal
Processes
Implant
PR strip
Etch
PR strip
Packaging
Masks
Photolithography
Final Test
Design
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
13
Applications of Etch
IC Fabrication
Mask making
Printed electronic board
Art work
Nameplate
Glassware
www2.austin.cc.tx.us/HongXiao/Book.htm
14
3 m
Etch
Bias
PR
Film
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
15
CMOS Cross-Section
Passivation 2
Passivation 1
W
M2
Nitride
Oxide
AlCu
ILD-2, USG
Metal 1, AlCu
ILD-1,
BPSG
USG
n+
W-Plug
n+
p+
p+
STI
P-Well
N-Well
P-Epi
P-Wafer
n-, LDD
www2.austin.cc.tx.us/HongXiao/Book.htm
16
Etch Terminology
Etch rate
Selectivity
Etch uniformity
Etch profile
Wet etch
Dry etch
RIE
Endpoint
www2.austin.cc.tx.us/HongXiao/Book.htm
17
Etch Rate
Etch rate measures of the how fast the material is
removed from wafer surface.
d
d0
d1
Before etch
d
Etch Rate =
t
After etch
(/min)
www2.austin.cc.tx.us/HongXiao/Book.htm
18
Etch Rate
thickness change after etch
Etch rate
=
etch time
ER =
Hong Xiao, Ph. D.
17000-11000
----------------1
= 6000 /min
www2.austin.cc.tx.us/HongXiao/Book.htm
19
Etch Uniformity
Etch uniformity is a measure of the process
repeatability within the wafer (WIW) and wafer
to wafer (WTW)
Thickness measurements are made before and
after etch at different points
More measure points, higher the accuracy
Standard deviation definition are normally used
Different definitions give different results
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
20
( x1 x ) 2 + ( x2 x ) 2 + ( x3 x ) 2 + + ( x N x ) 2
N 1
x1 + x 2 + x3 + + x N
x=
N
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
21
Max-Min Uniformity
Etch non-uniformity (NU) can be calculated
by using following equation (called Max-Min
uniformity, good for classroom exercise):
NU(%) = (Emax - Emin)/ 2Eave
Emax = Maximum etch rate measured
Emin = Minimum etch rate measured
Eave = Average etch rate
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
22
Selectivity
Selectivity is the ratio of etch rates of different
materials.
Very important in patterned etch
Selectivity to underneath layer and to photoresist
E1
S=
E2
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
23
Selectivity
Selectivity of BPSG to Poly-Si: S =
E1
E2
PR
E2
BPSG
Gate SiO2
Poly-Si
E1
Si
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
24
Selectivity
Etch rate 1
Selectivity
=
Etch rate 2
= 200: 1
www2.austin.cc.tx.us/HongXiao/Book.htm
25
Etch Profiles
PR
Film
PR
Film
Substrate
PR
Film
Substrate
Anisotropic
PR
Film
PR
Film
Substrate
Anisotropic, tapered
Hong Xiao, Ph. D.
PR
Isotropic
PR
Film
PR
Substrate
Anisotropic, Undercut
www2.austin.cc.tx.us/HongXiao/Book.htm
26
Etch Profiles
PR
Film
PR
Film
Substrate
Anisotropic, Foot
PR
Film
PR
Film
Substrate
PR
Film
PR
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
27
www2.austin.cc.tx.us/HongXiao/Book.htm
28
www2.austin.cc.tx.us/HongXiao/Book.htm
29
Micro Loading
PR
PR
Film
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
30
PR
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
31
Over Etch
www2.austin.cc.tx.us/HongXiao/Book.htm
32
www2.austin.cc.tx.us/HongXiao/Book.htm
33
PR
Film
d
Substrate
Before over etch
www2.austin.cc.tx.us/HongXiao/Book.htm
34
After Overetch
PR
d
Film
Substrate
After over etch
www2.austin.cc.tx.us/HongXiao/Book.htm
35
Residues
Unwanted leftovers
Causes
insufficient over etch
non-volatile etch byproducts
www2.austin.cc.tx.us/HongXiao/Book.htm
36
Film
Substrate
Substrate
Insufficient over etch
Before etch
Film 2 line A
Film 2 line B
Residue from film 2
FilmPh.1 D.
Hong Xiao,
www2.austin.cc.tx.us/HongXiao/Book.htm
37
PR
Residues
Film
PR
PR
Film
Film
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
38
Residues
Adequate over etch
Removal of non-volatile residues
Sufficient ion bombardment to dislodge
Right amount of chemical etch to scoop
www2.austin.cc.tx.us/HongXiao/Book.htm
39
Wet Etch
www2.austin.cc.tx.us/HongXiao/Book.htm
40
Wet Etch
Chemical solution to dissolve the materials on
the wafer surface
The byproducts are gases, liquids or materials
that are soluble in the etchant solution.
Three basic steps, etch, rinse and dry
www2.austin.cc.tx.us/HongXiao/Book.htm
41
Spin Dryer
www2.austin.cc.tx.us/HongXiao/Book.htm
42
Wet Etch
Pure chemical process, isotropic profile
Was widely used in IC industry when
feature size was larger than 3 micron
Still used in advanced IC fabs
Wafer clean
Blanket film strip
Test wafer film strip and clean
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
43
3 m
Etch
Bias
PR
Film
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
44
www2.austin.cc.tx.us/HongXiao/Book.htm
45
www2.austin.cc.tx.us/HongXiao/Book.htm
46
Oxide
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
47
www2.austin.cc.tx.us/HongXiao/Book.htm
48
Isolation Formation
Nitride
Pad oxide
Silicon
Pad oxidation,
LPCVD nitride
Silicon
Etch nitride
& pad oxide
Silicon
Wet etch
silicon
Silicon
Grown SiO2
Silicon
Strip nitride,
pad oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
49
Si3(PO4)4 + 4NH3
www2.austin.cc.tx.us/HongXiao/Book.htm
50
www2.austin.cc.tx.us/HongXiao/Book.htm
51
www2.austin.cc.tx.us/HongXiao/Book.htm
52
Ti
TiSi2
Polysilicon gate
Polysilicon gate
n+
n-
Gate oxide
Titanium
deposition
Hong Xiao, Ph. D.
TiSi2
n-
nn+
n+
Gate oxide
TiSi2
n-
nn+
Silicide annealing
www2.austin.cc.tx.us/HongXiao/Book.htm
TiSi2
Polysilicon gate
n+
Gate oxide
nn+
Titanium wet
striping
53
www2.austin.cc.tx.us/HongXiao/Book.htm
54
www2.austin.cc.tx.us/HongXiao/Book.htm
55
HF
Dont feel when contact
Attack bone and neutralize by calcium
Acute pain
www2.austin.cc.tx.us/HongXiao/Book.htm
56
www2.austin.cc.tx.us/HongXiao/Book.htm
57
www2.austin.cc.tx.us/HongXiao/Book.htm
58
Plasma Etch
www2.austin.cc.tx.us/HongXiao/Book.htm
59
Introduction
Gas in, gas out
Plasma generates free radicals and ion
bombardment
RIE (Reactive Ion Etch)
combined chemical and physical etch
www2.austin.cc.tx.us/HongXiao/Book.htm
60
Dry Etch
Etch Bias
Minimum
Etch Profile
Isotropic
Etch rate
High
Acceptable, controllable
Selectivity
High
Acceptable, controllable
Equipment cost
Low
High
Throughput
High (batch)
Acceptable, controllable
Chemical usage
High
Low
www2.austin.cc.tx.us/HongXiao/Book.htm
61
Plasma Basics
A plasma is an ionized gas with equal
numbers of positive and negative charges.
Three important collisions:
Ionization generates and sustains the plasma
Excitation-relaxation causes plasma glow
Disassociation creates reactive free radicals
www2.austin.cc.tx.us/HongXiao/Book.htm
62
Components of Plasma
A plasma consists of neutral atoms or
molecules, negative charges (electrons) and
positive charges (ions)
Quasi-neutral:
ni ne
Ionization rate:
ne/(ne + nn)
www2.austin.cc.tx.us/HongXiao/Book.htm
63
Ionization Rate
www2.austin.cc.tx.us/HongXiao/Book.htm
64
www2.austin.cc.tx.us/HongXiao/Book.htm
65
1
p
www2.austin.cc.tx.us/HongXiao/Book.htm
66
www2.austin.cc.tx.us/HongXiao/Book.htm
67
Ion Bombardment
Anything close to plasma gets ion bombardment
Very important for sputtering, RIE and PECVD
Mainly determined by RF power
Pressure also affects ion bombardment
www2.austin.cc.tx.us/HongXiao/Book.htm
68
Ion Bombardment
Electrons are moving much faster than ions
Electrons reach electrodes and chamber wall first
Electrodes are charged negatively, repel electrons
and attract ions
Charge difference near the surface forms sheath
potential
Sheath potential accelerates ions towards the
electrode and causes ion bombardment
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
69
Ion Bombardment
Ion energy
Ion density
Both controlled by RF power
www2.austin.cc.tx.us/HongXiao/Book.htm
70
Argon sputtering
Dielectric etch for gap fill
Metal deposition
www2.austin.cc.tx.us/HongXiao/Book.htm
71
www2.austin.cc.tx.us/HongXiao/Book.htm
72
www2.austin.cc.tx.us/HongXiao/Book.htm
73
Self-Bias
www2.austin.cc.tx.us/HongXiao/Book.htm
74
Etch Processes
Chemical
RIE
Blocking Mechanism
Physical
Damaging Mechanism
Silicon Etch
Oxide Etch
Poly Etch
Nitride Etch
Metal Etch
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
75
Chemical Etch
www2.austin.cc.tx.us/HongXiao/Book.htm
76
Physical Etch
www2.austin.cc.tx.us/HongXiao/Book.htm
77
www2.austin.cc.tx.us/HongXiao/Book.htm
78
Silicon sample
RIE Experiment
XeF2
Only
70
60
XeF 2 + Ar+
Ar+ Only
50
40
30
20
10
0
Time
Experiment arrangement
Experiment results
www2.austin.cc.tx.us/HongXiao/Book.htm
79
RIE
Physical Etch
Examples
Argon sputtering
Etch rate
High to low
High, controllable
Low
Selectivity
Very good
Reasonable, controllable
Very poor
Etch profile
Isotropic
Anisotropic, controllable
Anisotropic
Endpoint
By time or visual
Optical
By time
www2.austin.cc.tx.us/HongXiao/Book.htm
80
Generation of
Etchant Species
Diffusion to Surface
Gas Flow
Ion
Bombardment
Adsorption
Byproducts
Diffusion into
convection flow
Sheath
Boundary layer
layer
5 Desorption
6
4 Reaction
Film
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
81
Blocking
Oxide
Nitride
Epi-silicon
Polysilicon
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
82
Damaging Mechanism
Heavy ion bombardment damages chemical bonds
Exposed surface atoms are easier to react with
etchant free radicals
Ion bombardment is mainly in vertical direction
Etch rate on vertical direction is much higher than
on horizontal direction anisotropic etch
www2.austin.cc.tx.us/HongXiao/Book.htm
83
Damage Mechanism
PR
Exposed
atom
Etched Atom
or molecule
Hong Xiao, Ph. D.
PR
Ions
Etchant free
radical
www2.austin.cc.tx.us/HongXiao/Book.htm
Broken
bonds
Etch Byproduct
84
Blocking Mechanism
Chemicals deposit on the surface
Sputtered photoresist and/or byproducts of etch
chemical reaction
Ion bombardment is mainly in vertical direction
It prevents deposition to buildup on bottom
Deposition on sidewall blocks etch process
Etch process is mainly in vertical direction
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
85
Blocking Mechanism
PR
Knocked
away bottom
deposition
Etched Atom
or molecule
Hong Xiao, Ph. D.
PR
Ions
Sidewall
deposition
Etchant free
radical
www2.austin.cc.tx.us/HongXiao/Book.htm
Etch Byproduct
86
Pure Physical
Etch
Blocking mechanism
Damaging mechanism
No ion
bombardment
Only ion
bombardment
PR strip
Ti strip
Polysilicon etch
Oxide etch
Sputtering etch
Nitride strip
Metal etch
Nitride etch
www2.austin.cc.tx.us/HongXiao/Book.htm
87
www2.austin.cc.tx.us/HongXiao/Book.htm
88
www2.austin.cc.tx.us/HongXiao/Book.htm
89
Batch Systems
High throughput
Older systems
Smaller diameter, <150 mm or 6 inch
Downstream etcher and barrel etch system
Both are pure chemical etch, no ion bombardment
www2.austin.cc.tx.us/HongXiao/Book.htm
90
Etch Chamber
Lower pressure, longer MFP, less collisions
High ion energy, less ion scattering and better
anisotropy etch profile
Lower pressure also helps to remove the etch
byproducts
Etch chambers usually operate at lower pressure
www2.austin.cc.tx.us/HongXiao/Book.htm
91
Plasma
Remote Plasma
Chamber
Free Radicals
Byproducts to
Vacuum Pump
Etch Chamber
www2.austin.cc.tx.us/HongXiao/Book.htm
Wafers
92
RF
Plasma
Etch
Gas
In
Wafer
To Pump
RF
Hong Xiao, Ph. D.
Etch
Tunnel
www2.austin.cc.tx.us/HongXiao/Book.htm
RF
93
Plasma
To Vacuum Pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
94
Plasma
Magnet
coils
Wafer
Chuck
By-products to
the pump
RF Power
Helium For
backside cooling
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
95
Purpose of Magnets
Long MFP, insufficient ionization collisions
In a magnetic field, electron is forced to spin
with very small gyro-radius
Electrons have to travel longer distance
More chance to collide
Increasing plasma density at low pressure
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
96
www2.austin.cc.tx.us/HongXiao/Book.htm
97
Plasma
B E
Sheath
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
98
Wafer Cooling
www2.austin.cc.tx.us/HongXiao/Book.htm
99
Clamp Ring
Clamp Ring
Wafer
Seal
O-ring
Water-cooled pedestal,
cathode, or chuck
Helium
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
100
www2.austin.cc.tx.us/HongXiao/Book.htm
101
Electrostatic Chuck
Plasma
Thermal
Conducting,
Electrical
Insulating
Layer
Wafer
Bias Voltage
Chuck
Helium
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
102
Facts of Helium
Name
Symbol
Atomic number
Atomic weight
Discoverer
Helium
He
2
4.002602
Sir William Ramsay and independently by N. A.
Langley and P. T. Cleve
London, England and Uppsala, Sweden
1895
From the Greek word "helios" meaning "sun". Its line
radiation (a yellow line at 587.49 nm) was first
detected from the solar spectrum during in solar
eclipse of 1868 in India by French astronomer,
Discovered at
Discovery date
Origin of name
Molar volume
Velocity of sound
Refractive index
Melting point
Boiling point
Thermal conductivity
Applications
Hong Xiao, Ph. D.
21.0cm 3
970 m/sec
1.000035
0.95 K or -272.05 C
4.22 K or -268.78 C
0.1513 W m-1 K-1
Cooling gas and carrier gas in CVD and etch
processes
www2.austin.cc.tx.us/HongXiao/Book.htm
103
www2.austin.cc.tx.us/HongXiao/Book.htm
104
HDP Systems
Inductively coupled plasma (ICP)
Electron cyclotron resonance (ECR)
Helicon
www2.austin.cc.tx.us/HongXiao/Book.htm
105
ICP
www2.austin.cc.tx.us/HongXiao/Book.htm
106
ICP Chamber
Upper part of chamber: ceramic or quartz
Source RF inductively couple with plasma
Source RF generates plasma and controls ion
density
Bias RF controls ion bombardment energy
Ion energy and density independently controlled
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
107
Source RF
RF coils
Plasma
Wafer
E-Chuck
Byproducts
to the pump
Bias RF
Helium backside cooling
www2.austin.cc.tx.us/HongXiao/Book.htm
108
ECR
In magnetic field, electron gyro-frequency
e (MHz) = 2.80 B (Gauss)
If incident microwave frequency equals to
e
MW = e
Resonance
Electrons get energy from microwave
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
109
ECR
Resonance condition wont change with fixed
MW and B
Electrons gyro-radius, = vt/e is very small
Electron can be accelerated to high energy for
ionization collision
Generate high density plasma at low pressure
www2.austin.cc.tx.us/HongXiao/Book.htm
110
Illustration of ECR
Electron trajectory
Mic
row
ave
Pow
er
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
111
Magnetic
Coils
ECR
Plasma
Magnetic
field line
Wafer
Bias RF
E-chuck
Helium
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
112
Endpoint
Each atom has its own emission wavelength
Color of plasma changes when etch
different materials
Optical sensors can be used to detect the
change and indicate the endpoint for plasma
etch processes
www2.austin.cc.tx.us/HongXiao/Book.htm
113
Etchant
Cl2, BCl3
Poly Si
Cl2
Si3N4
CF4/O2
SiO2
PSG, BPSG
W
Wavelength ()
2614
3962
2882
6156
3370
3862
7037
6740
7037
4835
6156
2535
7037
www2.austin.cc.tx.us/HongXiao/Book.htm
Emitter
AlCl
Al
Si
O
N2
CN
F
N
F
CO
O
P
F
114
www2.austin.cc.tx.us/HongXiao/Book.htm
115
www2.austin.cc.tx.us/HongXiao/Book.htm
116
www2.austin.cc.tx.us/HongXiao/Book.htm
117
PLASMA ETCH
Etch dielectric
Etch single crystal silicon
Etch polysilicon
Etch metal
Summary
www2.austin.cc.tx.us/HongXiao/Book.htm
118
Dielectric Etch
Etch oxide
Doped and undoped silicate glass
Contact (PSG or BPSG)
Via (USG, FSG or low- dielectric)
Etch nitride
STI
Bonding pad
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
119
Dielectric Etch
Fluorine chemistry
4F + SiO2 SiF4 +2O
CF4 is commonly used as fluorine source
NF3 and SF6 have also been used
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
120
Fluorine
F
9
18.9984032
Henri Moissan
France
1886
From the Latin word "fluere" meaning "to flow"
11.20 cm3
No data
1.000195
53.53 K or -219.47 C
85.03 K or -187.97 C
0.0277 W m-1 K-1
Free fluorine as the main etchant for silicon oxide and
silicon nitride etching processes
www2.austin.cc.tx.us/HongXiao/Book.htm
121
Contact Etch
www2.austin.cc.tx.us/HongXiao/Book.htm
122
Contact Etch
Etch PSG or BPSG
Open contact hole for silicon to metal
interconnections
Need high selectivity over silicide and
photoresist
Fluorine chemistry
F + SiO2
gas
Hong Xiao, Ph. D.
solid
SiF4 + O
gas
www2.austin.cc.tx.us/HongXiao/Book.htm
gas
123
CMOS Cross-section
Contact Etch
Titanium/Titanium Nitride
TiN ARC
Titanium
Al-Cu Alloy
W BPSG
STI
n+
n+
p+
USG
P-Well
N-Well
P-Epi
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
124
www2.austin.cc.tx.us/HongXiao/Book.htm
125
Contact Etch
Photoresist
BPSG
t
t
STI
n+
TiSi2
www2.austin.cc.tx.us/HongXiao/Book.htm
126
Contact Etch
F/C ratio
www2.austin.cc.tx.us/HongXiao/Book.htm
127
Dielectric Etch
plasma
CF4 CF3 + F
plasma
4F + SiO2
SiF4 + 2O
plasma
12F + TiSi2
Hong Xiao, Ph. D.
TiF4 + 2SiF4
www2.austin.cc.tx.us/HongXiao/Book.htm
128
C2F6
CF4
Bias (Volts)
-200
Etching
-100
Polymerization
0
1
www2.austin.cc.tx.us/HongXiao/Book.htm
F/C Ratio
129
Via Etch
Etch USG
Open via hole for metal to metal interconnections
Need high selectivity over metal and photoresist
Fluorine chemistry
www2.austin.cc.tx.us/HongXiao/Book.htm
130
CMOS Cross-section
Via Etch
Metal 2
IMD 1
Al-Cu Alloy
USG
M1
Al-Cu Alloy
W BPSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
131
Etch Via
PR mask
Fluorine as the main etchant
CF4, CHF3 and Ar are used for the etch
process. O2 or H2 also can be used
High selectivity over metal
Avoiding metal sputtering
Dual damascene etch
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
132
Name of etch
Hard mask
Contact
Via
Bonding pad
Materials
Si3N4 or SiO2
PSG or BPSG
USG or FSG
Etchants
CF4, CHF 3
Underneath layer
Poly or silicide
Metal
Metal
Endpoints
CN, N or O
P, O, and F
O, Al and F
O, Al and F
www2.austin.cc.tx.us/HongXiao/Book.htm
133
www2.austin.cc.tx.us/HongXiao/Book.htm
134
CMOS Cross-Section
Single Crystal
Silicon Etch
TiN ARC
Titanium
Al-Cu Alloy
W BPSG
STI
n+
n+
p+
USG
P-Well
N-Well
P-Epi
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
135
Poly-Si
SiO2
SiO2
Si
Etch hard mask
Hong Xiao, Ph. D.
Si
Etch silicon
Si
Capacitor Formation
www2.austin.cc.tx.us/HongXiao/Book.htm
136
Bromine
Br
35
79.904
Antoine-J. Balard
France
1826
From the Greek word "bromos" meaning "stench"
19.78 cm 3
No data
> 1018 cm
1.001132
-7.2 C
59 C
0.12 W m-1 K-1
Free bromine as the main etchant for single crystal
silicon etching processes
HBr
www2.austin.cc.tx.us/HongXiao/Book.htm
137
HBr H + Br
Br + Si SiBr4
Small amount O2 for sidewall passivation
A little NF3 for preventing black silicon
Endpoint by time
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
138
Polysilicon Etch
Gates and local interconnections
Most critical etch process, smallest CD
solid
www2.austin.cc.tx.us/HongXiao/Book.htm
gas
139
Sources
Hong Xiao, Ph. D.
Chlorine
Cl
17
35.4527
Carl William Scheele
Sweden
1774
From the Greek word "chloros" meaning "pale green"
17.39 cm3
206 m/sec
> 1010 cm
1.000773
-101.4 C or 171.6 K
-33.89 C or 239.11 K
0.0089 W m-1 K-1
Used as the main etchant for poly silicon and metal
etching processes. Polysilicon, epitaxy silicon
deposition chamber clean.
Cl2, HCl
www2.austin.cc.tx.us/HongXiao/Book.htm
140
Gate etch
Polysilicon gate
USG
STI
P-Well
P-Epi
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
141
Polysilicon Etch
Cl2 as the main etchant
HBr for sidewall passivation, blocking
mechanism
Add O2 in over etch step to improve
selectivity to SiO2.
High selectivity over SiO2 is required
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
142
Polysilicon Etch
Photoresist
Gate Oxide
SiCl4
Cl
Cl Polysilicon
www2.austin.cc.tx.us/HongXiao/Book.htm
143
Polysilicon Etch
Poly-Si on
sidewall
SiO 2
PR
Gate
oxide
Poly-Si on
sidewall
Poly
p+
N-well
www2.austin.cc.tx.us/HongXiao/Book.htm
144
Polysilicon Etch
Process steps:
Breakthrough
Removal of native oxide, energetic Ar+ bombardment
Main etch
High poly etch rate, Cl and HBr chemistry
Endpoint on O line
Over etch
Reduce power, add O 2 for high selectivity over SiO 2
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
145
Metal Etch
Etch TiN/AlCu/Ti metal stack to form
metal interconnection
Usually use Cl2 + BCl3 chemistry
Need etch away Cu in Al either physically
or chemically
Need strip photoresist before wafer
exposure to moisture in atmosphere
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
146
CMOS Cross-section
Metal Etch
Titanium/Titanium Nitride
TiN ARC
Titanium
Al-Cu Alloy
W BPSG
STI
n+
n+
p+
USG
P-Well
N-Well
P-Epi
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
147
Etch Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
148
Cl2
Cl + Cl
plasma
Cl + Al
AlCl3
plasma
Cl + TiN
TiCl4 + N
plasma
Cl + Ti
Hong Xiao, Ph. D.
TiCl4
www2.austin.cc.tx.us/HongXiao/Book.htm
149
www2.austin.cc.tx.us/HongXiao/Book.htm
150
H2O
H + Cl
O + PR
Hong Xiao, Ph. D.
2H + O
HCl
H2O + CO + CO2 +
www2.austin.cc.tx.us/HongXiao/Book.htm
151
Remote plasma
chamber
Plasma
Process chamber
Wafer with
photoresist
O H O
O H O H
www2.austin.cc.tx.us/HongXiao/Book.htm
Heated plate
152
www2.austin.cc.tx.us/HongXiao/Book.htm
153
www2.austin.cc.tx.us/HongXiao/Book.htm
154
Polysilicon Gate
n- LDD
Hong Xiao, Ph. D.
Gate Oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
n- LDD
155
Polysilicon Gate
n- LDD
Hong Xiao, Ph. D.
Gate Oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
n- LDD
156
Polysilicon Gate
n- LDD
Hong Xiao, Ph. D.
Gate Oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
n- LDD
157
Polysilicon Gate
n- LDD
Hong Xiao, Ph. D.
Gate Oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
n- LDD
158
Polysilicon Gate
n- LDD
Hong Xiao, Ph. D.
Gate Oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
n- LDD
159
Polysilicon Gate
n- LDD
Hong Xiao, Ph. D.
Gate Oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
n- LDD
160
Polysilicon Gate
n- LDD
Hong Xiao, Ph. D.
Gate Oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
n- LDD
161
Sidewall
Spacer
n- LDD
Hong Xiao, Ph. D.
Polysilicon Gate
Gate Oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
Sidewall
Spacer
n- LDD
162
Etch Safety
Corrosive and toxic gases
Cl2, BCl3, SiF4 and HBr
Could be fatal if inhalation at a high
concentration (>1000 ppm)
www2.austin.cc.tx.us/HongXiao/Book.htm
163
Etch Safety
All moving parts, are mechanical hazards
May cause injury if one does not stay clear
Lock-out, tag-out
www2.austin.cc.tx.us/HongXiao/Book.htm
164
RF Power
Increasing RF power increases ion density,
ion bombardment energy, and number of
free radicals
Etch rate will increase significantly
The most important knob that controls
etch rate
Check RF system first if etch rate is out of
specifications
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
165
Selectivity
Etch Rate
ER
Selectivity
RF Power
www2.austin.cc.tx.us/HongXiao/Book.htm
166
Pressure
Pressure affects plasma density and shape
Has strong effects on etch uniformity
www2.austin.cc.tx.us/HongXiao/Book.htm
167
More Chemical
More Physical
Etch Trends
RF
Pressure
www2.austin.cc.tx.us/HongXiao/Book.htm
168
www2.austin.cc.tx.us/HongXiao/Book.htm
169
plasma
Magnetic
field line
Wafer
Bias RF
E-chuck
Helium
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
170
www2.austin.cc.tx.us/HongXiao/Book.htm
171
Copper Etch?
Cl2 chemistry
Low pressure (< 5 mTorr)
High temperature (>250 C)
Cannot use photoresist
Need CVD oxide hard mask
www2.austin.cc.tx.us/HongXiao/Book.htm
172
Substrate
UV
Mask
Substrate
Substrate
SiO2
Substrate
Hong Xiao, Ph. D.
Exposure, partially
oxidation of Si-PR
www2.austin.cc.tx.us/HongXiao/Book.htm
173
Future Trends
Challenges ahead:
Etch high- materials of gate dielectric and
capacitor dielectric
Etch low- materials of inter-metal
dielectric
www2.austin.cc.tx.us/HongXiao/Book.htm
174
Summary
Plasma etch is widely used for patterned etch
process to transfer image on photoresist to
surface materials.
Epi, poly, oxide and metal
Fluorine for oxide etch
HBr for single crystal silicon etch
Chlorine for polysilicon and metal etch
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
175
Summary
Certain vacuum and constant RF power are
need to strike and maintain a stable plasma
RF power is main knob to control etch rate
Pressure affects uniformity and etch profile
High plasma density at low pressure are
desired for etch deep sub-micron features.
Dry chemical etch can be achieved with
remote plasma source
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
176
Chapter 10
CVD and Dielectric
Thin Film
Hong Xiao, Ph. D.
hxiao89@hotmail.com
www2.austin.cc.tx.us/HongXiao/Book.htm
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Objectives
Identify at least four CVD applications
Describe CVD process sequence
List the two deposition regimes and
describe their relation to temperature
List two dielectric thin films
Name the two most commonly used silicon
precursors for dielectric CVD
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
SiO2
SiO2
Si
Grown film
Si
Bare silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
Si
Deposited film
CVD
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
Figure 10.2
PD2
Nitride
Oxide
Metal 2, AlCu
ARC
AlCu
PD1
W USG
IMD or
ILD2
Metal 1, AlCu
PMD or
ILD1
W
STI
Sidewall
spacer
n+
WCVD
BPSG
n+
USG
P-well
P-epi
P-wafer
p+
p+
STI
N-well
www2.austin.cc.tx.us/HongXiao/Book.htm
TiN
CVD
Dielectric Processes
An N-layer metal interconnection IC chip with
STI, the minimum number of dielectric process is:
Dielectric layer = 1
+1
+ 1 + (N1) + 1 = N + 3
IMD
www2.austin.cc.tx.us/HongXiao/Book.htm
PD
CVD
Chemical Vapor Deposition
Chemical gases or vapors react on the surface
of solid, produce solid byproduct on the
surface in the form of thin film. Other
byproducts are volatile and leave the surface.
www2.austin.cc.tx.us/HongXiao/Book.htm
CVD Applications
FILMS
PRECURSORS
Si (poly)
SiH 4 (silane)
SiCl2H 2 (DCS)
SiCl3H (TCS)
SiCl4 (Siltet)
LPCVD
SiH 4, O 2
PECVD
SiH4, N 2O
PECVD
Si(OC2H 5) 4 (TEOS), O2
Semiconductor
Si (epi)
SiO 2 (glass)
Dielectrics
LPCVD
APCVD&SACVDTM
Conductors
Hong Xiao, Ph. D.
TEOS
TEOS, O 3 (ozone)
Oxynitride
Si3N4
PECVD
SiH 4, N2 , NH3
LPCVD
SiH 4, N2 , NH3
LPCVD
C8H 22N2Si (BTBAS)
W (Tungsten)
WSi2
TiN
Ti
Cu
www2.austin.cc.tx.us/HongXiao/Book.htm
10
CVD
www2.austin.cc.tx.us/HongXiao/Book.htm
11
Figure 10.3
Precursors
Showerhead
Forced
convection
region
Byproducts
Reactants
Boundary
layer
Pedestal
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
12
Deposition Process
Nucleation:
Island formation
www2.austin.cc.tx.us/HongXiao/Book.htm
13
Deposition Process
Islands grow
Islands merge
Hong Xiao, Ph. D.
Islands grow,
cross-section
www2.austin.cc.tx.us/HongXiao/Book.htm
14
CVD Processes
APCVD
LPCVD
PECVD
www2.austin.cc.tx.us/HongXiao/Book.htm
15
www2.austin.cc.tx.us/HongXiao/Book.htm
16
APCVD Reactor
N2
Process Gas
N2
Wafers
Wafers
Heater
Belt Clean
Station
Hong Xiao, Ph. D.
Exhaust
www2.austin.cc.tx.us/HongXiao/Book.htm
Conveyor
Belt
17
Question
A semiconductor manufacturer has its R&D
lab on the coast near sea level and one of its
manufacturing fabs on a high altitude
plateau. It was found that the APCVD
processes developed in the R&D lab
couldnt directly apply in that particular fab.
Why?
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
18
Answer
On a high-altitude plateau, the atmospheric
pressure is significantly lower than at sea
level. Because earlier APCVD reactor
didnt have a pressure-control system, a
process that worked fine in the R&D lab at
sea level might not work well in the high
altitude fab because of pressure difference
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
19
LPCVD
Longer MFP
Good step coverage & uniformity
Vertical loading of wafer
Fewer particles and increased productivity
Less dependence on gas flow
Vertical and horizontal furnace
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
20
www2.austin.cc.tx.us/HongXiao/Book.htm
21
LPCVD System
Pressure
Sensor
Wafers
Heating Coils
Loading
Door
To Pump
Wafer Boat
Quartz
Tube
Center Zone
Flat Zone
Distance
www2.austin.cc.tx.us/HongXiao/Book.htm
22
PECVD
Developed when silicon nitride replaced
silicon dioxide for passivation layer.
www2.austin.cc.tx.us/HongXiao/Book.htm
23
Process
gases
Process
chamber
Wafer
Plasma
By-products to
the pump
Hong Xiao, Ph. D.
Heated plate
www2.austin.cc.tx.us/HongXiao/Book.htm
24
Step Coverage
A measurement of the deposited film
reproducing the slope of a step on the
substrate surface
One of the most important specifications
www2.austin.cc.tx.us/HongXiao/Book.htm
25
Structure
h
b
d
w
Substrate
Sidewall step coverage = b/a
Conformity = b/c
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
26
www2.austin.cc.tx.us/HongXiao/Book.htm
27
Arriving Angles
180
270
B
A
90
C
www2.austin.cc.tx.us/HongXiao/Book.htm
28
Arriving Angle
www2.austin.cc.tx.us/HongXiao/Book.htm
29
Dielectric
Metal
Dielectric
Void
Metal
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Dielectric
30
www2.austin.cc.tx.us/HongXiao/Book.htm
31
APCVD
LPCVD
No mobility
No mobility
www2.austin.cc.tx.us/HongXiao/Book.htm
High mobility
32
Smaller
arriving
angle
PSG
Nitride
Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
33
Gap Fill
Fill a gap without voids
Voids: cause defect and reliability problems
Deposition/Etchback/Deposition
Silane and PE-TEOS film
Conformal deposition
O3-TEOS and tungsten CVD
www2.austin.cc.tx.us/HongXiao/Book.htm
34
Gap Fill
PMD: zero tolerance voids
Tungsten can be deposited into these voids
Causing shorts
www2.austin.cc.tx.us/HongXiao/Book.htm
35
Void in PMD
Top view
Void
Before W CVD
Silicide
Silicide
Sidewall spacers
Contact
www2.austin.cc.tx.us/HongXiao/Book.htm
36
Tungsten
After W CVD
Silicide
Silicide
Sidewall spacers
W plug
www2.austin.cc.tx.us/HongXiao/Book.htm
37
Deposition/Etchback/Deposition
Dep.
USG
AlCu
Etch
USG
AlCu
Dep.
USG
AlCu
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
38
www2.austin.cc.tx.us/HongXiao/Book.htm
39
www2.austin.cc.tx.us/HongXiao/Book.htm
40
www2.austin.cc.tx.us/HongXiao/Book.htm
41
Metal
Metal
Metal
Metal
Metal
Metal
Metal
Metal
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
42
Surface Adsorption
Determine precursors surface mobility
Affect step coverage and gap fill
Physical adsorption (physisorption)
Chemical adsorption (chemisorption)
www2.austin.cc.tx.us/HongXiao/Book.htm
43
Chemisorption
Actual chemical bonds between surface atom
and the adsorbed precursor molecule
Bonding energy usually exceeding 2 eV
Low surface mobility
Ion bombardment with10 to 20 eV energy in
PECVD processes can cause some surface
migration of chemisorbed precursors
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
44
Physisorption
Weak bond between surface and precursor
Bonding energy usually less than 0.5 eV
Hydrogen bonding
Van der Waals forces
www2.austin.cc.tx.us/HongXiao/Book.htm
45
Bonding
energy
Adsorptions
Distance
from surface
Physisorbed precursor
Chemisorbed precursor
Substrate Surface
www2.austin.cc.tx.us/HongXiao/Book.htm
46
www2.austin.cc.tx.us/HongXiao/Book.htm
47
www2.austin.cc.tx.us/HongXiao/Book.htm
48
www2.austin.cc.tx.us/HongXiao/Book.htm
49
H
H
Si
Si
H
www2.austin.cc.tx.us/HongXiao/Book.htm
50
www2.austin.cc.tx.us/HongXiao/Book.htm
51
www2.austin.cc.tx.us/HongXiao/Book.htm
52
TEOS Molecule
O
O
Si
O
H
www2.austin.cc.tx.us/HongXiao/Book.htm
53
TEOS Applications
STI, sidewall spacer, PMD, and IMD
Most dielectric CVD processes are TEOS
based oxide processes
www2.austin.cc.tx.us/HongXiao/Book.htm
54
100
30
30
10
10
1
10
20 30 40 50 60 70 80 90 100 110
Temperature (C)
www2.austin.cc.tx.us/HongXiao/Book.htm
55
TEOS Delivery
A liquid at room temperature with boiling
point at the sea level is 168 C
As a reference, boiling point of water (H2O) at sea
level is 100 C
www2.austin.cc.tx.us/HongXiao/Book.htm
56
Boiler System
Heated gas line
MFC
Heated foreline
Process
chamber
Pump
TEOS
Thermostatic oven
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
57
Bubbler System
Heated gas line
Carrier gas
MFC
MFM
Process
chamber
Liquid
TEOS
Pump
Thermostatic
oven
Hong Xiao, Ph. D.
Carrier gas
bubbles
www2.austin.cc.tx.us/HongXiao/Book.htm
58
Injection System
Carrier
gas
Pressurize
gas
LFC
MFC
Injection
valve
Liquid TEOS
flow
Liquid TEOS
Heated gas
line, TEOS
vapor and
carrier gas
www2.austin.cc.tx.us/HongXiao/Book.htm
Process
chamber
Pump
59
Sticking Coefficient
The probability that precursor atom forms
chemical bond with surface atom in one
collision
Can be calculated by comparing the calculated
deposition rate with 100% sticking coefficient
and the measured actual deposition rate
www2.austin.cc.tx.us/HongXiao/Book.htm
60
Sticking Coefficient
Precursors
Sticking Coefficient
SiH4
3 10-4 to 3 10-5
SiH3
0.04 to 0.08
SiH2
0.15
SiH
0.94
TEOS
10-3
WF6
10-4
www2.austin.cc.tx.us/HongXiao/Book.htm
61
TEOS
Silane
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
62
Question
Why dont people apply TEOS as the
silicon source gas for the silicon nitride
deposition to get better step coverage for the
nitride film
www2.austin.cc.tx.us/HongXiao/Book.htm
63
Answer
In the TEOS molecule, the silicon atom is
bonded with four oxygen atoms. It is almost
impossible to strip all oxygen atoms and
have silicon bonded only with nitrogen.
Therefore, TEOS is mainly used for the
oxide deposition and the nitride deposition
normally uses silane as the silicon source
gas
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
64
Ea
Precursor
Byproduct
www2.austin.cc.tx.us/HongXiao/Book.htm
65
Deposition Regimes
Surface-reactionlimited regime
ln D.R.
Mass-transport-limited
regime
Slope = Ea /k
Gas-phase-nucleation
regime
1/T
www2.austin.cc.tx.us/HongXiao/Book.htm
66
Surface-Reaction-Limited Regime
Chemical reaction rate cant match precursor
diffusion and adsorption rates; precursors pile
up on the substrate surface and wait their turn
to react.
D.R. = C.R. [B] [C] []
Deposition rate is very sensitive to temperature
www2.austin.cc.tx.us/HongXiao/Book.htm
67
Mass-Transport-Limited Regime
When the surface chemical reaction rate is high
enough, the chemical precursors react
immediately when they adsorb on the substrate
surface.
Deposition rate = D dn/dx [B] [C] []
Deposition rate is insensitive to temperature
Mainly controlled by gas flow rates
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
68
Deposition rate
Dep. rate
insensitive to
temperature
Dep. rate
sensitive to
temperature
Temperature
www2.austin.cc.tx.us/HongXiao/Book.htm
69
www2.austin.cc.tx.us/HongXiao/Book.htm
70
www2.austin.cc.tx.us/HongXiao/Book.htm
71
Nitride (Si3N4)
Transparent to UV
www2.austin.cc.tx.us/HongXiao/Book.htm
72
Etch nitride,
oxide and
silicon
Grow barrier
oxide
CMP USG
Anneal USG
CVD USG
trench fill
USG
Si
Si
Strip nitride
and oxide
Si
USG
Si
www2.austin.cc.tx.us/HongXiao/Book.htm
USG
Si
73
www2.austin.cc.tx.us/HongXiao/Book.htm
74
Oxide
Poly gate
Poly gate
Substrate
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
75
PMD
Doped oxide
PSG or BPSG
Phosphorus: gettering sodium and reduce flow
temperature.
Boron: further reduces flow temperature without
excessive phosphorus
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
76
VG = 0
VD
Electron flow
Metal Gate
SiO2
n+
Source
Drain
Normal off
VD > 0
Metal Gate
n+
p-Si
VG = 0
Thin oxide
n+
Source
Electrons
www2.austin.cc.tx.us/HongXiao/Book.htm
++++++++
p-Si
n+
Drain
Turn on by Na +
77
PMD
More phosphorus, lower reflow temperature
>7wt% phosphorus, hygroscope
P2O5 + 3H2O 2H3PO4
H3PO4 etches aluminum causes metal corrosion
Too much boron will cause crystallization of
boric acid. H3BO3.
Limit, P% + B% < 10%
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
78
Question
Silicon nitride is a better sodium barrier
layer than silicon oxide. Why dont people
just use nitride for PMD layer?
www2.austin.cc.tx.us/HongXiao/Book.htm
79
Answer
Silicon nitride has higher dielectric constant
Using nitride can cause longer RC time
delay and reduce circuit speed
A thin layer of nitride (~ 200 ) is
commonly used as a diffusion barrier layer
in the PMD application
Prevent diffusion of phosphorus and boron
from BPSG diffusing into source/drain
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
80
2.2%
4.6%
7.2%
Source: VLSI Technology, by S.M. Sze
www2.austin.cc.tx.us/HongXiao/Book.htm
81
Sodium
Na
11
22.989770
Sir Humphrey Davy
England
1807
From the English word "soda" (the origin of the
symbol Na comes from the Latin word "natrium")
0.968 g/cm3
23.78 cm3
3200 m/sec
4.7 cm
No data
97.72 C
882.85 C
140 W m-1 K-1
7110-6 K-1
Major contaminant, needs to be strictly controlled
HCl
Silicon nitride and PSG
www2.austin.cc.tx.us/HongXiao/Book.htm
82
www2.austin.cc.tx.us/HongXiao/Book.htm
83
PMD
Planarization
Reflow temperature
> 2 m
PSG
Reflow
1100C
2 - 0.35 m
BPSG
Reflow
850 - 900 C
0.25 m
BPSG
Reflow + CMP
750C
0.18 m
PSG
CMP
www2.austin.cc.tx.us/HongXiao/Book.htm
84
0.8
0.5
1989
1992
150
0.35
1995
0.25
1998
0.18
2000
300
200
O3-TEOS BPSG
Thermal Flow or RTP/CMP
PE-TEOS BPSG
LPCVD BPSG
Thermal Flow
www2.austin.cc.tx.us/HongXiao/Book.htm
Low-k Dielectric
CMP
85
IMD
Inter-metal dielectric
Undoped silicate glass (USG) or FSG
SOG
Gap fill and planarization
Temperature limited by metal melting
Normally 400 C
www2.austin.cc.tx.us/HongXiao/Book.htm
86
TEOS
H
Tetraethyloxysilane,
Si(OC2H5)4
Liquid silicon source
Commonly used for
SiO2 deposition
Good step coverage
and gap fill
O
O
Si
O
H
www2.austin.cc.tx.us/HongXiao/Book.htm
87
PE-TEOS
www2.austin.cc.tx.us/HongXiao/Book.htm
88
IMD 3
METAL 3
IMD 2
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
89
PE-TEOS
PE-TEOS
Photo courtesy:
Applied Materials
Sputtering
etchback
PE-TEOS
www2.austin.cc.tx.us/HongXiao/Book.htm
90
O3-TEOS
TEOS and Ozone
O3 O2 + O (half-life time: 86 hours at 22 C,
< 1ms at 400 C)
O + TEOS USG + other volatile byproducts
Excellent step coverage and gap fill.
Applied for IMD and PMD
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
91
O3-TEOS vs PE-TEOS
PE-TEOS
Ozone-TEOS
www2.austin.cc.tx.us/HongXiao/Book.htm
92
www2.austin.cc.tx.us/HongXiao/Book.htm
93
Metal
Metal
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
94
Oxide CMP
Metal
Metal
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
95
Passivation
Nitride and oxide
Nitride is very good barrier layer, oxide help
nitride stick with metal
Silane process
NH3, N2 and nitrogen precursors, N2O as
oxygen precursor
In-situ CVD process
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
96
Refractive index
Thickness
Uniformity
Stress
Particles
www2.austin.cc.tx.us/HongXiao/Book.htm
97
Refractive Index
Refractive index, n =
www2.austin.cc.tx.us/HongXiao/Book.htm
98
Refractive Index
Incident light
Vacuum
n1
Film
n2
Hong Xiao, Ph. D.
n1 sin 1 = n2 sin 2
2 Refractive light
www2.austin.cc.tx.us/HongXiao/Book.htm
99
4.0
Polysilicon
Oxygen rich
Nitrogen rich
2.01
Si3N4
Oxynitride
SiO2
1.46
Oxygen rich
Hong Xiao, Ph. D.
Silicon rich
Nitrogen rich
Silicon rich
www2.austin.cc.tx.us/HongXiao/Book.htm
100
p
s
n1, k1, t1
n2, k2
www2.austin.cc.tx.us/HongXiao/Book.htm
101
Photo detector
Substrate
Coupling head
www2.austin.cc.tx.us/HongXiao/Book.htm
102
Reflected
Modes
20
10
-10
www2.austin.cc.tx.us/HongXiao/Book.htm
-20
103
www2.austin.cc.tx.us/HongXiao/Book.htm
104
Prism coupler
www2.austin.cc.tx.us/HongXiao/Book.htm
105
Thickness Measurement
One of the most important measurements
for dielectric thin film processes.
Determines
Film deposition rate
Wet etch rate
Shrinkage
www2.austin.cc.tx.us/HongXiao/Book.htm
106
Human eye or
photodetector
1
2
www2.austin.cc.tx.us/HongXiao/Book.htm
107
www2.austin.cc.tx.us/HongXiao/Book.htm
108
Question
If you see some beautiful color rings on a wafer
with a CVD dielectric layer, what is your
conclusion?
www2.austin.cc.tx.us/HongXiao/Book.htm
109
Answer
Color change indicates the dielectric thin film
thickness change, thus we know the film with
the color rings must have problem with
thickness uniformity, which is most likely
caused by a non-uniform thin film deposition
process.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
110
Question
Why does the thin film color change when one
look at the wafer from different angle?
www2.austin.cc.tx.us/HongXiao/Book.htm
111
Answer
When one looking at wafer from a different
angle, phase shift will change, thus wavelength
for constructive interference will change, which
causes color change
It is important to hold the wafer straight when
using the color chart to measure film thickness
Tilt wafer makes the film thickness thicker than
it actually is
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
112
Spectroreflectometry
Measure the reflected light intensity at different
wavelengths
Calculate thin film thickness from the
relationship between reflected light intensity and
wavelength.
Photodetector is more sensitive than human eyes
Spectroreflectometry can obtain much higher
resolution and accuracy for the film thickness
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
113
Reflectance (%)
50
Constructive interference
45
Destructive interference
40
35
30
25
20
15
10
1
2
3
5
0
358 417 476 535 594 653 712 771
Wavelength (nm)
1
1
1
=
m m +1 2nt
www2.austin.cc.tx.us/HongXiao/Book.htm
114
Spectroreflectometry System
Detectors
UV lamp
Film
Substrate
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
115
Question
Many advance thin film thickness
measurement tools allows user to choose
the refractive index of the film. If someone
mistakenly chooses the PE-TEOS USG film
refractive index to measure O3-TEOS USG
films thickness, what will be the effect on
the measurement result?
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
116
Answer
Since the nt always coupled together
A wrong n will cause wrong t measurement
O3-TEOS USG is a porous film and has a
R.I., about 1.44
Slightly lower than 1.46 of PE-TEOS USG
Measured O3-TEOS film thickness will be
slightly thinner than its actual value
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
117
Deposition Rate
Deposition Rate =
www2.austin.cc.tx.us/HongXiao/Book.htm
118
Etch time
www2.austin.cc.tx.us/HongXiao/Book.htm
119
Uniformity
Multi-point measurement
Definition
x1 + x2 + x3 + + x N
Average:
x=
N
Standard deviation:
( x1 x ) 2 + ( x 2 x ) 2 + ( x3 x ) 2 + + ( x N x ) 2
=
N 1
www2.austin.cc.tx.us/HongXiao/Book.htm
120
Stress
Mismatch between different materials
Two kinds of stresses, intrinsic and extrinsic
Intrinsic stress develops during the film
nucleation and growth process.
The extrinsic stress results from differences
in the coefficients of thermal expansion
Tensile stress: cracking film if too high
Compressive stress: hillock if too strong
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
121
Film Stress
Bare Wafer
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
Substrate
Tensile Stress
Positive curvature
122
Si
SiO2
Si
At Room Temperature
L
L = T L
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
123
www2.austin.cc.tx.us/HongXiao/Book.htm
124
Stress Measurement
2
E h 1
1
=
( )
1 6t R2 R1
Wafer curvature change before and after thin
film deposition
Laser beam scans wafer surface, reflection
light indicates the wafer curvature
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
125
Stress Measurement
Detector
Laser
Mirror
www2.austin.cc.tx.us/HongXiao/Book.htm
126
www2.austin.cc.tx.us/HongXiao/Book.htm
127
www2.austin.cc.tx.us/HongXiao/Book.htm
128
www2.austin.cc.tx.us/HongXiao/Book.htm
129
SiH4 + N2O
heat
www2.austin.cc.tx.us/HongXiao/Book.htm
130
Question
Can we overflow silane and use nitrous
oxide flow rate to controlled deposition
rate?
www2.austin.cc.tx.us/HongXiao/Book.htm
131
Answer
Theoretically we can, but practically no one
should even try this
It is very dangerous and not cost effective
Overflowing silane will create a big safety
hazard: fire and explosion
Silane is more expensive than nitrous oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
132
www2.austin.cc.tx.us/HongXiao/Book.htm
133
www2.austin.cc.tx.us/HongXiao/Book.htm
134
www2.austin.cc.tx.us/HongXiao/Book.htm
135
www2.austin.cc.tx.us/HongXiao/Book.htm
136
www2.austin.cc.tx.us/HongXiao/Book.htm
137
= 2nt = /2
1
2
Photoresist
Dielectric ARC, n, k
Aluminum alloy
www2.austin.cc.tx.us/HongXiao/Book.htm
138
Dielectric ARC
PECVD silane process
N2O as oxygen and nitrogen source
plasma
SiH4 + N2O + He
He +
heat
www2.austin.cc.tx.us/HongXiao/Book.htm
139
PE-TEOS
www2.austin.cc.tx.us/HongXiao/Book.htm
140
PE-TEOS
USG process
Plasma
Si(OC2H5)4
+ O2
400 C
FGS process
plasma
FSi(OC2H5)3 + Si(OC2H5)4 + O2
(FTES)
(TEOS)
heat
Hong Xiao, Ph. D.
(FSG)
www2.austin.cc.tx.us/HongXiao/Book.htm
141
SiOxFy (FSG)
solid
= 3.9
higher k
SiF4
solid
gas
more F
~ 1
lower k, F outgassing
www2.austin.cc.tx.us/HongXiao/Book.htm
142
www2.austin.cc.tx.us/HongXiao/Book.htm
143
Etch
D
Robot
C
Sputter etch
chamber
Dep.
Sputter etch
chamber
Transfer
chamber
Cassette
handler
Dep.
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
144
Ar
Ar
www2.austin.cc.tx.us/HongXiao/Book.htm
145
Question
Why does sputtering etch process usually
use argon as the process gas?
www2.austin.cc.tx.us/HongXiao/Book.htm
146
Answer
It is inert, heavy, and relative inexpensive
The atomic weight of argon is 40, compared
with silicons 28 and heliums 4
Argon is the third most abundant element in
earth atmosphere (~ 1%) only after nitrogen
(78%) and oxygen (20%)
Can be purified directly from condensed air
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
147
Process
chamber
Plasma
Magnet coils
Chuck
By-products to
the pump
RF power
www2.austin.cc.tx.us/HongXiao/Book.htm
148
www2.austin.cc.tx.us/HongXiao/Book.htm
149
1 m planarization etchback
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
150
O3-TEOS Processes
Ozone is a very unstable molecule,
O3
O2 + O
At 400 C, half-lifetime of O3: < 1ms
Used as carrier of free oxygen radicals
Ozone reacts with TEOS form silicon oxide
Excellent conformality and gap fill capability
Sub-micron IC chip applications
APCVD and SA-CVD
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
151
Ozone Generation
Lighting, corona discharge
plasma
O2
O+O
www2.austin.cc.tx.us/HongXiao/Book.htm
152
Illustration of Ozonator
RF
O2 + N2
O2 + O3 + N2+ N2O +
www2.austin.cc.tx.us/HongXiao/Book.htm
153
UV sensor
L
Ozone cell
UV Lamp
Analyzer
O3/O2
Hong Xiao, Ph. D.
O3/O2
www2.austin.cc.tx.us/HongXiao/Book.htm
154
heat
Main applications
STI (higher temperature, ~ 550 C)
IMD (~ 400 C)
www2.austin.cc.tx.us/HongXiao/Book.htm
155
O3-TEOS USG
Step coverage
Hong Xiao, Ph. D.
Gap fill
www2.austin.cc.tx.us/HongXiao/Book.htm
156
O2 + O
O + TEPO + TEOS
heat
Main application
PMD
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
157
O3-TEOS BPSG
O 3 -TEOS
BPSG
Barrier
Nitride
Silicide
Poly Si
www2.austin.cc.tx.us/HongXiao/Book.htm
158
www2.austin.cc.tx.us/HongXiao/Book.htm
159
Si
Si
H
R
O
H
R = CH3, R = R or OH
Si(OH)4
RnSi(OH)4-n, n = 1, 2
Silicate
Siloxane
www2.austin.cc.tx.us/HongXiao/Book.htm
160
www2.austin.cc.tx.us/HongXiao/Book.htm
161
www2.austin.cc.tx.us/HongXiao/Book.htm
162
Question
With the feature size shrinking, metal line
width and gap between metal lines becomes
smaller. However the metal line height
doesn't shrink accordingly, which causes
larger gap aspect ratio.
Why doesnt shrink metal line height
accordingly to keep the same aspect ratio
and easier for dielectric gap fill?
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
163
Answer
Metal line resistance R = l/wh.
If h also reduces accordingly to l and w,
resistance will increase accordingly
Therefore, line has to keep the same height
www2.austin.cc.tx.us/HongXiao/Book.htm
164
Ceramic cover
Plasma
Wafer
Chamber body
Bias RF
E-chuck
Helium
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
165
ECR Chamber
Microwave
Magnet
coils
Plasma
Wafer
Magnetic
field line
Bias RF
E-chuck
Helium
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
166
Metal
Metal
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
167
HDP-CVD, Deposition
Metal
Metal
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
168
HDP-CVD, Deposition
Metal
Metal
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
169
HDP-CVD, Deposition
Metal
Metal
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
170
PE-TEOS Deposition
Metal
Metal
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
171
Oxide CMP
Metal
Metal
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
172
HDP-CVD Processes
For IMD Applications
USG
SiH4 + O2 + Ar
FSG
SiH4 + SiF4 + O2 + Ar
USG + H2O + Ar +
FSG + volatiles
SiH4 + PH 3 + O2 + Ar
www2.austin.cc.tx.us/HongXiao/Book.htm
PSG + volatiles
173
Question
Why is silane instead of TEOS used as the
silicon source gas for the HDP CVD oxide
process?
www2.austin.cc.tx.us/HongXiao/Book.htm
174
Answer
For HDP CVD processes, step coverage is
no longer an important factor for the gap fill
Heavy ion bombardment always keeps gap
tapered and deposition is bottom up.
Using silane can save the costs and hassles
related with vapor delivery system of the
liquid TEOS source.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
175
www2.austin.cc.tx.us/HongXiao/Book.htm
176
RF Plasma Clean
Plasma clean process remove dielectric film
on the process kits and chamber wall
Fluorocarbon such as CF4, C2F6 and C3F8
In some case NF3 is also used
In plasma, fluorocarbon will be dissociated
Free fluorine, F, will be generated
F removes silicon oxide and silicon nitride
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
177
RF Clean Chemistry
plasma
CF4
CF3 + F
plasma
F + SiO2
SiF4 + O
heat
plasma
F + Si3N4
SiF4 + N
heat
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
178
RF Clean Chemistry
In plasma clean processes, oxygen source
gases such as N2O and O2 are used with
fluorocarbon to react with carbon and free
more fluorine radicals
Increase F/C ratio, keep it > 2
Prevent carbon fluoride polymerization, and
increases the clean efficiency
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
179
C
F
C
F
C
F
F
C
F
F F
www2.austin.cc.tx.us/HongXiao/Book.htm
180
www2.austin.cc.tx.us/HongXiao/Book.htm
181
RF Clean Endpoint
www2.austin.cc.tx.us/HongXiao/Book.htm
182
Endpoint Signal
9
8
7
6
5
4
3
2
1
00
Endpoint
20
40 60 80 90
Clean Time (sec)
www2.austin.cc.tx.us/HongXiao/Book.htm
110
183
No ion bombardment
More gentle on chamber parts
Longer part lifetime
Less green house gas emission
www2.austin.cc.tx.us/HongXiao/Book.htm
184
Remote plasma
chamber
Plasma
Process
chamber
F
N2 F
N2
Heated plate
N2, SiF4,O2 To pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
185
Disadvantages:
Less maturity, higher cost, and using NF3
Can not use optical endpoint system, may need FTIR
system to achieve the automatic process endpoint.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
186
www2.austin.cc.tx.us/HongXiao/Book.htm
187
www2.austin.cc.tx.us/HongXiao/Book.htm
188
t
i
D
Process
window
Temperature
www2.austin.cc.tx.us/HongXiao/Book.htm
189
Compressive
Process
window
RF Power
www2.austin.cc.tx.us/HongXiao/Book.htm
190
Process
window
Silane flow
www2.austin.cc.tx.us/HongXiao/Book.htm
c a r f eR
n o i t i s o p eD
191
r
s
Process
window
WERR
Silane flow
www2.austin.cc.tx.us/HongXiao/Book.htm
192
Depositon
Ion bombardment
reduce adsorption
Free radicals
enhance reaction
RF power
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
193
Deposition
www2.austin.cc.tx.us/HongXiao/Book.htm
194
PE-TEOS Trends
RF power: dep rate, compressive stress
In process window, dep rate go down
www2.austin.cc.tx.us/HongXiao/Book.htm
195
Process
window
TEOS Flow
www2.austin.cc.tx.us/HongXiao/Book.htm
c a r f eR
s o p e D
196
ev i s s e r pmoC
Process
window
WERR
TEOS Flow
www2.austin.cc.tx.us/HongXiao/Book.htm
197
Deposition
Increasing
chemical
reaction
rate
400 to 550 C
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Temperature
198
O3-TEOS Trends
Temperature: dep rate
In process window, dep rare go down
www2.austin.cc.tx.us/HongXiao/Book.htm
199
Question
For both PE- and O3- TEOS processes, the
maximum deposition rate can be achieved at
about 250 C. Why do the IMD TEOS
processes normally operate about 400 C and
PMD and STI processes deposited even
higher temperature (~ 550 C)?
www2.austin.cc.tx.us/HongXiao/Book.htm
200
Answer
At higher deposition temperature, film quality
is higher and step coverage is better
www2.austin.cc.tx.us/HongXiao/Book.htm
201
Troubleshooting
Learned from hand-on experience
Sudden process change, either suddenly goes
wrong, or gradually goes wrong and suddenly
comes back,
Someone should check what has changed
between good process and the bad process, or
vice versa.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
202
Troubleshooting
Check metrology tool first and make sure the
right recipe is used.
If one measure PE-TEOS film with nitride
recipe, measured thickness would be
significantly thinner
If nothing is wrong with metrology tool, then
check whether the process recipe has been
changed.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
203
Troubleshooting
Process always has problems at end of shift
Someone should work cross shifts to find out
what had been changed during shift change
Something must be changed that put the process
back to normal at the beginning of the next shift
It most likely is the source of problems as the
process gradually goes wrong at end of the shift
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
204
Troubleshooting
Most dielectric CVD processes operate in
mass-transport-limited regime
Deposition rate is mainly determined by gas
flow rate, usually silane and TEOS flow rate
Very likely that deposition rate problems are
related with silane or TEOS flow rate
Mass flow controller and liquid flow
controller
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
205
Troubleshooting: Non-uniformity
Uniformity is determined by flow pattern
If non-uniformity pattern is center symmetric
Adjusted spacing of the wafer and showerhead
Or changing the carrier gas flow rate
Helium flow for TEOS processes
Nitrogen flow for nitride process
www2.austin.cc.tx.us/HongXiao/Book.htm
206
Wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
207
Troubleshooting: Non-uniformity
If the non-uniformity is side-to-side
Check wafer leveling or centering
Leak check of slip valve of the chamber
www2.austin.cc.tx.us/HongXiao/Book.htm
208
Showerhead
Thickness profile
Wafer
0
www2.austin.cc.tx.us/HongXiao/Book.htm
Radius
209
Future Trends
www2.austin.cc.tx.us/HongXiao/Book.htm
210
Future Trends
High- gate dielectric
Possible candidates: TiO2, Ta2O5, and HfO2
CVD and RTA
www2.austin.cc.tx.us/HongXiao/Book.htm
211
www2.austin.cc.tx.us/HongXiao/Book.htm
212
www2.austin.cc.tx.us/HongXiao/Book.htm
213
Interconnection Processes
Dielectric deposition/planarization
Via etch
Hong Xiao, Ph. D.
Dielectric deposition/planarization
Via etch
www2.austin.cc.tx.us/HongXiao/Book.htm
214
Interconnection Processes
Trench etch
Metal deposition
Metal deposition
www2.austin.cc.tx.us/HongXiao/Book.htm
215
Interconnection Processes
Metal etch
Metal polish
www2.austin.cc.tx.us/HongXiao/Book.htm
216
Summary
Applications of dielectric thin film are STI,
sidewall spacer, PMD, IMD and PD, in which
IMD application is the dominant one
Silicon oxide and silicon nitride are the two
most commonly used dielectric materials
www2.austin.cc.tx.us/HongXiao/Book.htm
217
Summary
Basic CVD process sequence: introducing
precursor, precursor diffusion and
adsorption, chemical reaction, gaseous
byproducts desorption and diffusion
Surface-reaction-limited regime
Mass-transport-limited (MTL) regime
Most dielectric CVD reactors operate in MTL
regime
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
218
Summary
PMD uses PSG or BPSG, temperature are
limited by thermal budget
IMD mainly uses USG or FSG, temperature
is limited by aluminum melting point
PD usually uses both oxide and nitride
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
219
Summary
Silane and TEOS are the two silicon sources
for dielectric CVD processes
O2, N2O, and O3 are main oxygen precursors
NH3 and N2 are the main nitrogen sources
Fluorine chemistry is commonly used for
dielectric CVD chamber dry clean
CF4, C2F6, C3F8 and NF3 are the most commonly
used fluorine source gases
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
220
Summary
Argon sputtering process is used for
dep/etch/dep gap fill application
CF4/O2 etchback is used for planarization
Compressive stress (~100 MPa) is favored
for the dielectric thin film
www2.austin.cc.tx.us/HongXiao/Book.htm
221
Summary
HDP CVD
www2.austin.cc.tx.us/HongXiao/Book.htm
222
Chapter 11
Metallization
Hong Xiao, Ph. D.
hxiao89@hotmail.com
www2.austin.cc.tx.us/HongXiao/Book.htm
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Objectives
www2.austin.cc.tx.us/HongXiao/Book.htm
Metallization
Definition
Applications
PVD vs. CVD
Methods
Vacuum
Metals
Processes
Future Trends
www2.austin.cc.tx.us/HongXiao/Book.htm
Metallization
Processes that deposit metal thin film on
wafer surface.
www2.austin.cc.tx.us/HongXiao/Book.htm
Applications
Interconnection
Gate and electrodes
Micro-mirror
Fuse
www2.austin.cc.tx.us/HongXiao/Book.htm
TiN, ARC
TiSi2
Metal 1, AlCu
W
STI
BPSG
n+
n+
P-Well
USG
p+
p+
N-Well
P-epi
P-wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Applications: Interconnection
www2.austin.cc.tx.us/HongXiao/Book.htm
Applications: Interconnection
www2.austin.cc.tx.us/HongXiao/Book.htm
Copper Metallization
SiN
Ti/TiN
M1
Cu
CoSi2
FSG
FSG
PSG
STI
Ta or TaN
Cu
Cu
W
W
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
IC Fab
Metalization
CMP
Dielectric
deposition
Test
Wafers
Thermal
Processes
Implant
PR strip
Etch
PR strip
Packaging
Masks
Photolithography
Final Test
www2.austin.cc.tx.us/HongXiao/Book.htm
10
Design
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
11
Q&A
Can we reduce all dimensions of metal
interconnection line at the same ratio?
R= l/wh. When we shrink all dimensions
(length l, width w, and height h) accordingly
to the shrinking of the device feature size,
resistance R increases,
Slower circuit and more power consumption
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
12
Applications: Micro-mirror
www2.austin.cc.tx.us/HongXiao/Book.htm
13
Applications: Fuse
For programmable read-only memory (PROM)
High current generates heat which melt thin Al
line and open the circuit
Polysilicon also being used as fuse materials
www2.austin.cc.tx.us/HongXiao/Book.htm
14
www2.austin.cc.tx.us/HongXiao/Book.htm
15
Polysilicon
Silicides
Aluminum alloy
Titanium
Titanium Nitride
Tungsten
Copper
Tantalum
www2.austin.cc.tx.us/HongXiao/Book.htm
16
Polysilicon
Gates and local interconnections
Replaced aluminum since mid-1970s
High temperature stability
Required for post implantation anneal process
Al gate can not use form self-aligned source/drain
Heavily doped
LPCVD in furnace
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
17
Silicide
Much lower resistivity than polysilicon
TiSi2, WSi2, and CoSi2 are commonly used
www2.austin.cc.tx.us/HongXiao/Book.htm
18
Salicide
TiSi2 and CoSi2
www2.austin.cc.tx.us/HongXiao/Book.htm
19
Ti
TiSi2
Polysilicon gate
Polysilicon gate
n+
n-
Gate oxide
Titanium
deposition
Hong Xiao, Ph. D.
TiSi2
n-
nn+
n+
Gate oxide
TiSi2
n-
nn+
Silicide annealing
www2.austin.cc.tx.us/HongXiao/Book.htm
TiSi2
Polysilicon gate
n+
Gate oxide
nn+
Titanium wet
striping
20
Tungsten Silicide
Thermal CVD process
WF6 as the tungsten precursor
SiH4 as the silicon precursor.
Photoresist stripping
RTA increases grain size and conductivity
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
21
Aluminum
Most commonly used metal
The fourth best conducting metal
Silver
Copper
Gold silver
Aluminum
1.6 cm
1.7 cm
2.2 cm
2.65 cm
www2.austin.cc.tx.us/HongXiao/Book.htm
22
Aluminum-Silicon Alloy
Al make direct contact with Si at source/drain
Si dissolves in Al and Al diffuses into Si
Junction spike
Aluminum spikes punctuate doped junction
Short source/drain with the substrate
~1% of Si in Al saturates it
Thermal anneal at 400 C to form Si-Al alloy
at the silicon-aluminum interface
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
23
Junction Spike
Al
SiO2
Al
p+
Al
p+
n-type Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
24
Electromigration
www2.austin.cc.tx.us/HongXiao/Book.htm
25
Electromigration
Electromigration tear the metal line apart
Higher current density in the remaining line
Aggravates the electron bombardment
Causes further aluminum grain migration
Eventually will break of the metal line
www2.austin.cc.tx.us/HongXiao/Book.htm
26
Electromigration Prevention
When a small percent of copper is alloyed
with aluminum, electromigration resistance
of aluminum significantly improved
Copper serves as glue between the
aluminum grains and prevent them from
migrating due to the electron bombardment
Al-Si-Cu alloy was used
Al-Cu (0.5%) is very commonly
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
27
CVD
Dimethylaluminum hydride [DMAH, Al(CH3)2H]
Thermal process
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
28
www2.austin.cc.tx.us/HongXiao/Book.htm
29
www2.austin.cc.tx.us/HongXiao/Book.htm
30
Titanium
Applications
Silicide formation
Titanium nitridation
Wetting layer
Welding layer
www2.austin.cc.tx.us/HongXiao/Book.htm
32
Welding Layer
Reduce contact resistance.
Titanium scavenges oxygen atoms
Prevent forming high resistivity WO4 and
Al2O3.
www2.austin.cc.tx.us/HongXiao/Book.htm
33
Applications of Titanium
Al-Cu
Ti
W
PSG
TiSi 2
Hong Xiao, Ph. D.
Ti
n+
www2.austin.cc.tx.us/HongXiao/Book.htm
34
Titanium
Ti
22
47.867
William Gregor
England
1791
Named after the "Titans", (the sons of the Earth
goddess in Greek mythology)
Density of solid
4.507 g/cm3
Molar volume
10.64 cm3
Velocity of sound
4140 m/sec
Hardness
6.0
Electrical resistivity
40 cm
Melting point
1668 C
Boiling point
3287 C
Thermal conductivity
22 W m-1 K-1
Coefficient of linear thermal expansion
8.6 10-6 K-1
Etchants (wet)
H2O2, H2SO4
Etchants (dry)
Cl2, NF3
CVD
Hong Xiao, Ph.
D. Precursorwww2.austin.cc.tx.us/HongXiao/Book.htm TiCl4
35
Titanium Nitride
Barrier layer
prevents tungsten diffusion
Adhesion layer
help tungsten to stick on silicon oxide surface
www2.austin.cc.tx.us/HongXiao/Book.htm
36
www2.austin.cc.tx.us/HongXiao/Book.htm
37
www2.austin.cc.tx.us/HongXiao/Book.htm
38
Titanium Nitridation
Titanium PVD
Nitridation of titanium surface with
ammonia
Rapid thermal process
www2.austin.cc.tx.us/HongXiao/Book.htm
39
Tungsten
www2.austin.cc.tx.us/HongXiao/Book.htm
40
AlSiCu
Void
AlCu
SiO2
Si
Widely tapered
contact hole,
PVD metal fill
Hong Xiao, Ph. D.
SiO2
Si
Narrow contact
hole, void with
PVD metal fill
www2.austin.cc.tx.us/HongXiao/Book.htm
SiO2
Si
Narrow contact
hole, WCVD for
tungsten plug
41
Tungsten CVD
www2.austin.cc.tx.us/HongXiao/Book.htm
42
Density of solid
Molar volume
Velocity of sound
Hardness
Reflectivity
Electrical resistivity
Melting point
Boiling point
Thermal conductivity
Coefficient of linear thermal expansion
Etchants (wet)
Etchants (dry)
CVD Precursor
Hong Xiao, Ph. D.
Tungsten
W
74
183.84
Fausto and Juan Jose de Elhuyar
Spain
1783
From the Swedish words "tung sten" meaning
"heavy stone". W comes from "wolfram",
named after the tungsten mineral wolframite.
19.25 g/cm 3
9.47 cm 3
5174 m/sec
7.5
62%
5 cm
3422 C
5555 C
170 W m-1 K-1
4.510-6 K-1
KH2PO4, KOH, and K3Fe(CN)6; boiling H2O
SF6, NF3, CF4, etc.
WF6
www2.austin.cc.tx.us/HongXiao/Book.htm
43
Oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
44
Copper
Low resistivity (1.7 cm),
lower power consumption and higher IC speed
www2.austin.cc.tx.us/HongXiao/Book.htm
45
Copper Deposition
PVD of seed layer
ECP or CVD bulk layer
Thermal anneal after bulk copper deposition
increase the grain size
improving conductivity
www2.austin.cc.tx.us/HongXiao/Book.htm
46
Copper
Cu
29
63.546
Copper had been used by human being since
ancient time, long before any written history.
Tantalum
Barrier layer
Prevent copper diffusion
Sputtering deposition
www2.austin.cc.tx.us/HongXiao/Book.htm
48
Tantalum
Ta
73
180.9479
Anders Ekeberg
Sweden
1802
From the Greek word "Tantalos" meaning
"father of Niobe" due it close relation to
niobium in the Periodic Table
Density of solid
16.654g/cm3
Molar volume
7.11 cm3
Velocity of sound
3400 m/sec
Hardness
3.0
Reflectivity
90%
Electrical resistivity
12.45cm
Melting point
2996 C
Boiling point
5425C
Thermal conductivity
57.5 W m-1 K-1
Hong Xiao, Ph. D.
49
Coefficient
of linear thermalwww2.austin.cc.tx.us/HongXiao/Book.htm
expansion
6.310-6 K-1
Cobalt
Mainly used for cobalt silicide (CoSi2).
Normally deposited with a sputtering
process
www2.austin.cc.tx.us/HongXiao/Book.htm
50
Cobalt Silicide
www2.austin.cc.tx.us/HongXiao/Book.htm
51
www2.austin.cc.tx.us/HongXiao/Book.htm
52
Tantalum
Co
27
180.9479
Georg Brandt
Sweden
1735
From the German word "kobald" meaning
"goblin" or evil spirit
8.900 g/cm3
6.67 cm3
4720 m/sec
6.5
67%
13 cm
1768 K or 1495 C
3200 K or 2927 C
100 W m-1 K-1
13.010-6 K-1
www2.austin.cc.tx.us/HongXiao/Book.htm
53
www2.austin.cc.tx.us/HongXiao/Book.htm
54
Thickness.
Stress
Reflectivity
Sheet resistance
www2.austin.cc.tx.us/HongXiao/Book.htm
55
www2.austin.cc.tx.us/HongXiao/Book.htm
56
www2.austin.cc.tx.us/HongXiao/Book.htm
57
Q&A
Why is SEM photo is always in black and
white?
Intensity of the secondary electron emission
strong or weak signals
photo image: bright and dim, black and white
www2.austin.cc.tx.us/HongXiao/Book.htm
58
Profilometer
Thicker film (> 1000 ),
Patterned etch process prior to measurement
Stylus probe senses and records microscopic
surface profile
www2.austin.cc.tx.us/HongXiao/Book.htm
59
Film
Substrate
Stage
Profile Signal
Hong Xiao, Ph. D.
Film Thickness
www2.austin.cc.tx.us/HongXiao/Book.htm
60
Four-point Probe
Measure sheet resistance
Commonly used to monitor the metal film
thickness by assuming the resistivity of the
metal film is a constant all over the wafer
surface
www2.austin.cc.tx.us/HongXiao/Book.htm
61
Acoustic Measurement
New technique
Directly measure opaque thin film thickness
Non-contact process, can be used for
production wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
62
Acoustic Measurement
www2.austin.cc.tx.us/HongXiao/Book.htm
63
Acoustic Measurement
Acoustic wave echoes back and forth in film
The film thickness can be calculated by
d = Vs t/2
Vs is speed of sound and t is time between
reflectivity peaks
The decay rate the echo is related to the film
density.
Multi-layer film thickness
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
64
TiN
d = vst/2
TEOS SiO2
First echo
Change of reflectivity
Pump laser
Second echo
Third echo
10 20 30 40 50 60 70 80 90
Time (psec)
www2.austin.cc.tx.us/HongXiao/Book.htm
65
TiN Thickness
d = Vst/2
Sound velocity in TiN film Vs = 95 /ps
t 25.8 ps
d = 1225
www2.austin.cc.tx.us/HongXiao/Book.htm
66
Uniformity
The uniformity, in fact it is non-uniformity,
of the thickness, sheet resistance, and
reflectivity are routinely measured during
the process development and for the process
maintenance.
It can be calculated by measuring at
multiple locations on a wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
67
28
29
30
31
www2.austin.cc.tx.us/HongXiao/Book.htm
32
12
13
14
27 26 49
11 10 25
3
4
2
1
48
47
24
9
23
46
45
22 44
7
5
21 43
33
15
6
20
16
34
42
19
17
35
18
41
36
40
37 38 39
68
Uniformity
Most commonly used non-uniformity
definition: 49-point, 3 standard deviation
Clearly define non-uniformity
For the same set of data, different definitions
causes different results
www2.austin.cc.tx.us/HongXiao/Book.htm
69
Stress
Caused by mismatching between film and
substrate
Compressive and tensile
High compressive stress causes hillocks
short metal wires between different layers
www2.austin.cc.tx.us/HongXiao/Book.htm
70
Force
Metal
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
71
Force
Metal
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
72
Favorable Stress
Aluminum has higher thermal expansion
rate than silicon
Al = 23.6106 K1, Si = 2.6106 K1
It favor tensile stress at room temperature
Stress becomes less tensile when wafer is
heated up later
metal annealing (~ 450 C)
dielectric deposition (~ 400 C)
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
73
Q&A
Why does silicon oxide film favor
compressive stress at room temperature?
Silicon oxide has lower thermal expansion
rate (SiO2 = 0.5106 1) than the silicon
If it has tensile stress at room temperature, it
will become more tensile when the wafer is
heated up in later processes
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
74
Reflectivity
Reflectivity change indicates drift of process
A function of film grain size and surface
smoothness
Larger grain size film has lower reflectivity
Smoother metal surface has higher reflectivity
Easy, quick and non-destructive
Frequently performed in semiconductor fabs
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
75
Sheet Resistance
4-point probe
Widely used to determine film thickness
Assuming resistivity is the same on wafer
Faster and cheaper than the profilometer,
SEM, and acoustic measurement
www2.austin.cc.tx.us/HongXiao/Book.htm
76
Sheet Resistance
Sheet resistance (Rs) is a defined parameter
Rs = /t
By measuring Rs, one can calculate film
resistivity () if film thickness t is known,
or film thickness if its resistivity is known
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
77
A
I
L
R=
A
R = Resistance, = Resistivity
L = Length, A = Area of line cross-section
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
78
www2.austin.cc.tx.us/HongXiao/Book.htm
79
Sheet Resistance
www2.austin.cc.tx.us/HongXiao/Book.htm
80
Sheet Resistance
Are you sure
their resistance
is the same?
I
Rs=/t
www2.austin.cc.tx.us/HongXiao/Book.htm
Rs =/t
81
Sheet Resistance
For this two conducting lines patterned from the
same metal thin film with the same length-towidth ratios, are their line resistance the same?
Yes.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
82
Four-point Probe
Commonly used tool for sheet resistance
A current is applied between two pins and
voltage is measured between other two pins
If current I is between P 1 and P4, Rs = 4.53 V/I,
V is voltage between P 2 and P3
If current I is between P 1 and P3, Rs = 5.75 V/I,
V is voltage between R 2 and R4
www2.austin.cc.tx.us/HongXiao/Book.htm
83
P2
S2
P3
P4
S3
Film
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
84
Metal CVD
Widely used to deposit metal
Good step coverage and gap fill capability
can fill tiny contact holes to make connections
between metal layers.
www2.austin.cc.tx.us/HongXiao/Book.htm
85
RF Power
Process
Chamber
Wafer
Heated plate
To pump
www2.austin.cc.tx.us/HongXiao/Book.htm
86
Metal CVD
W, WSix, Ti, and TiN
Thermal process, heat provides free energy
needed for the chemical reaction
RF system is used for plasma dry clean of
the process chamber
www2.austin.cc.tx.us/HongXiao/Book.htm
87
www2.austin.cc.tx.us/HongXiao/Book.htm
88
www2.austin.cc.tx.us/HongXiao/Book.htm
89
A << B
Area = B
Tapered
Sidewall
Straight
Sidewall
Area = A
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
90
www2.austin.cc.tx.us/HongXiao/Book.htm
91
www2.austin.cc.tx.us/HongXiao/Book.htm
92
W CVD Reactions
Nucleation on silicon
2 WF6 + 3 Si 2 W (s) + 3 SiF4
Nucleation on glue layer
2 WF6 + 3 SiH4 2 W (s) + 3 SiF4 + 6 H2
Bulk deposit
WF6 + 3 H2 W (s) + 6 HF
WF6 reaction with moisture
WF6 + 3 H2O WO3 + 6 HF
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
93
Tungsten
seed layer
Metal
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Oxide
94
Tungsten Silicide
www2.austin.cc.tx.us/HongXiao/Book.htm
95
Tungsten Silicide
www2.austin.cc.tx.us/HongXiao/Book.htm
96
www2.austin.cc.tx.us/HongXiao/Book.htm
97
Silane-Based WSix
WF6 + 2 SiH4 WSi2(s) + 6 HF + H2
Very similar to the nucleation step of the
tungsten CVD process.
Different flow rate ratio of SiH4/WF6
lower than 3:1, tungsten deposition
larger than 10:1 tungsten silicide deposition
www2.austin.cc.tx.us/HongXiao/Book.htm
98
DCS-Based WSix
2 WF6+7 SiH2Cl2 2 WSi2 +3 SiF4+14 HCl
www2.austin.cc.tx.us/HongXiao/Book.htm
99
Titanium CVD
High temperature (~ 600 C)
CVD Ti can react with Si to form TiSi2
simultaneously during the Ti deposition
TiCl4 + 2 H2 Ti + 4 HCl
Ti + Si TiSi2
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
100
www2.austin.cc.tx.us/HongXiao/Book.htm
101
Ti Layer
Metal
Oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
102
CVD TiN
Inorganic chemistry: TiCl4 and NH3 at 400
to 700 C:
6TiCl4 + 8 NH3 6 TiN + 24 HCl + N2
MOCVD at 350 C and 300 mTorr:
Ti[N(CH3)2]4 TiN + organics
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
103
CVD Aluminum
R&D to replace tungsten plug
Dimethylaluminum hydride (DMAH),
Al(CH3)2H
At about 350 C, DMAH dissociates and
deposits aluminum
Al(CH3)2H Al + volatile organics
Difficult to incorporate ~1% Cu needed for
electromigration resistance
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
104
Wafer
Loading
Transfer
Chamber
Transfer
Chamber
Wafer
Unloading
Al CVD
www2.austin.cc.tx.us/HongXiao/Book.htm
105
Aluminum CVD/PVD
Ti/TiN barrier/glue layer deposition
Al CVD via fill, Al alloy PVD, TiN PVD
No need for W and W etch back
www2.austin.cc.tx.us/HongXiao/Book.htm
106
www2.austin.cc.tx.us/HongXiao/Book.htm
107
PVD
www2.austin.cc.tx.us/HongXiao/Book.htm
108
Start with P
Start with C
www2.austin.cc.tx.us/HongXiao/Book.htm
109
Solid materials
Gases or vapors
www2.austin.cc.tx.us/HongXiao/Book.htm
110
Deposited
Film
Target
Plasma
Wafer
Heated
Susceptor
www2.austin.cc.tx.us/HongXiao/Book.htm
111
PVD Methods
Evaporation
Sputtering
www2.austin.cc.tx.us/HongXiao/Book.htm
112
www2.austin.cc.tx.us/HongXiao/Book.htm
113
Thermal Evaporator
Wafers
Aluminum
Charge
Aluminum Vapor
10-6 Torr
To Pump
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
114
Aluminum
Charge
Aluminum Vapor
Electron
Beam
10-6 Torr
To Pump
Hong Xiao, Ph. D.
Power Supply
www2.austin.cc.tx.us/HongXiao/Book.htm
115
www2.austin.cc.tx.us/HongXiao/Book.htm
116
Sputtering
Ar+
Momentum transfer will dislodge surface
atoms off
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
117
DC Diode Sputtering
-V
Target
Argon Plasma
Wafer Chuck
Metal film
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Wafer
118
Higher plasma
density
Magnetic field
line
www2.austin.cc.tx.us/HongXiao/Book.htm
Erosion
grove
119
Magnetron Sputtering
Most widely used PVD system
More sputter from grove
Better uniformity cross wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
120
Target
Wafer
Wafer Chuck
www2.austin.cc.tx.us/HongXiao/Book.htm
121
Applications of Argon
Sputtering deposition
Sputtering etch
pre-clean to remove native oxide before metal
deposition
Taper opening for dielectric gap fill
Patterned etch
dielectric to enhance bombardment and
damaging effect
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
122
Properties of Argon
Inert
Relatively heavy
Abundance
about 1% in atmosphere
low cost
www2.austin.cc.tx.us/HongXiao/Book.htm
123
Argon
Ar
18
39.948
Sir William Ramsay, Lord Rayleigh
Scotland
1894
From the Greek word "argos" meaning
"inactive"
22.56 cm3
319 m /sec
1.000281
N/A
-189.2 C
-185.7 C
0.01772 W m-1 K-1
www2.austin.cc.tx.us/HongXiao/Book.htm
124
Evaporator
More impurities
Batch process
Cheaper tool
www2.austin.cc.tx.us/HongXiao/Book.htm
125
www2.austin.cc.tx.us/HongXiao/Book.htm
126
Cluster tool
Staged vacuum
Loading station: 106 Torr
Transfer chamber: 107 to 108 Torr
Deposition chamber: 109 Torr
www2.austin.cc.tx.us/HongXiao/Book.htm
127
www2.austin.cc.tx.us/HongXiao/Book.htm
128
www2.austin.cc.tx.us/HongXiao/Book.htm
129
Contact/Via Process
Degas
Pre-clean
Ti PVD
TiN PVD
TiN CVD
N2-H2 plasma treatment
W CVD
www2.austin.cc.tx.us/HongXiao/Book.htm
130
Degas
Pre-clean
Ti PVD
Al-Cu PVD
TiN PVD
www2.austin.cc.tx.us/HongXiao/Book.htm
131
Degas
Pre-clean
Ta PVD
Cu seed PVD
www2.austin.cc.tx.us/HongXiao/Book.htm
132
Degas
Heat wafer to drive away gases and
moisture on wafer surface
Outgassing can cause contamination and
high resistivity of deposited metal film
www2.austin.cc.tx.us/HongXiao/Book.htm
133
Pre-clean
www2.austin.cc.tx.us/HongXiao/Book.htm
134
Pre-clean Process
Argon Plasma
Ar+
Native Oxide
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
135
Titanium PVD
Reduce contact resistance
Larger grain size with low resistivity
Wafer normally is heated to about 350 C
during the deposition process to
Improve the surface mobility
Improve step coverage
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
136
Collimated Sputtering
Used for Ti and TiN deposition
Collimator allows metal atoms or molecules to
move mainly in vertical direction
Reach the bottom of narrow contact/via holes
Improves bottom step coverage
www2.austin.cc.tx.us/HongXiao/Book.htm
137
Collimated Sputtering
Magnets
Target
Plasma
Collimator
Film
Via holes
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
138
www2.austin.cc.tx.us/HongXiao/Book.htm
139
Plasma
RF
Via Hole
www2.austin.cc.tx.us/HongXiao/Book.htm
140
www2.austin.cc.tx.us/HongXiao/Book.htm
141
TiN, PVD
W
PSG
TiSi2
n+
www2.austin.cc.tx.us/HongXiao/Book.htm
142
Al-Cu PVD
Ultra high vacuum to remove moisture and
achieve low film resistivity.
Cluster tool with staged vacuum
dry pumps, turbo pumps and cryopump
A cryopump can help a PVD chamber to
reach up to 10-10 Torr base pressure by
freezing the residue gases in a frozen trap
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
143
Al-Cu PVD
Standard process and hot aluminum process
Standard process: Al-Cu over tungsten plug
after Ti and TiN deposition
Normally deposit at ~ 200 C
Smaller grain size, easier to etch
Metal annealing to form larger grain size
lower resistivity
high EMR
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
144
Al-Cu PVD
Hot aluminum process
fill contact and via holes, reduces contact
resistance
Several process steps:
Ti deposition
Al-Cu seed layer is deposited at low <200C
Bulk Al-Cu layer is deposited at higher
temperatures (450C to 500C)
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
145
Copper Metallization
www2.austin.cc.tx.us/HongXiao/Book.htm
146
Copper
www2.austin.cc.tx.us/HongXiao/Book.htm
147
Copper
Damascene process with CMP
Ta and/or TaN as barrier layer
Start using in IC fabrication
www2.austin.cc.tx.us/HongXiao/Book.htm
148
Copper
Pre-deposition clean
PVD barrier layer (Ta or TaN, or both)
PVD copper seed layer
Electrochemical plating bulk copper layer
www2.austin.cc.tx.us/HongXiao/Book.htm
149
FSG
SiN
FSG
FSG
Cu
www2.austin.cc.tx.us/HongXiao/Book.htm
Cu
150
FSG
SiN
FSG
FSG
Cu
www2.austin.cc.tx.us/HongXiao/Book.htm
Cu
151
FSG
FSG
Cu
www2.austin.cc.tx.us/HongXiao/Book.htm
Cu
152
Cu
SiN
FSG
Cu
www2.austin.cc.tx.us/HongXiao/Book.htm
Cu
153
Pre-clean
Argon sputtering pre-deposition clean
Commonly used
Possible copper contamination due to sputtering
Chemical pre-clean
H2 and He plasma
H radicals react with CuO2
4 H + CuO2 Cu + 2 H 2O
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
154
Barrier Layer
Copper diffusion into silicon can cause
device damaging
Need barrier layer
Ti, TiN, Ta, TaN, W, WN,
Few hundred Ta is commonly used
Combination of Ta and TaN in near future
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
155
www2.austin.cc.tx.us/HongXiao/Book.htm
156
www2.austin.cc.tx.us/HongXiao/Book.htm
157
www2.austin.cc.tx.us/HongXiao/Book.htm
158
CuSO4 solution
Copper anode
Wafer with copper seed layer as cathode
Fixed electric current
Cu2+ ion diffuse and deposit on wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
159
Cu2+
Solution
with CuSO4
Cu2+
Cu2+
Copper film
Cu2+
Current
Anode, Cu
www2.austin.cc.tx.us/HongXiao/Book.htm
160
www2.austin.cc.tx.us/HongXiao/Book.htm
161
Cu2+
Copper
Cu2+
Cu2+
Tantalum
Cu2+
USG
Cu2+
www2.austin.cc.tx.us/HongXiao/Book.htm
USG
162
Copper CVD
bis-hexafluoroacetyl-acetonate copper, or
Cu(hfac)2
Cu(hfac)2 + H2 Cu + 2 H(hfac)
350 to 450 C
Too high for polymeric low- dielectric
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
163
CuII(hfac)2
F3 C
CF3
C
HC
Cu
C
CH
O
F3 C
CF3
www2.austin.cc.tx.us/HongXiao/Book.htm
164
Copper CVD
Organiometallic compound
Cu(hfac)(tmvs): C10H13CuF6O2Si
2 Cu(hfac)(tmvs) Cu + Cu(hfac)2 + 2 tmvs
Thermal process ~ 175 C, 1 to 3 Torr
Excellent step coverage and gap fill
capability
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
165
Copper CVD
Cu(hfac)(vtms) process is the the more
promising copper CVD process.
Tough competition from the productionproven copper ECP process
PVD/CVD copper seed layer deposition
www2.austin.cc.tx.us/HongXiao/Book.htm
166
Summary
www2.austin.cc.tx.us/HongXiao/Book.htm
167
Chapter 12
Chemical Mechanical
Polishing
Hong Xiao, Ph. D.
hxiao89@hotmail.com
www2.austin.cc.tx.us/HongXiao/Book.htm
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Objectives
www2.austin.cc.tx.us/HongXiao/Book.htm
Overview
Multi layer metal interconnection
Planarization of dielectric layers
Depth of focus require flat surface to
achieve high resolution
The rough dielectric surface can also cause
problems in metallization
www2.austin.cc.tx.us/HongXiao/Book.htm
IC Fab
Metalization
CMP
Dielectric
deposition
Test
Wafers
Thermal
Processes
Implant
PR strip
Etch
PR strip
Packaging
Masks
Photolithography
Final Test
www2.austin.cc.tx.us/HongXiao/Book.htm
Design
Hong Xiao, Ph. D.
Tungsten CMP
Tungsten has been used to form metal plugs
CVD tungsten fills contact/via holes and
covers the whole wafer.
Need to remove the bulk tungsten film from
the surface
Fluorine based plasma etchback processes
Tungsten CMP replaced etchback
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
CMOS IC
Passivation 2
Silicon Nitride
Passivation 1
AlCu Alloy
USG
AlCu
Metal 4
CMP USG
IMD33
IMD
Ti/TiN
USG
TiN ARC
Metal 3
AlCu Alloy
Ti
CMP USG, W
IMD 2
USG
M2
Ti/TiN
AlCu
CMP USG, W
IMD 1
W
M1
TiSi2
USG
AlCu Alloy
Sidewall
Spacer, USG
CMP PSG, W
PMD
CMP USG
STI
W
n+
n+
BPSG
USG
P-Well
P-Epi
p+
p+
PMD Barrier
Nitride
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
P-Wafer
Definition of Planarization
Planarization is a process that removes the
surface topologies, smoothes and flattens
the surface
The degree of planarization indicates the
flatness and the smoothness of the surface
www2.austin.cc.tx.us/HongXiao/Book.htm
Definition of Planarization
www2.austin.cc.tx.us/HongXiao/Book.htm
Definition of Planarization
Partial Planarization
Global Planarization
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Degrees of Planarity
Planarity
R(m)
Surface Smoothing
0.1 to 2.0
> 30
Local Planarization
2.0 to 100
30 to 0.5
Global Planarization
> 100
< 0.5
www2.austin.cc.tx.us/HongXiao/Book.htm
10
Definition of Planarity
R
www2.austin.cc.tx.us/HongXiao/Book.htm
11
Planarization
Smoothing and local planarization can be
achieved by thermal flow or etchback
Global planarization is required for the
feature size smaller than 0.35 m, which
can only be achieved by CMP
www2.austin.cc.tx.us/HongXiao/Book.htm
12
Thermal flow
Sputtering etchback
Photoresist etchback,
Spin-on glass (SOG) etchback
www2.austin.cc.tx.us/HongXiao/Book.htm
13
Thermal Flow
Dielectric planarization
Pre-metal dielectric
High temperature, ~1000 C
PSG or BPSG, become soft and start to flow
due to the surface tension
Smooth and local planarization
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
14
As Deposited
n+
p+
n+
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
15
n+
p+
n+
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
16
Etch Back
Reflow temperature is too high for IMD
can melt aluminum
www2.austin.cc.tx.us/HongXiao/Book.htm
17
Etch Back
Argon sputtering etchback chip off dielectric
at corner of the gap and taper the openings
Subsequent CVD process easily fills the gap
with a reasonable planarized surface
Reactive ion etchback process with CF4/O2
chemistry further planarizes the surface
www2.austin.cc.tx.us/HongXiao/Book.htm
18
CVD USG
n+
p+
n+
USG
AlCuSi
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
19
n+
p+
n+
USG
AlCuSi
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
20
CVD USG
n+
p+
n+
USG
AlCuSi
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
21
n+
p+
n+
USG
AlCuSi
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
22
Photoresist Etchback
www2.austin.cc.tx.us/HongXiao/Book.htm
23
Oxide
Metal
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
24
Oxide
Metal
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
25
Photoresist Etchback
Oxide
Metal
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
26
Photoresist Etchback
Oxide
Metal
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
27
Photoresist Etchback
Oxide
Metal
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
28
Photoresist Etchback
www2.austin.cc.tx.us/HongXiao/Book.htm
29
SOG Etchback
SOG replaces PR
Advantage: some SOG can stay on the wafer
surface to fill the narrow gaps
PECVD USG liner and cap layer
USG/SOG/USG gap fill and surface
planarization
Sometimes, two SOG coat, cure and etchback
processes are used
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
30
SOG Etchback
www2.austin.cc.tx.us/HongXiao/Book.htm
31
Necessity of CMP
Photolithography resolution R = K1/NA
To improve resolution, NA or
DOF = K2/2(NA)2, both approaches to
improve resolution reduce DOF
DOF is about 2,083 for 0.25 m and
1,500 for 0.18 m resolution.
Here we assumed K1=K2, =248 nm
(DUV), and NA=0.6
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
32
Necessity of CMP
0.25 m pattern require roughness < 2000
Only CMP can achieve this planarization
When feature size > 0.35 m, other methods
can be used
www2.austin.cc.tx.us/HongXiao/Book.htm
33
Advantages of CMP
Planarized surface allows higher resolution of
photolithography process
The planarized surface eliminates sidewall
thinning because of poor PVD step coverage
www2.austin.cc.tx.us/HongXiao/Book.htm
34
Metal 2
IMD 1
Metal 1
PMD
www2.austin.cc.tx.us/HongXiao/Book.htm
35
Metal 2
IMD 1
Metal 1
PMD
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
36
Advantages of CMP
Eliminate the requirement of excessive
exposure and development to clear the thicker
photoresist regions due to the dielectric steps
This improves the resolution of via hole and
metal line pattering processes
www2.austin.cc.tx.us/HongXiao/Book.htm
37
PR
Metal 2
PR
Metal 2
IMD 1
www2.austin.cc.tx.us/HongXiao/Book.htm
38
PR
PR
Metal 2
Metal 2
IMD 1
www2.austin.cc.tx.us/HongXiao/Book.htm
39
PR
Metal 2
PR
Metal 2
IMD 1
www2.austin.cc.tx.us/HongXiao/Book.htm
40
Advantages of CMP
CMP reduce defect density, improve yield
Reducing the process problems in thin film
deposition, photolithography, and etch.
www2.austin.cc.tx.us/HongXiao/Book.htm
41
Applications of CMP
STI formation
Dielectric layer planarization
PMD and IMD
www2.austin.cc.tx.us/HongXiao/Book.htm
42
Applications of CMP
CMP USG
CMP USG
CMP W
CMP W
CMP USG
CMP PSG, W
CMP PSG, W
CMP USG
STI
www2.austin.cc.tx.us/HongXiao/Book.htm
43
Pad
Oxide
Silicon
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
44
Heavily
doped Si
Pad
Oxide
Silicon
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
45
Heavily
doped Si
Pad
Oxide
Silicon
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
46
Heavily
doped Si
Pad
Oxide
Silicon
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
47
Heavily
doped Si
Pad
Oxide
Silicon
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
48
Heavily
doped Si
Pad
Oxide
Silicon
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
49
Dielectric
Layer
Pad
Oxide
Heavily
doped Si
Silicon
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
50
Dielectric
Layer
Pad
Oxide
Heavily
doped Si
Silicon
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
51
Dielectric
Layer
Pad
Oxide
Heavily
doped Si
Silicon
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
52
Dielectric
Layer
Pad
Oxide
Heavily
doped Si
Silicon
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
53
Dielectric
Layer
Pad
Oxide
Heavily
doped Si
Silicon
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
54
Pad
Oxide
Heavily
doped Si
Silicon
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
55
www2.austin.cc.tx.us/HongXiao/Book.htm
56
Applications of CMP
Copper interconnection.
Copper is very difficult to dry etch,
Dual damascene: process of choice
Tungsten plug is a damascene process
www2.austin.cc.tx.us/HongXiao/Book.htm
57
Applications of CMP
It uses two dielectric etch processes,
one via etch and one trench etch
www2.austin.cc.tx.us/HongXiao/Book.htm
58
PECVD Nitride
Nitride
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
59
PECVD USG
Nitride
USG
W
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
60
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
61
PECVD USG
USG
USG
W
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
62
Photoresist Coating
Photoresist
USG
USG
W
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
63
Via 1 Mask
www2.austin.cc.tx.us/HongXiao/Book.htm
64
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
65
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
66
Strip Photoresist
USG
USG
W
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
67
Photoresist Coating
Photoresist
USG
USG
W
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
68
Metal 1 Mask
www2.austin.cc.tx.us/HongXiao/Book.htm
69
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
70
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
71
Strip Photoresist
USG
USG
W
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
72
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
73
Deposit Copper
Copper
USG
USG
W
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
74
M1
Cu
USG
W
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
75
M1
Cu
USG
W
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
76
CMP Hardware
Polishing pad
Wafer carrier
Slurry dispenser
www2.austin.cc.tx.us/HongXiao/Book.htm
77
Slurry Dispenser
Wafer Holder
Wafer
Retaining Ring
Slurry
Polishing Pad
Platen
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
78
Slurry Dispenser
Membrane
Wafer
Retaining Ring
Wafer Carrier
Slurry
Pad
Conditioner
www2.austin.cc.tx.us/HongXiao/Book.htm
79
Orbital Polishing
c
Down Force
Carrier
Film
Wafer
Polish
Pad
Slurry
Hong Xiao, Ph. D.
Orbital Motion, p
www2.austin.cc.tx.us/HongXiao/Book.htm
80
Polishing Pad
Porous, flexible polymer material
cast, sliced polyurethane or urethane coated
polyester felt
www2.austin.cc.tx.us/HongXiao/Book.htm
81
www2.austin.cc.tx.us/HongXiao/Book.htm
82
Polishing Pad
Cells absorb polishing slurry
Filler improve mechanical properties
Polishing pad surface roughness determines
the conformality range.
Smoother pad has poorer topographical
selectivity less planarization effect.
Rougher pad has longer conformality range and
better planarization polishing result
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
83
Polishing Pad
Hong Xiao, Ph. D.
Pad Movement
www2.austin.cc.tx.us/HongXiao/Book.htm
84
www2.austin.cc.tx.us/HongXiao/Book.htm
85
Pad Conditioning
www2.austin.cc.tx.us/HongXiao/Book.htm
86
Wafer
Carrier
Polishing
Pad
Pad
Conditioner
www2.austin.cc.tx.us/HongXiao/Book.htm
87
Polishing Head
www2.austin.cc.tx.us/HongXiao/Book.htm
88
Polishing Head
Retaining Ring
Carrier
Membrane
www2.austin.cc.tx.us/HongXiao/Book.htm
89
Restraining
Ring
Restraining Ring
Positioning
Membrane
Restraining
Ring
Wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
90
Pad Conditioner
Sweeps across the pad to increase surface
roughness required by planarization and
removes the used slurry
Conditioner is a stainless steel plate coated
with nickel-plated diamond grits
Diabond CMP conditioner: stainless steel
plate coated with CVD diamond film plated
diamond grids
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
91
Diamond Grits
Nickel Film
Diamond Film
Silicon Substrate
Stainless Steel Plate
Conventional
Diabond
www2.austin.cc.tx.us/HongXiao/Book.htm
92
CMP Slurries
Chemicals in the slurry react with surface
materials, form chemical compounds that
can be removed by abrasive particles
Particulate in slurry mechanically abrade
the wafer surface and remove materials
Additives in CMP slurries help to achieve
desired polishing results
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
93
CMP Slurries
CMP slurries work just like toothpaste
Chemicals kill gems, remove tartar, and
form protection layer on the teeth
Particles abrade away unwanted coating
from tooth surface during tooth brushing
www2.austin.cc.tx.us/HongXiao/Book.htm
94
CMP Slurries
Water-based chemicals with abrasive particles and
chemical additives
Different polishing processes require different
slurries
Slurry can impact removal rate, selectivity,
planarity and uniformity
Slurries always are engineered and formulated for
a specific application.
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
95
CMP Slurries
Oxide slurry: alkaline solution with silica
Metal slurry: acidic solution with alumina
Additives control the pH value of slurries
oxide, pH at 10 to 12
metal, pH at 6 to 2
www2.austin.cc.tx.us/HongXiao/Book.htm
96
pH Values
Neutral
0
More Acidic
9 10 11 12 13 14
pH
www2.austin.cc.tx.us/HongXiao/Book.htm
More Basic
97
Slurry Delivery
Slurry components are stored separately
DI water with particulate
additives for pH control
oxidants for metal oxidation
www2.austin.cc.tx.us/HongXiao/Book.htm
98
Slurry Flow
DI + Suspensions
LFC
pH Adjuster
LFC
Oxidant
Mixer
CMP
Tool
LFC
www2.austin.cc.tx.us/HongXiao/Book.htm
99
Oxide Slurry
Based on experience of optical industry,
which polish silicate glass to make lenses
and mirrors for a long time
Oxide slurry is a colloidal suspension of
fine fumed silica (SiO2) particles in water
KOH is used to adjust the pH at 10 to 12
NH4OH can also be used
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
100
Oxide Slurry
Abrasives: fumed silica particles
Normally contain ~ 10% solids
Shelf lifetime of up to 1 year with proper
temperature control
www2.austin.cc.tx.us/HongXiao/Book.htm
101
Fumed Silica
Fumed silica particles are formed in a vapor
phase hydrolysis of SiCl4 in a hydrogenoxygen flame
2 H2 + O2 2 H2O
SiCl4 + 2 H2O SiO2 + 4HCl
Overall reaction
SiCl4 + 2 H2 + O2 SiO2 + 4HCl
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
102
Cooling
<1710 C
Agglomerates
>1800 C
Aggregates
O2
SiCl4
H2
www2.austin.cc.tx.us/HongXiao/Book.htm
103
www2.austin.cc.tx.us/HongXiao/Book.htm
104
www2.austin.cc.tx.us/HongXiao/Book.htm
105
www2.austin.cc.tx.us/HongXiao/Book.htm
106
www2.austin.cc.tx.us/HongXiao/Book.htm
107
Tungsten Slurry
Pourbaix diagram
When pH < 2, tungsten is in passivation regime
Tungsten can form passivation oxide WO3 with
pH lower than 4 in the presence of an oxidant
Oxidants: potassium ferricyanid (K 3Fe(CN)6), ferric
nitrade (Fe(NO3)3), and H2O2
www2.austin.cc.tx.us/HongXiao/Book.htm
108
W12O396-
W12O4110-
WO3
WO42-
Corrosive
WO2
-1
W
-2
0
Hong Xiao, Ph. D.
Stable
6
8
pH
10
12
www2.austin.cc.tx.us/HongXiao/Book.htm
14
109
Tungsten Slurry
Adjusting slurry pH allows low wet etch rates
and chemical-mechanical polish removal
Tungsten slurries normally are quite acidic with
pH level from 4 to 2.
Tungsten slurries have lower solid contents and
much shorter shelf lifetime.
Tungsten slurries require mechanical agitation
prior to and during delivery to the CMP tools
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
110
Aluminum Slurry
www2.austin.cc.tx.us/HongXiao/Book.htm
111
Copper Slurry
Acidic solutions
Oxidants: hydrogen peroxide (H2O2),
ethanol (HOC2H5) with nitric acid (HNO4),
ammonium hydroxide (NH4OH) with
potassium ferri- and ferro-cyanide, or nitric
acid with benzotriazole
Alumina as abrasives
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
112
Corrosive
Passivation
Cu2+
0
Cu+
Cu2O
Cu
Corrosive
CuO
-1 Passivation
regime with
Immunity
stable alumina
-2
0
2
4
6
8 10 12 14
pH
www2.austin.cc.tx.us/HongXiao/Book.htm
113
Copper Slurry
Need colloidally stable slurry to achieve
consistent polishing process results
A colloidally stable alumina suspension can
be achieved at pH just below 7.
Only a small window for copper slurries to
achieve both electrochemical passivation
and colloidally stable suspension of
aqueous alumina particles
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
114
CMP Basics
Removal rate
Uniformity
Selectivity
Defects
www2.austin.cc.tx.us/HongXiao/Book.htm
115
Removal Rate
Mechanical removal rate R was found by
Preston
The Preston equation can be expressed as
R = Kppv
p is the polishing pressure
Kp is the Preston coefficient
v is relative velocity of wafer and pad
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
116
Removal Rate
Preston equation works very well for the
bulk film polishing processes
The protruding portions on a rough surface
have higher polishing pressure
Removal rate of protruding parts is higher
This helps to remove surface topography
and planarize the surface
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
117
Wafer
High Pressure, Fast Removal
Film
Polishing Pad
www2.austin.cc.tx.us/HongXiao/Book.htm
118
Removal Rate
Thickness difference before and after CMP
divided by CMP time
Multiple measurement for uniformity
Test wafer, blanket film
Daily tool qualification
www2.austin.cc.tx.us/HongXiao/Book.htm
119
Uniformity
Usually 49-point, 3 standard deviation as
the definition of the uniformity for the CMP
process qualifications
Changes of the film thickness before and
after CMP process is monitored
For the production wafers, uniformity after
CMP process is monitored
Normally use 9 or 13 points measurement
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
120
Uniformity
Both WIW and WTW uniformity can be affected
by the polish pad condition, down force pressure
distribution, relative speed, restraining ring
positioning, and the shape of the wafers.
By using harder pad and lower pressure a good
global uniformity can be achieved
Lower pressure, lower removal rate, affect
throughput
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
121
Selectivity
Ratio of removal rates of different materials
Affect CMP defects, such as erosion or dishing
The slurry chemistry is the primary factor that
affects removal selectivity of CMP process
STI oxide CMP require high oxide to nitride
selectivity, from 100:1 to 300:1
Because only polish oxide, selectivity is not
important in PMD and IMD CMP processes
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
122
Selectivity
For tungsten CMP process, selectivity to oxide
and titanium nitride is very important.
Usually tungsten to TEOS oxide selectivity is
very high, from 50 to 200
Slurry chemistry, oxidant
Selectivity is also related to the pattern density
higher pattern density, lower removal selectivity
lead to erosion of the tungsten and oxide film
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
123
Oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
124
www2.austin.cc.tx.us/HongXiao/Book.htm
125
Defects
CMP removes defects and improves yield
Introduce some new defects
scratches, residual slurry, particles, erosion, and
dishing.
www2.austin.cc.tx.us/HongXiao/Book.htm
126
Defects
Improper down force pressure, worn pad,
inadequate pad conditioning, particle
surface attraction, and slurry drying
Slurry residue on the wafer surface and
cause contamination
Post-CMP clean is very important to
remove slurry residue and improve process
yield
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
127
Erosion
Increases depth of via holes
Incomplete via hole etch
Open loop between the different layers in
the next dual damascene interconnection
www2.austin.cc.tx.us/HongXiao/Book.htm
128
Cu
Oxide
Oxide
Cu
Cu
www2.austin.cc.tx.us/HongXiao/Book.htm
129
Dishing Effect
Usually happens at a larger opening area
large metal pads
STI oxide in the trenches.
www2.austin.cc.tx.us/HongXiao/Book.htm
130
Dishing Effect
USG
Tungsten
www2.austin.cc.tx.us/HongXiao/Book.htm
131
www2.austin.cc.tx.us/HongXiao/Book.htm
132
www2.austin.cc.tx.us/HongXiao/Book.htm
133
Pad Oxide
USG
USG
Silicon Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
134
www2.austin.cc.tx.us/HongXiao/Book.htm
135
Scattered light
Particle
or Defect
Scattered light
Substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
136
Particle Measurement
www2.austin.cc.tx.us/HongXiao/Book.htm
137
Particle Measurement
Laser beam scans wafer surface vertically at
one focus of elliptical mirror and a photodetector is placed at another focus
Moving wafer, and collecting scattered light
to detect tiny particles and defects
Mapping particle/defect locations on the
wafer surface
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
138
Laser Scan
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
139
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
140
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
141
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
142
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
143
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
144
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
145
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
146
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
147
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
148
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
149
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
150
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
151
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
152
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
153
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
154
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
155
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
156
Particle Measurement
Elliptical Mirror
Reflected Light
Photodetector
Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
157
CMP Processes
Oxide removal mechanism
Metal removal mechanisms
Endpoint methods
www2.austin.cc.tx.us/HongXiao/Book.htm
158
Oxide CMP
Early development in the mid-1980s in IBM
Combined knowledge and experience of
glass polishing and silicon wafer polishing
www2.austin.cc.tx.us/HongXiao/Book.htm
159
Oxide CMP
www2.austin.cc.tx.us/HongXiao/Book.htm
160
Oxide CMP
Abrasive Particle
Si
O
H
H
Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si
O
O O O O O O
Silicon Oxide Surface
www2.austin.cc.tx.us/HongXiao/Book.htm
O
161
Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si
O
O O O O O O
Silicon Oxide Surface
www2.austin.cc.tx.us/HongXiao/Book.htm
O
162
Abrasive Particle
H
H
H Si
Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si
O
O O O O O O
Silicon Oxide Surface
www2.austin.cc.tx.us/HongXiao/Book.htm
O
163
Si
O
Si
H
H H
Si Si Si Si Si Si Si Si Si
O
Si Si Si Si Si
O O O O O O
Silicon Oxide Surface
www2.austin.cc.tx.us/HongXiao/Book.htm
O
164
Tungsten CMP
Form plugs to connect metal lines between
different layers
Tungsten etch back and Tungsten CMP
Fluorine based tungsten RIE etchback
In-situ with tungsten CVD process in a cluster tool
Recessing of the Ti/TiN barrier/adhesion layer due
to the aggressive fluorine chemical etch of Ti/TiN
and affects the chip yield
www2.austin.cc.tx.us/HongXiao/Book.htm
165
Tungsten
Plug
Recess Caused
by Etchback
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
166
Tungsten CMP
Two completing removal mechanisms
Wet etch: a pure chemical process
Unfavorable
www2.austin.cc.tx.us/HongXiao/Book.htm
167
Tungsten CMP
Potassium ferricyanide, K3Fe(CN)6, is used as
both etchant and oxidant
The wet etch chemistry can be expressed
W+6Fe(CN)6-3+4H2O WO4-2+6Fe(CN)6-4+8H+
The competing passivation oxidation reaction
W+6Fe(CN)6-3+3H2O WO3+6Fe(CN)6-3+6H+
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
168
Tungsten CMP
Normally tungsten CMP uses two step process
The first step remove bulk W with slurry pH < 4,
The second step remove TiN/Ti stacked
barrier/adhesion layer with slurry pH > 9
www2.austin.cc.tx.us/HongXiao/Book.htm
169
Slurry
Metal Oxide
Wet Etch of
Soft Oxide
Abrasive
Alumina
Particle
Metal
www2.austin.cc.tx.us/HongXiao/Book.htm
170
Copper CMP
Difficult to plasma etch copper
Lack of volatile inorganic copper compounds
www2.austin.cc.tx.us/HongXiao/Book.htm
171
Copper CMP
CuO2 is porous and cant form a passivation
layer to stop further copper oxidation
Additive is needed to enhance passivation
NH3 is one of additives used in slurry
Other additives such as NH4OH, ethanol or
benzotriazole can also be used as complexing
agents to reduce wet etch effect
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
172
Copper CMP
Dual-damascene copper metallization
Both bulk Cu and barrier Ta layer need to be
removed by the CMP process.
Cu slurry cant effectively remove Ta, the
lengthy over polishing step for Ta removal
can cause copper recess and dishing effects
www2.austin.cc.tx.us/HongXiao/Book.htm
173
Copper Deposition
Copper
USG
Tantalum
Barrier
Layer
www2.austin.cc.tx.us/HongXiao/Book.htm
174
Copper CMP
Copper
USG
Tantalum
Barrier
Layer
www2.austin.cc.tx.us/HongXiao/Book.htm
175
Copper
USG
Tantalum
Barrier
Layer
www2.austin.cc.tx.us/HongXiao/Book.htm
176
Copper
USG
Tantalum
Barrier
Layer
www2.austin.cc.tx.us/HongXiao/Book.htm
177
Copper CMP
Two-slurry polishing
The first slurry remove bulk copper layer
The second slurry remove Ta barrier layer
The two-slurry CMP process reduces
Copper recessing and dishing
Oxide erosion
www2.austin.cc.tx.us/HongXiao/Book.htm
178
www2.austin.cc.tx.us/HongXiao/Book.htm
179
www2.austin.cc.tx.us/HongXiao/Book.htm
180
Oxide Exposed
Ta Exposed
Cu
60
120
180
Time (sec)
240
www2.austin.cc.tx.us/HongXiao/Book.htm
300
360
181
www2.austin.cc.tx.us/HongXiao/Book.htm
182
Laser
Photodetector
Light
Intensity
Time
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
183
www2.austin.cc.tx.us/HongXiao/Book.htm
184
USG
Metal Film
Laser
Laser
Photodetector
Photodetector
Reflective
Intensity
time
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
185
www2.austin.cc.tx.us/HongXiao/Book.htm
186
www2.austin.cc.tx.us/HongXiao/Book.htm
187
Brush System
www2.austin.cc.tx.us/HongXiao/Book.htm
188
www2.austin.cc.tx.us/HongXiao/Book.htm
189
www2.austin.cc.tx.us/HongXiao/Book.htm
190
Alkaline Solution:
Surface Etch and
Electrostatic
Repulsion
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
191
www2.austin.cc.tx.us/HongXiao/Book.htm
192
www2.austin.cc.tx.us/HongXiao/Book.htm
193
www2.austin.cc.tx.us/HongXiao/Book.htm
194
www2.austin.cc.tx.us/HongXiao/Book.htm
195
Wafer Drying
Residue-free drying process
Physically removal, without water evaporation
Evaporation drying cause contamination by leaving
dissolved chemicals in DI water behind
www2.austin.cc.tx.us/HongXiao/Book.htm
196
Wafer Drying
Vapor drying
Ultra-pure solvent with high vapor pressure
Most commonly used: isopropyl alcohol (C3H8O,
IPA)
Displace water from the wafer surface
www2.austin.cc.tx.us/HongXiao/Book.htm
197
www2.austin.cc.tx.us/HongXiao/Book.htm
198
Process Issues
CMP process is a relatively new process
Very limited process details are available
The main concerns for CMP processes
Polishing rate, planarization capability, within die
uniformity, within wafer uniformity, wafer to wafer
uniformity, removal selectivity, defects and
contamination control
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
199
Downforce pressure
Pad hardness
Pad condition
Applied slurry
Rotation speed
www2.austin.cc.tx.us/HongXiao/Book.htm
200
www2.austin.cc.tx.us/HongXiao/Book.htm
201
www2.austin.cc.tx.us/HongXiao/Book.htm
202
www2.austin.cc.tx.us/HongXiao/Book.htm
203
www2.austin.cc.tx.us/HongXiao/Book.htm
204
www2.austin.cc.tx.us/HongXiao/Book.htm
205
www2.austin.cc.tx.us/HongXiao/Book.htm
206
Future Trends
More widely used copper CMP
Copper and low- dielectric interconnection
low- dielectric CMP
Copper and barrier layer CMP processes with
high selectivity to low- dielectric
www2.austin.cc.tx.us/HongXiao/Book.htm
207
Summary
Main applications of CMP are dielectric
planarization and bulk film removal
STI, PMD and IMD planarization, tungsten plugs,
and dual damascene copper interconnections.
www2.austin.cc.tx.us/HongXiao/Book.htm
208
Summary
A CMP system usually consists of wafer carrier,
a polishing pad on a rotating platen, a pad
conditioner, and a slurry delivery system
Oxide slurries: alkaline solutions at 10< pH < 12
with colloidal suspension silica abrasives
Tungsten slurries are acidic solutions at 4< pH <
7 with alumina abrasives
Copper slurries: acidic with alumina abrasives
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
209
Summary
The important factors of CMP processes:
Polish rate, planarization capability, selectivity,
uniformities, defects and contamination controls
www2.austin.cc.tx.us/HongXiao/Book.htm
210
Summary
The removal selectivity is mainly determined by
the slurry chemistry
Oxide CMP process: silica particles form
chemical bonds with surface atoms and abrade
removal of materials from the surface
Two metal removal mechanisms in metal CMP
process: wet etch and passivation/abrade
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
211
Summary
Endpoint detections
Optical
Thickness measurement for dielectric film
Reflectivity measurement for metal film
Motor current
www2.austin.cc.tx.us/HongXiao/Book.htm
212
Chapter 13
Process Integration
Hong Xiao, Ph. D.
hxiao89@hotmail.com
www2.austin.cc.tx.us/HongXiao/Book.htm
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Objectives
www2.austin.cc.tx.us/HongXiao/Book.htm
Introduction
It takes up to 30 masks and several hundreds
process steps to finish an IC chip fabrication.
Every step is related to other steps.
CMOS processes
Front-end:
well formation, isolation, and transistor making
Back-end
Interconnection and passivation
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Wafer Preparation
CMOS IC chips commonly used <100> wafer
Bipolar and BiCMOS chips usually use with
<111> wafers orientation.
1960 to mid-1970s, mainly PMOS, n-type wafer
After mid-1970s, mainly NMOS, p-type wafer
CMOS developed from NMOS process, for
historical reason more fabs use p-type wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
NMOS
Aluminum Silicon Alloy
Nitride
PSG
Field
Oxide
PSG
Poly Si
n+
PSG
n+
Field
Oxide
p-Silicon
Gate Oxide
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
AlCuSi
BPSG
n+
n+
p+
SiO 2
Nitride
p+
p+
p+
LOCOS
N-well
P-type substrate
Gate
Oxide
Isolation Implantation
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
www2.austin.cc.tx.us/HongXiao/Book.htm
10
www2.austin.cc.tx.us/HongXiao/Book.htm
11
Well Formation
Single well
Self-aligned twin well
Double photo twin well
www2.austin.cc.tx.us/HongXiao/Book.htm
12
Single Well
www2.austin.cc.tx.us/HongXiao/Book.htm
13
N-well Formation
Phosphorus Ions
Wafer clean
Photoresist
(a)
n-well
P-type Silicon
Well mask
Ion implantation (a)
(b)
n-well
P-type Silicon
Photoresist strip
Anneal and drive-in (b) (c)
Screen oxide strip (c)
Hong Xiao, Ph. D.
n-well
P-type Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
14
Poly Si
n+ p-well n+
N-type Silicon
Field Oxide
Gate Oxide
p+
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
15
p+
n+
SiO2
p+
p+
p+
LOCOS
N-well
P-type substrate
Gate
Oxide
Isolation Implantation
www2.austin.cc.tx.us/HongXiao/Book.htm
16
www2.austin.cc.tx.us/HongXiao/Book.htm
17
Wafer clean
(a)
Silicon
N-well mask
Nitride
(b)
Etch nitride
Silicon
Strip photoresist
Silicon Dioxide
(c)
N-well
Silicon
Strip nitride
P-well implantation (d)
(d)
Nitride
Silicon Dioxide
N-well
Silicon
N-well
Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
(e)
P-well
18
www2.austin.cc.tx.us/HongXiao/Book.htm
19
www2.austin.cc.tx.us/HongXiao/Book.htm
20
Twin Well
Two mask steps
Flat surface
Common used in advanced CMOS IC chip
High energy, low current implanters
Furnaces annealing and driving-in
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
21
Twin Well
Phosphorus Ions
Boron Ions
Photoresist
Photoresist
N-Well
P-Well
N-Well
P-Epi
P-Epi
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
P-Wafer
22
Isolations
Blanket field oxide
Local oxidation of silicon (LOCOS)
Shallow trench isolation (STI)
www2.austin.cc.tx.us/HongXiao/Book.htm
23
www2.austin.cc.tx.us/HongXiao/Book.htm
24
LOCOS Formation
Pad oxide
Silicon nitride
Wafer clean
(a)
P-type substrate
Silicon nitride
p+
p+
(b)
Mask 1, LOCOS
P-type substrate
Etch nitride
Silicon nitride
Strip photoresist
(c)
p+
P-type substrate
SiO2
p+
(d)
p+
SiO2
p+
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
25
Wafer Clean
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
26
Pad Oxidation
Pad oxide
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
27
LPCVD Nitride
Pad oxide
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
28
Photoresist Coating
Pad oxide
Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
29
LOCOS Mask
www2.austin.cc.tx.us/HongXiao/Book.htm
30
LOCOS Mask
Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
31
Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
32
Development
Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
33
Etch Nitride
Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
34
Strip Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
35
Isolation Implantation
Silicon nitride
p+
p+
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
36
Thermal Oxidation
Silicon nitride
p+
SiO2
p+
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
37
Strip Nitride
p+
SiO2
p+
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
38
Problem of LOCOS
Birds beak
Oxygen diffuse isotropically in silicon dioxide
Oxide grow underneath nitride
Waste surface area
Uneven surface
Oxide grow above the silicon surface
Affect photolithography and thin film deposition
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
39
Activation Area
LOCOS
Birds Beak
Activation Area
www2.austin.cc.tx.us/HongXiao/Book.htm
40
www2.austin.cc.tx.us/HongXiao/Book.htm
41
Polysilicon
Silicon nitride
Silicon nitride
p+
p+
P-type substrate
P-type substrate
Silicon nitride
SiO2
p+
p+
SiO2
p+
p+
P-type substrate
P-type substrate
Oxidation
www2.austin.cc.tx.us/HongXiao/Book.htm
42
www2.austin.cc.tx.us/HongXiao/Book.htm
43
LOCOS
Simpler, cheaper, and production proven
Used in IC fabrication until feature < 0.35 m
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
44
www2.austin.cc.tx.us/HongXiao/Book.htm
45
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
46
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
47
Pad oxide
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
48
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
49
www2.austin.cc.tx.us/HongXiao/Book.htm
50
Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
51
Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
52
Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
53
Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
54
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
55
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
56
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
57
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
Channel Stop
Implantation
58
CVD Oxide
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
Channel Stop
Implantation
59
CVD Oxide
Silicon nitride
PR
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
Channel Stop
Implantation
60
Silicon nitride
CVD Oxide
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
Channel Stop
Implantation
61
CVD Oxide
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
Channel Stop
Implantation
62
Photoresist
CVD Oxide
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
Channel Stop
Implantation
63
CVD Oxide
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
Channel Stop
Implantation
64
CVD Oxide
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
Channel Stop
Implantation
65
Advanced STI
No need for channel stop ion implantation
to raise the field threshold voltage.
Trench fill can also be achieved with O3TEOS process
Need anneal at > 1000 C to densify the film
www2.austin.cc.tx.us/HongXiao/Book.htm
66
Nitride
P-Well
P-Epi
Hong Xiao, Ph. D.
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
67
www2.austin.cc.tx.us/HongXiao/Book.htm
68
Nitride
Nitride
P-Well
P-Epi
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
69
USG
Nitride
Nitride
USG
P-Well
P-Epi
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
70
Nitride
Nitride
USG
P-Well
P-Epi
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
71
USG
STI
P-Well
P-Epi
Hong Xiao, Ph. D.
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
72
Transistor Making
Metal gate
Self-aligned gate
Lightly doped drain (LDD)
Threshold adjustment
Anti punch-through
Metal and high- gate MOS
www2.austin.cc.tx.us/HongXiao/Book.htm
73
www2.austin.cc.tx.us/HongXiao/Book.htm
74
N-Silicon
N-Silicon
Primer
Field Oxide
Field Oxide
Photoresist
N-Silicon
N-Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
75
Field Oxide
Source/Drain Mask
PR
Photoresist
N-Silicon
N-Silicon
Field Oxide
Field Oxide
PR
PR
N-Silicon
UV Light
N-Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
76
Field Oxide
p+
N-Silicon
N-Silicon
Field Oxide
p+
p+
N-Silicon
p+
Gate Oxide
p+
Field Oxide
p+
N-Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
77
p+
Field Oxide
p+
p+
N-Silicon
Gate Oxide
Field Oxide
p+
p+
N-Silicon
Gate Oxide
AlSi
N-Silicon
Gate Oxide
Field Oxide
p+
p+
p+
N-Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
78
Self-aligned Gate
Introduction of ion implantation
NMOS instead of PMOS
Polysilicon replaced aluminum for gate
Al alloy cant sustain the high temperature
post-implantation anneal
www2.austin.cc.tx.us/HongXiao/Book.htm
79
Self-aligned Gate
Activation area for transistors making
Gate oxidation and polysilicon deposition
Gate mask defines the gate and local
interconnection.
Transistors are made after ion implantation
and thermal annealing
Advanced MOSFET are made in this way
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
80
Transistor Making:
Self-aligned Gate
Phosphorus Ions
Polysilicon
Gate
n+
p-Si
Hong Xiao, Ph. D.
n+
Gate oxide
www2.austin.cc.tx.us/HongXiao/Book.htm
81
www2.austin.cc.tx.us/HongXiao/Book.htm
82
Poly Si
Gate oxide
n+
Source
VD > 0
p-Si
n+
Drain
www2.austin.cc.tx.us/HongXiao/Book.htm
Electron
injection
83
LDD Formation
Low energy, low current ion implantation
very low dopant concentration and shallow
junction just extended underneath the gate
www2.austin.cc.tx.us/HongXiao/Book.htm
84
LDD Formation
Reduce the vertical electric field of the
source/drain bias
Reduce the available electrons for tunneling
Suppress the hot electron effect
www2.austin.cc.tx.us/HongXiao/Book.htm
85
Polysilicon
Gate
Gate oxide
p-Si
www2.austin.cc.tx.us/HongXiao/Book.htm
86
LDD implantation
Polysilicon
Gate
Gate oxide
n-
n-
p-Si
LDD, n
www2.austin.cc.tx.us/HongXiao/Book.htm
87
Nitride Deposition
Polysilicon
Gate
Gate oxide
n-
n-
p-Si
Nitride
Layer
LDD, n
www2.austin.cc.tx.us/HongXiao/Book.htm
88
Polysilicon
Gate
Gate oxide
n-
n-
p-Si
Sidewall
Spacer
LDD, n
www2.austin.cc.tx.us/HongXiao/Book.htm
89
Polysilicon
Gate
Gate oxide
n+
n+
p-Si
Sidewall
Spacer
LDD, n
www2.austin.cc.tx.us/HongXiao/Book.htm
90
Implantation Anneal
Polysilicon
Gate
Gate oxide
n+
n+
p-Si
Sidewall
Spacer
LDD, n
www2.austin.cc.tx.us/HongXiao/Book.htm
91
www2.austin.cc.tx.us/HongXiao/Book.htm
92
Sidewall
spacer
Poly-Si
gate
Gate oxide
Poly-Si
gate
Source/drain
Gate oxide
Sidewall
spacer
Source/drain
Poly-Si
gate
Gate oxide
Gate oxide
(a)
(b)
www2.austin.cc.tx.us/HongXiao/Book.htm
93
VT Adjustment Implantation
Controls threshold voltage of MOSFET
Ensure supply voltage can turn-on or turn-off
the MOSFET in IC chip
www2.austin.cc.tx.us/HongXiao/Book.htm
94
VT Adjust Implantation
(a)
Wafer clean
STI
STI
(b) STI
VT Adjust
STI
STI
VT Adjust
STI
Anneal
Strip sacrificial oxide (c)
(c)
www2.austin.cc.tx.us/HongXiao/Book.htm
95
Anti-punch-through Implantation
Punch-through effect
The depletion regions of the source and drain
short each other under the influence of both
gate-substrate bias and source-drain bias
www2.austin.cc.tx.us/HongXiao/Book.htm
96
Phosphorus Ions
PR
PR
N-well
Anti Punch-through
P-type Silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
97
Halo Implantation
Another implantation process commonly
used to suppress punch through effect
Low energy and low current
Large incident angle, 45
www2.austin.cc.tx.us/HongXiao/Book.htm
98
Halo Implantation
45
Photoresist
Polysilicon
Gate
PR
STI
p-Si
45
n+
PR
n+
Halo Junction
VT Adjust
www2.austin.cc.tx.us/HongXiao/Book.htm
99
www2.austin.cc.tx.us/HongXiao/Book.htm
100
Metal Gate
Lower resistivity
Help to improve device speed
A possible future transistor making process
Metal and high- dielectric gate
www2.austin.cc.tx.us/HongXiao/Book.htm
101
Strip Photoresist
Polysilicon
STI
STI
P-well
www2.austin.cc.tx.us/HongXiao/Book.htm
102
STI
STI
P-well
www2.austin.cc.tx.us/HongXiao/Book.htm
103
STI
STI
P-well
www2.austin.cc.tx.us/HongXiao/Book.htm
104
RPCVD Nitride
STI
STI
P-well
www2.austin.cc.tx.us/HongXiao/Book.htm
105
CVD PSG
PSG
PSG
STI
STI
P-well
www2.austin.cc.tx.us/HongXiao/Book.htm
106
Strip Nitride
PSG
PSG
STI
STI
P-well
www2.austin.cc.tx.us/HongXiao/Book.htm
107
Strip Polysilicon
PSG
PSG
STI
STI
P-well
www2.austin.cc.tx.us/HongXiao/Book.htm
108
Strip Oxide
PSG
PSG
STI
STI
P-well
www2.austin.cc.tx.us/HongXiao/Book.htm
109
Ta2O5
PSG
PSG
STI
STI
P-well
www2.austin.cc.tx.us/HongXiao/Book.htm
110
CVD Tungsten
Tungsten
PSG
PSG
STI
STI
P-well
www2.austin.cc.tx.us/HongXiao/Book.htm
111
Silicon Nitride
PSG
STI
P-well
Tungsten Gate
W
n+
PSG
n+
STI
www2.austin.cc.tx.us/HongXiao/Book.htm
112
www2.austin.cc.tx.us/HongXiao/Book.htm
113
www2.austin.cc.tx.us/HongXiao/Book.htm
114
Interconnection
Making transistor: front-end
Interconnection: back-end
www2.austin.cc.tx.us/HongXiao/Book.htm
115
Local Interconnection
Connection between neighboring transistors
Usually polysilicon or polycide stack
WSi2, TiSi2, and CoSi2 are commonly used
WSix: CVD process with WF6 and SiH4
www2.austin.cc.tx.us/HongXiao/Book.htm
116
Tungsten silicide
Amorphous silicon
(a)
STI
STI
P-well
Photoresist
Tungsten silicide
Amorphous silicon
(b)
STI
STI
P-well
Gate oxide
Strip photoresist
Polysilicon and silicide annealing (c)
Hong Xiao, Ph. D.
(c)
STI
STI
P-well
www2.austin.cc.tx.us/HongXiao/Book.htm
Gate oxide
117
www2.austin.cc.tx.us/HongXiao/Book.htm
118
Cobalt Deposition
STI
Silicon
Cobalt
Cobalt Silicide
Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
Gate Oxide
119
www2.austin.cc.tx.us/HongXiao/Book.htm
120
(a)
PSG
(b)
n+
STI
n+ USG p+
p+
P-Well
N-Well
P-Epi
P-Wafer
(c)
PSG
n+
STI
Tungsten
n+ USG p+
p+
P-Well
N-Well
P-Epi
P-Wafer
Tungsten
n+
p+
USG p+
(d) STI
P-Well
N-Well
P-Epi
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
PSG
n+
121
www2.austin.cc.tx.us/HongXiao/Book.htm
122
Strip Photoresist/Clean
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
123
Tungsten
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
124
Tungsten
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
125
Oxide CVD
Photolithography, oxide etch, and PR strip
Metal PVD
Photolithography, metal etch, and PR strip
Oxide etch forms contact or via holes
metal etch forms interconnection lines
www2.austin.cc.tx.us/HongXiao/Book.htm
126
Early Aluminum
Interconnection
(a)
p+
n+
n+
PSG
SiO2
p+
p+
p+
N-well
P-type substrate
PSG
SiO2
p+
p+
p+
N-well
P-type substrate
PSG
SiO2
p+
p+
p+
N-well
P-type substrate
Al Si
PSG
SiO2
p+
p+
p+
N-well
P-type substrate
Al Si
PSG
SiO2
p+
p+
p+
N-well
P-type substrate
127
Multi-level Interconnection
Earlier interconnection has rough surface
problems in photolithography and metal PVD
Tungsten to fill narrow contact and via holes
www2.austin.cc.tx.us/HongXiao/Book.htm
128
Multi-level Interconnection
The basics interconnection process steps:
www2.austin.cc.tx.us/HongXiao/Book.htm
129
Multi-level Interconnection
Dielectric CMP for planarization
W CMP to removal bulk tungsten
Metal stack: Ti welding layer, AlCu alloy,
and TiN ARC
Metal etch defines metal interconnection lines
www2.austin.cc.tx.us/HongXiao/Book.htm
130
USG Dep/Etch/Dep/CMP
Al-Cu Alloy
W BPSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
131
USG
Al-Cu Alloy
W BPSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
132
USG
W
Al-Cu Alloy
W BPSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
133
Al-Cu Alloy
USG
M1
Al-Cu Alloy
W BPSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
134
Etch Metal 2
M2
IMD 1
AlCu
USG
M1
AlCu Alloy
W BPSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
135
Copper Interconnection
Lower resistivity and higher resistance
toelectromigration than aluminum alloy
Faster and reliable interconnection
Hard to dry etch delayed copper application
CMP developed and matured in the 1990s
Used in bulk W removal for plug formation
Copper process is similar to W plug process
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
136
Copper Interconnection
www2.austin.cc.tx.us/HongXiao/Book.htm
137
Copper Interconnection
Dual damascene process
Most commonly used method for the copper
metallization
www2.austin.cc.tx.us/HongXiao/Book.htm
138
Basic Differences
Traditional process: one dielectric etch and
one metal etch
Dual damascene copper process: two
dielectric etches, no metal etch
The main challenges of the dual damascene
copper process are dielectric etch, metal
deposition and metal CMP
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
139
PECVD Nitride/USG/nitride/USG
USG
USG
W
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
140
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
141
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
142
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
143
M1
Cu
USG
W
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
144
Copper Metallization
Nitride seal layer prevent copper diffusion
and oxidation
Etch stop nitride separate via and trench etch
Tantalum used as copper barrier layer
PVD copper seed layer
ECP bulk copper
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
145
www2.austin.cc.tx.us/HongXiao/Book.htm
146
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
147
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
148
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
149
-CF
Cu
-CF
Tungsten
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
150
-CF
Cu
-CF
Tungsten
PSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
151
www2.austin.cc.tx.us/HongXiao/Book.htm
152
Passivation
Protect IC chip from moisture and other
contaminants such as sodium
Silicon nitride is the most commonly used
Usually oxide layer is used as a stress buffer
SiH4 based PECVD for both oxide and nitride
Bonding pad mask or connecting bump mask
Fluorine based nitride/oxide etch
Strip PR to finish wafer processing
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
153
Metal Anneal
Metal 4, AlCu
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
154
PECVD Oxide
Oxide
Metal 4, AlCu
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
155
PECVD Nitride
Nitride
Oxide
Metal 4, AlCu
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
156
Photoresist Coating
Photoresist
Nitride
Oxide
Metal 4, AlCu
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
157
www2.austin.cc.tx.us/HongXiao/Book.htm
158
www2.austin.cc.tx.us/HongXiao/Book.htm
159
Strip Photoresist
Nitride
Oxide
Metal 4, AlCu
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
160
Summary
www2.austin.cc.tx.us/HongXiao/Book.htm
161
Summary
Basic process steps for copper metallization
are dielectric deposition, dielectric etches,
metal deposition, and metal polishing
Silicon nitride is the most commonly used
passivation materials in IC processing
www2.austin.cc.tx.us/HongXiao/Book.htm
162
Chapter 14
CMOS Processes
Hong Xiao, Ph. D.
hxiao89@hotmail.com
www2.austin.cc.tx.us/HongXiao/Book.htm
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
Objectives
List the major process technology changes
from the 1980 to the 1990s
Explain the differences between copper
metallization and traditional metallization
www2.austin.cc.tx.us/HongXiao/Book.htm
1970s
NMOS
Ion implantation
Polysilicon gate
www2.austin.cc.tx.us/HongXiao/Book.htm
1980s Technology
LCD replacing LED as indicators for
electronic watches and calculators
CMOS IC replacing NMOS IC for lower
power consumption
Minimum feature size: from 3 m to 0.8 m
Wafer size: 100 mm (4 in) to 150 mm (6 in)
www2.austin.cc.tx.us/HongXiao/Book.htm
LOCOS
PSG and reflow
Evaporator for metal deposition
Positive photoresist
Projection printer
Plasma etch and wet etch
www2.austin.cc.tx.us/HongXiao/Book.htm
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
Pad Oxidation
Pad oxide
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
LPCVD Nitride
Pad oxide
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
Photoresist Coating
Pad oxide
Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
Mask 1, LOCOS
www2.austin.cc.tx.us/HongXiao/Book.htm
10
Mask 1, LOCOS
Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
11
Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
12
Development
Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
13
Etch Nitride
Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
14
Strip Photoresist
Silicon nitride
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
15
Isolation Implantation
Silicon nitride
p+
p+
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
16
LOCOS Oxidation
Silicon nitride
p+
SiO2
p+
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
17
p+
SiO2
p+
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
18
Screen Oxidation
p+
SiO2
p+
p+
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
19
Photoresist Coating
Photoresist
p+
SiO2
p+
p+
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
20
Mask 2, N-well
www2.austin.cc.tx.us/HongXiao/Book.htm
21
Mask 2, N-well
Photoresist
p+
SiO2
p+
p+
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
22
Exposure
Photoresist
p+
SiO2
p+
p+
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
23
Development
Photoresist
p+
SiO2
p+
p+
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
24
N-well Implantation
Phosphorus Ions
Photoresist
p+
SiO2
p+
N-well
p+
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
25
Strip Photoresist
p+
SiO2
p+
N-well
p+
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
26
N-well Drive-in
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
27
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
28
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
29
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
30
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
31
Deposit Polysilicon
Gate Oxide
p+
Polysilicon
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
32
Photoresist Coating
Polysilicon
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
33
www2.austin.cc.tx.us/HongXiao/Book.htm
34
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
35
Exposure
Polysilicon
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
36
Development
Polysilicon
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
37
Etch Polysilicon
PR
p+
Polysilicon Gate
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
38
Strip Photoresist
Polysilicon
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
39
Photoresist Coating
Polysilicon
Photoresist
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
40
Mask 4, n-Source/Drain
Photoresist
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
41
Exposure
Photoresist
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
42
Development
Photoresist
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
43
P+ implantation
Photoresist
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
44
Strip Photoresist
Photoresist
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
45
Photoresist Coating
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
46
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
47
Development
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
48
P-Source/Drain Implantation
B+ implantation
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
49
Strip Photoresist
p+
SiO2
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
50
Anneal
n+
p+
n+
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
51
n+
p+
n+
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
52
CVD BPSG
n+
p+
n+
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
53
BPSG Reflow
n+
p+
n+
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
54
Photoresist Coating
n+
p+
n+
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
55
n+
p+
n+
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
56
Development
n+
p+
n+
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
57
Contact Etch
n+
p+
n+
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
58
Strip Photoresist
n+
p+
n+
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
59
Metal Deposition
n+
p+
n+
AlCuSi
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
60
Photoresist Coating
n+
p+
n+
AlCuSi
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
61
n+
p+
n+
AlCuSi
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
62
Development
n+
p+
n+
AlCuSi
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
63
Etch Metal
n+
p+
n+
AlCuSi
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
64
Strip Photoresist
n+
p+
n+
AlCuSi
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
65
CVD USG
n+
p+
n+
USG
AlCuSi
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
66
CVD Nitride
n+
p+
n+
Nitride
USG
AlCuSi
BPSG
SiO2
p+
p+
p+
N-well
P-type substrate
www2.austin.cc.tx.us/HongXiao/Book.htm
67
1990s Technology
Driving force: digital logic electronics
PC, telecommunication, and internet.
www2.austin.cc.tx.us/HongXiao/Book.htm
68
Epitaxy silicon
Shallow trench isolation
The sidewall spacer for LDD and salicide
Polycide gates and local interconnections
reduce resistance and improve device speed
Tungsten silicide and titanium silicide.
www2.austin.cc.tx.us/HongXiao/Book.htm
69
www2.austin.cc.tx.us/HongXiao/Book.htm
70
RTP systems
post-implantation annealing
silicide formation,
faster, better process and thermal budget control.
www2.austin.cc.tx.us/HongXiao/Book.htm
71
www2.austin.cc.tx.us/HongXiao/Book.htm
72
DCVD: PE-silane
PMD barrier nitride, dielectric ARC, and PD nitride
www2.austin.cc.tx.us/HongXiao/Book.htm
73
www2.austin.cc.tx.us/HongXiao/Book.htm
74
Epitaxy Deposition
P-Epi
Hong Xiao, Ph. D.
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
75
Mask 1: N-well
www2.austin.cc.tx.us/HongXiao/Book.htm
76
N-well Implantation
Phosphorus Ions
Photoresist
N-Well
P-Epi
Hong Xiao, Ph. D.
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
77
Mask 2: P-well
www2.austin.cc.tx.us/HongXiao/Book.htm
78
P-well Implantation
Boron Ions
Photoresist
P-Well
N-Well
P-Epi
Hong Xiao, Ph. D.
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
79
P-Well
P-Epi
Hong Xiao, Ph. D.
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
80
Nitride
P-Well
P-Epi
Hong Xiao, Ph. D.
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
81
www2.austin.cc.tx.us/HongXiao/Book.htm
82
Nitride
Nitride
P-Well
P-Epi
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
83
USG
Nitride
Nitride
USG
P-Well
P-Epi
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
84
Nitride
Nitride
USG
P-Well
P-Epi
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
85
USG
STI
P-Well
P-Epi
Hong Xiao, Ph. D.
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
86
www2.austin.cc.tx.us/HongXiao/Book.htm
87
Phosphorus Ions
Photoresist
USG
STI
P-Well
P-Epi
Hong Xiao, Ph. D.
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
88
www2.austin.cc.tx.us/HongXiao/Book.htm
89
Boron Ions
Photoresist
USG
STI
P-Well
P-Epi
Hong Xiao, Ph. D.
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
90
Polysilicon
USG
STI
P-Well
P-Epi
Hong Xiao, Ph. D.
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
91
www2.austin.cc.tx.us/HongXiao/Book.htm
92
Etch Polysilicon
Gate Oxide
STI
Photoresist
Polysilicon gate
USG
P-Well
N-Well
P-Epi
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
93
www2.austin.cc.tx.us/HongXiao/Book.htm
94
Arsenic Ions
Photoresist
USG
STI
P-Well
P-Epi
Hong Xiao, Ph. D.
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
95
www2.austin.cc.tx.us/HongXiao/Book.htm
96
STI
P-Well
P-Epi
Hong Xiao, Ph. D.
N-Well
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
97
Sidewall Spacer
Sidewall
Spacer
Polysilicon gate
n- LDD
Gate oxide
n- LDD
n- LDD
www2.austin.cc.tx.us/HongXiao/Book.htm
Polysilicon gate
Gate oxide
Sidewall
Spacer
n- LDD
98
www2.austin.cc.tx.us/HongXiao/Book.htm
99
Phosphorus Ions
Photoresist
STI
n+
pn+
USG
P-Well
N-Well
P-Epi
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
p-
100
www2.austin.cc.tx.us/HongXiao/Book.htm
101
Photoresist
STI
n+
n+
USG
p+
P-Well
N-Well
P-Epi
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
102
Ar +
+
Sidewall
spacer
nn+
Polysilicon gate
Ti
Sidewall
spacer
Polysilicon gate
n-
Gate oxide
nn+
Gate oxide
n+
nn+
Ti
TiSi2
Polysilicon gate
nn+
TiSi2
Gate oxide
TiSi 2
Polysilicon gate
n-
nn+
TiSi2
n+
www2.austin.cc.tx.us/HongXiao/Book.htm
Gate oxide
nn+
103
Sidewall Spacer
Silicon
Titanium
Titanium Silicide
Silicon
www2.austin.cc.tx.us/HongXiao/Book.htm
Gate Oxide
104
STI
n+
n+
USG
p+
p+
n+
USG
p+
p+
BPSG
STI
Hong Xiao, Ph. D.
n+
www2.austin.cc.tx.us/HongXiao/Book.htm
105
www2.austin.cc.tx.us/HongXiao/Book.htm
106
BPSG
STI
n+
n+
p+
USG
P-Well
N-Well
P-Epi
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
107
n+
n+
p+
USG
P-Well
N-Well
P-Epi
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
108
TiN ARC
Titanium
n+
n+
p+
USG
P-Well
N-Well
P-Epi
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
109
www2.austin.cc.tx.us/HongXiao/Book.htm
110
Metal Etch
Titanium/Titanium Nitride
TiN ARC
Titanium
Al-Cu Alloy
W BPSG
STI
n+
n+
p+
USG
P-Well
N-Well
P-Epi
P-Wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
111
USG Dep/Etch/Dep/CMP
Al-Cu Alloy
W BPSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
112
www2.austin.cc.tx.us/HongXiao/Book.htm
113
USG
Al-Cu Alloy
W BPSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
114
Al-Cu Alloy
USG
M1
Al-Cu Alloy
W BPSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
115
www2.austin.cc.tx.us/HongXiao/Book.htm
116
Etch Metal 2
M2
IMD 1
AlCu
USG
M1
AlCu Alloy
W BPSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
117
USG Dep/Etch/Dep/CMP
IMD 2
USG
M2
IMD 1
AlCu
USG
M1
AlCu Alloy
W BPSG
STI
n+
n+
USG
P-Well
P-Epi
P-Wafer
p+
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
118
www2.austin.cc.tx.us/HongXiao/Book.htm
119
USG
M2
IMD 1
AlCu
USG
M1
AlCu Alloy
W BPSG
STI
Hong Xiao, Ph. D.
n+
n+
P-Well
USG
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
120
Metallization of Metal 3
Metal 3
IMD 2
USG
M2
IMD 1
AlCu Alloy
W
AlCu
USG
M1
AlCu Alloy
W BPSG
STI
Hong Xiao, Ph. D.
n+
n+
P-Well
USG
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
121
www2.austin.cc.tx.us/HongXiao/Book.htm
122
USG
M2
IMD 1
AlCu Alloy
W
AlCu
USG
M1
AlCu Alloy
W BPSG
STI
Hong Xiao, Ph. D.
n+
n+
P-Well
USG
p+
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
123
USG
Metal 3
IMD 2
USG
M2
IMD 1
Hong Xiao, Ph. D.
AlCu Alloy
W
AlCu
USG
M1
AlCu Alloy
www2.austin.cc.tx.us/HongXiao/Book.htm
124
www2.austin.cc.tx.us/HongXiao/Book.htm
125
USG
Metal 3
IMD 2
USG
M2
IMD 1
Hong Xiao, Ph. D.
AlCu Alloy
W
AlCu
USG
M1
AlCu Alloy
www2.austin.cc.tx.us/HongXiao/Book.htm
126
Metal 4 Deposition
AlCu
Metal 4
IMD 3
USG
Metal 3
IMD 2
USG
M2
IMD 1
Hong Xiao, Ph. D.
AlCu Alloy
W
AlCu
USG
M1
AlCu Alloy
www2.austin.cc.tx.us/HongXiao/Book.htm
127
www2.austin.cc.tx.us/HongXiao/Book.htm
128
Etch Metal 4
AlCu Alloy
AlCu
Metal 4
IMD 3
USG
Metal 3
AlCu Alloy
www2.austin.cc.tx.us/HongXiao/Book.htm
129
AlCu
Metal 4
IMD 3
USG
Metal 3
AlCu Alloy
www2.austin.cc.tx.us/HongXiao/Book.htm
130
www2.austin.cc.tx.us/HongXiao/Book.htm
131
AlCu
Metal 4
IMD 3
USG
Metal 3
AlCu Alloy
www2.austin.cc.tx.us/HongXiao/Book.htm
132
Passivation 2
Silicon Nitride
Passivation 1
AlCu Alloy
USG
AlCu
Metal 4
IMD33
IMD
USG
Ti/TiN
TiN ARC
Metal 3
AlCu Alloy
Ti
Ti/TiN
IMD 2
USG
M2
IMD 1
AlCu
M1
PMD
TiSi2
USG
AlCu Alloy
W
Sidewall
Spacer, USG
BPSG
Poly-Si
STI
n+
n+
USG
P-Well
P-Epi
p+
p+
PMD Barrier
Nitride
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
P-Wafer
133
State-of-art Technology
The 2000s Technology
Feature size 0.13 m or smaller
Wafer size 200 mm or 300 mm
www2.austin.cc.tx.us/HongXiao/Book.htm
134
State-of-art Technology
Silicon on isolator (SOI) with STI
Completely isolate the transistor on the silicon
surface from the bulk silicon substrate
Eliminate radiation-induced soft error.
www2.austin.cc.tx.us/HongXiao/Book.htm
135
State-of-art Technology
Copper and low- to reduce RC delay,
Lower power consumption, higher IC speed
Dual damascene process
Two dielectric etches, no metal etch
Uses metal CMP instead of metal etch
www2.austin.cc.tx.us/HongXiao/Book.htm
136
State-of-art Technology
Copper metallization: Cu seed, Ta/TaN
barrier, Cu ECP, anneal, and metal CMP
Low- dielectric: still in development
CVD and spin-on dielectric (SOD).
CVD: familiar technologies, existing process
equipment and experience
SOD: extendibility to very low dielectric
constant (<2) with porous silica
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
137
Lead-tin alloy
State-of-Art
SOI CMOS IC
with Copper
and Low-
Interconnection
Nitride
PSG
Copper 5
SOD
SOD
Copper 4
SOD
SOD
Cu 3
SOD
Cu 3
SOD
Copper 2
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
Tungsten
n+
n+ USG p+
www2.austin.cc.tx.us/HongXiao/Book.htm
STI
P-well
N-well
Buried SiO 2
P-wafer
PSG
p+ 138
USG
Bare wafer
P-wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
139
Oxygen ions, O+
P-wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
140
Oxide Anneal
Buried SiO2
Hong Xiao, Ph. D.
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
141
Wafer Clean
Buried SiO2
Hong Xiao, Ph. D.
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
142
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
143
Wafer Clean
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
144
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
145
Photoresist
P-epi
Buried SiO2
P-wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
146
Photoresist
P-epi
Buried SiO2
P-wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
147
Exposure
Photoresist
P-epi
Buried SiO2
P-wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
148
Photoresist
P-epi
Buried SiO2
P-wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
149
Photoresist
P-epi
Buried SiO2
P-wafer
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
150
Strip Photoresist
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
151
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
152
Wafer Clean
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
153
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
154
Nitride
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
155
Photoresist
Nitride
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
156
www2.austin.cc.tx.us/HongXiao/Book.htm
157
Photoresist
Nitride
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
158
Photoresist
Nitride
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
159
Photoresist
Nitride
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
160
Strip Photoresist
Nitride
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
161
Etch Silicon
Nitride
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-epi
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
162
Wafer Clean
Nitride
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-epi
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
163
Nitride
P-epi
Buried SiO2
Hong Xiao, Ph. D.
P-epi
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
164
Nitride
P-epi
Buried SiO2
Hong Xiao, Ph. D.
USG
P-epi
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
165
CMP USG
Nitride
P-epi
Buried SiO2
Hong Xiao, Ph. D.
USG
P-epi
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
166
Strip Nitride
P-epi
Buried SiO2
Hong Xiao, Ph. D.
USG
P-epi
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
167
STI
Hong Xiao, Ph. D.
P-epi
Buried SiO2
USG
P-epi
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
168
Wafer Clean
STI
Hong Xiao, Ph. D.
P-epi
Buried SiO2
USG
P-epi
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
169
STI
Hong Xiao, Ph. D.
P-epi
Buried SiO2
USG
P-epi
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
170
Photoresist
STI
Hong Xiao, Ph. D.
P-epi
Buried SiO2
USG
P-epi
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
171
Mask 2: N-well
www2.austin.cc.tx.us/HongXiao/Book.htm
172
Photoresist
STI
Hong Xiao, Ph. D.
P-epi
Buried SiO2
USG
P-epi
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
173
Photoresist
STI
Hong Xiao, Ph. D.
P-epi
Buried SiO2
USG
P-epi
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
174
N-well Implantations
Phosphorus ions, P+
Photoresist
STI
Hong Xiao, Ph. D.
P-epi
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
175
Boron ions, B+
Photoresist
STI
Hong Xiao, Ph. D.
P-epi
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
176
Strip Photoresist
STI
Hong Xiao, Ph. D.
P-epi
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
177
Photoresist
STI
Hong Xiao, Ph. D.
P-epi
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
178
Mask 3: P-well
www2.austin.cc.tx.us/HongXiao/Book.htm
179
Photoresist
STI
Hong Xiao, Ph. D.
P-epi
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
180
Photoresist
STI
Hong Xiao, Ph. D.
P-epi
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
181
P-well Implantations
Boron ions, B+
Photoresist
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
182
Phosphorus ions, P+
Photoresist
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
183
Strip Photoresist
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
184
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
185
Wafer Clean
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
186
Gate Oxidation
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
187
Gate oxide
-Si
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
188
Photoresist
-Si
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
189
www2.austin.cc.tx.us/HongXiao/Book.htm
190
Photoresist
-Si
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
191
Photoresist
-Si
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
192
Photoresist
Gate oxide
-Si
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
193
Strip Photoresist
-Si
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
194
Wafer Clean
-Si
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
195
Poly Si
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
196
Photoresist
Poly
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
197
www2.austin.cc.tx.us/HongXiao/Book.htm
198
Photoresist
Poly
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
199
Photoresist
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
200
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
201
Strip Photoresist
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
202
Photoresist
Poly
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
203
www2.austin.cc.tx.us/HongXiao/Book.htm
204
Photoresist
Poly
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
205
Poly
STI
Hong Xiao, Ph. D.
Photoresist
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
206
Photoresist
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
207
Strip Photoresist
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
208
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
209
Polysilicon gate
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
Sidewall spacers
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
210
Photoresist
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
211
www2.austin.cc.tx.us/HongXiao/Book.htm
212
Photoresist
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
213
Photoresist
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
214
Arsenic ions, As +
Photoresist
STI
Hong Xiao, Ph. D.
P-well
Buried SiO2
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
215
Strip Photoresist
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
216
Photoresist
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
217
www2.austin.cc.tx.us/HongXiao/Book.htm
218
Photoresist
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
219
Photoresist
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
220
Boron ions, B+
Photoresist
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
USG
N-well
USG
P-wafer
www2.austin.cc.tx.us/HongXiao/Book.htm
221
Strip Photoresist
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
222
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
223
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
224
TiN
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
Co
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
225
TiN
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
Co
n+
CoSi2
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
226
CoSi2
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
227
PECVD Nitride
CoSi2
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
228
PSG
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
229
CMP PSG
PSG
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
230
Photoresist
PSG
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
231
www2.austin.cc.tx.us/HongXiao/Book.htm
232
Photoresist
PSG
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
233
PR
PSG
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
234
Etch PSG
PR
PSG
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
235
Strip Photoresist
PSG
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
236
PSG
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
237
PSG
STI
Hong Xiao, Ph. D.
n+
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
238
Tungsten CVD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
239
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
240
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
241
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
242
SOD Cure
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
243
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
244
SOD
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
245
PE-TEOS Cap
SOD
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
246
Photoresist
SOD
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
247
www2.austin.cc.tx.us/HongXiao/Book.htm
248
Photoresist
SOD
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
249
Photoresist
SOD
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
250
Photoresist
SOD
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
251
Strip Photoresist
SOD
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
252
Photoresist
SOD
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
253
www2.austin.cc.tx.us/HongXiao/Book.htm
254
Photoresist
SOD
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
255
Photoresist
SOD
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
256
Etch Trench
Photoresist
SOD
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
257
Strip Photoresist
SOD
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
258
SOD
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
259
SOD
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
260
SOD
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
261
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
262
Copper Anneal
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
263
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
264
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
265
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
266
SOD Curing
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
267
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
268
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
269
PE-TEOS Cap
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
270
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
271
www2.austin.cc.tx.us/HongXiao/Book.htm
272
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
273
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
274
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
275
Strip Photoresist
SiC
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
276
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
277
www2.austin.cc.tx.us/HongXiao/Book.htm
278
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
279
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
280
Via2 Etch
Photoresist
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
281
Strip Photoresist
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
282
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
283
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
284
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
285
Bulk Cu ECP
Copper 2
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
286
Copper Anneal
Copper 2
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
287
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
288
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
289
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
290
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
291
www2.austin.cc.tx.us/HongXiao/Book.htm
292
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
293
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
294
Etch SiC
Photoresist
SOD
Copper 2
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
295
Strip Photoresist
SOD
Copper 2
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
296
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
297
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
298
www2.austin.cc.tx.us/HongXiao/Book.htm
299
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
300
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
301
Dielectric Etch
SOD
SOD
Copper 2
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
302
Strip Photoresist
SOD
SOD
Copper 2
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
303
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
304
SOD
Cu 3
SOD
Copper 2
SOD
SOD
Cu 1
Cu 1 SOD
Cu 1
Cu 1
SOD
W
STI
Hong Xiao, Ph. D.
n+
PSG
Tungsten
P-well
Buried SiO2
n+
p+
N-well
P-wafer
USG
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
USG
305
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
306
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
307
Via 4 Etch
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
308
Strip Photoresist
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
309
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
310
Etch Trench
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
311
Strip Photoresist
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
312
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
313
Copper 4
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
314
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
315
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
316
Etch Via5
SOD
SOD
Copper 4
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
317
Strip Photoresist
SOD
SOD
Copper 4
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
318
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
319
Dielectric Etch
SOD
SOD
Copper 4
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
320
Strip Photoresist
SOD
SOD
Copper 4
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
321
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
322
SOD
SOD
Copper 4
SOD
SOD
Cu 3
SOD
Cu 3
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
323
Nitride
PSG
Copper 5
SOD
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
324
Nitride
PSG
Copper 5
SOD
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
325
Nitride
PSG
Copper 5
SOD
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
326
Strip Photoresist
Nitride
PSG
Copper 5
SOD
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
327
Polyamide Coating
Polyamide
Nitride
PSG
Copper 5
SOD
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
328
Polyamide
Nitride
PSG
Copper 5
SOD
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
329
Strip Polyamide
Nitride
PSG
Copper 5
SOD
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
330
Nitride
PSG
Copper 5
SOD
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
331
Nitride
PSG
Copper 5
SOD
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
332
Lead-tin alloy
Nitride
PSG
Copper 5
SOD
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
333
Lead-tin alloy
Nitride
PSG
Copper 5
SOD
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
334
Metal Etch
Photoresist
Lead-tin alloy
Nitride
PSG
Copper 5
SOD
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
335
Strip Photoresist
Lead-tin alloy
Nitride
PSG
Copper 5
SOD
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
336
Lead-tin alloy
Nitride
PSG
Copper 5
SOD
SOD
www2.austin.cc.tx.us/HongXiao/Book.htm
337
Lead-tin alloy
Nitride
PSG
Copper 5
SiN seal
layer
SOD
SOD
Copper 4
SOD
SiC etch
stop layers
Ta/TaN
barrier layer
SOD
Cu 3
SOD
Cu 3
SOD
Copper 2
SOD
SOD
Cu 1
Cu 1 SOD
CoSi2
Poly Si gate
Hong Xiao, Ph. D.
Cu 1
PE-TEOS cap
Cu 1
SOD
W
n+
n+ USG p+
p+
www2.austin.cc.tx.us/HongXiao/Book.htm
STI
P-well
N-well
Buried SiO 2
P-wafer
PSG
USG
SiN barrier
338
layer
Summary
CMOS IC chips dominate semiconductor
industry
Demands for digital electronics, such as
electronic watches, calculators, and personal
computers
www2.austin.cc.tx.us/HongXiao/Book.htm
339
Summary
In the 1980s
3 micron to sub-micron
Multi-layer metallization
Tungsten CVD, dielectric CVD and metal sputtering
Sidewall spacer for LDD
Plasma etch gradually replaced wet etch in all
patterning etch processes.
Steppers became popular for alignment and exposure
while projection systems were widely used
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
340
Summary
In the 1990s,
0.8 micron to 0.18 micron
Silicides used for the gate and local interconnection
CMP widely used for tungsten polishing and dielectric
planarization
RTP is widely used for anneal processes
HDP sources are used for etch, CVD, sputtering clean,
and sputtering deposition
O3-TEOS oxide CVD processes commonly used for
STI, PMD and IMD depositions.
ECP is used for copper metallization process
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
341
Chapter 15
Summary and Future
Trends
Hong Xiao, Ph. D.
hxiao89@hotmail.com
www2.austin.cc.tx.us/HongXiao/Book.htm
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
The 1960s
First IC product
Bipolar dominant
PMOS
Diffusion for doping
Metal gate
www2.austin.cc.tx.us/HongXiao/Book.htm
p+
p+
N-Silicon
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
The 1970s
Bipolar dominant
NMOS
Ion implantation for doping after mid-1970s
Self-aligned source/drain
Polysilicon gate
Main driving force: electronic watches and
calculators
www2.austin.cc.tx.us/HongXiao/Book.htm
p-Si
n+
www2.austin.cc.tx.us/HongXiao/Book.htm
The 1980s
www2.austin.cc.tx.us/HongXiao/Book.htm
USG dep/etch/dep
PMD
p+
n+
n+
AlCuSi
BPSG
LOCOS
SiO2
p+
p+
p+
N-well
Poly Si Gate
P-type substrate
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
The 1990s
MOSFET dominant
CMOS
Multi-level interconnections
Tungsten
Silicide
CMP
www2.austin.cc.tx.us/HongXiao/Book.htm
CMOS
in the
1990s
Passivation 2
Silicon Nitride
Passivation 1
AlCu Alloy
USG
AlCu
Metal 4
IMD33
IMD
USG
Ti/TiN
TiN ARC
Metal 3
AlCu Alloy
Ti
Ti/TiN
IMD 2
USG
M2
IMD 1
AlCu
M1
PMD
TiSi2
USG
AlCu Alloy
W
Sidewall
Spacer, USG
BPSG
Poly-Si
STI
n+
n+
USG
P-Well
P-Epi
p+
p+
PMD Barrier
Nitride
N-Well
www2.austin.cc.tx.us/HongXiao/Book.htm
P-Wafer
The 2000s
MOSFET dominant
CMOS
SOI substrate
Copper and low- interconnection
Main driving force: telecommunication,
network, internet, PC
www2.austin.cc.tx.us/HongXiao/Book.htm
10
CMOS
in the
2000s
Lead-tin alloy
Nitride
SiN seal
layer
PSG
Copper 5
SOD
SOD
Copper 4
SOD
SiC etch
stop layers
Ta/TaN
barrier layer
SOD
Cu 3
SOD
Cu 3
SOD
Copper 2
SOD
SOD
PE-TEOS cap
Cu 1
Cu 1 SOD
CoSi2
Poly Si gate
Cu 1
Cu 1
SOD
W
Tungsten
n+
n+ USG p+
www2.austin.cc.tx.us/HongXiao/Book.htm
STI
P-well
Buried SiO 2
N-well
P-wafer
p+
USG
SiN barrier
11
layer
Lower resistivity
Improve device speed
Higher electromigration resistance
Higher current
Reduce metal layers that reduce processing steps
www2.austin.cc.tx.us/HongXiao/Book.htm
12
www2.austin.cc.tx.us/HongXiao/Book.htm
13
www2.austin.cc.tx.us/HongXiao/Book.htm
14
www2.austin.cc.tx.us/HongXiao/Book.htm
15
Still in R&D
www2.austin.cc.tx.us/HongXiao/Book.htm
16
Developing Industry
After more than 50 years, semiconductor
industry is still a developing industry, not a
matured industry as automobile industry
New technology is introduced almost daily
Technology less than ten years could
become obsolete
www2.austin.cc.tx.us/HongXiao/Book.htm
17
www2.austin.cc.tx.us/HongXiao/Book.htm
18
www2.austin.cc.tx.us/HongXiao/Book.htm
19
Auto-chip
Global location system and voice activated
internet access may become standard feature
in the future automobiles
In the near future, General Motors (GM)
will consume more IC chips than
International Business Machines (better
known as IBM) every year
Hong Xiao, Ph. D.
www2.austin.cc.tx.us/HongXiao/Book.htm
20
Bio-chip
Miniaturize test probes and analysis circuits
Medical IC chips for DNA test
Fast, accurate diagnosis of DNA related
diseases.
Lab-on-chip
www2.austin.cc.tx.us/HongXiao/Book.htm
21
Telecommunication, Internet
The worldwide development of the
telecommunication and internet will still be
the main driving force of the continuing
rapid development of the IC industry in the
near future
www2.austin.cc.tx.us/HongXiao/Book.htm
22
Future is Bright
Boom-bust cycle of the IC industry
Demands for IC chips will steadily grow
So will the demands for skillful and
knowledgeable workers
www2.austin.cc.tx.us/HongXiao/Book.htm
23
www2.austin.cc.tx.us/HongXiao/Book.htm
24