You are on page 1of 12

UNIVERSIDAD TECNICA DE ORURO

FACULTAD NACIONAL DE INGENIERIA


CARRERA: INGENIERIA ELECTRICA ELECTRONICA
LABORATORIO DE ELECTRONICA DIGITAL 1 (ELT 2722)
_____________________________________________________________________________

LABORATORIO N 4
MULTIPLEXORES Y DEMULTIPLEXORES
1.- Objetivo
Introducir al alumno en el estudio de circuitos de mediana escala de integracin
como ser multiplexores y demultiplexores.
2.- Fundamento Teorico
LOS MULTIPLEXORES
Mediante una seal de control deseamos seleccionar una de las entradas y que sta
aparezca a la salida. Haciendo una analoga elctrica, podemos comparar un multiplexor
con un conmutador de varias posiciones, de manera que, situando el selector en una de
las posibles entradas, sta aparecer en la salida.

Los multiplexores son circuitos combinacionales con varias entradas y una salida de
datos, y estn dotados de entradas de control capaces de seleccionar una, y slo una, de
las entradas de datos para permitir su transmisin desde la entrada seleccionada a la
salida que es nica.

UNIVERSIDAD TECNICA DE ORURO


FACULTAD NACIONAL DE INGENIERIA
CARRERA: INGENIERIA ELECTRICA ELECTRONICA
LABORATORIO DE ELECTRONICA DIGITAL 1 (ELT 2722)
_____________________________________________________________________________

La entrada seleccionada viene determinada por la combinacin de ceros (0) y unos (1)
lgicos en las entradas de control. La cantidad que necesitaremos ser igual a la potencia
de 2 que resulte de analizar el nmero de entradas. As, por ejemplo, a un multiplexor de
8 entradas le correspondern 3 de control.
Podemos decir que la funcin de un multiplexor consiste en seleccionar una de entre un
nmero de lneas de entrada y transmitir el dato de un canal de informacin nico. Por lo
tanto, es equivalente a un conmutador de varias entradas y una salida.

Dentro de un multiplexor hay que destacar tres tipos de seales: los datos de
entrada, las entradas de control y la salida

El diseo de un multiplexor se realiza de la misma manera que cualquier sistema


combinatorio desarrollado hasta ahora. Veamos, como ejemplo, el caso de un multiplexor
de cuatro entradas y una salida que tendr, segn lo dicho anteriormente, dos entradas
de control. Esta tabla de verdad define claramente cmo, dependiendo de la combinacin
de las entradas de control, a la salida se transmite una u otra entrada de las cuatro
posibles. As:

UNIVERSIDAD TECNICA DE ORURO


FACULTAD NACIONAL DE INGENIERIA
CARRERA: INGENIERIA ELECTRICA ELECTRONICA
LABORATORIO DE ELECTRONICA DIGITAL 1 (ELT 2722)
_____________________________________________________________________________

CONTROL

ENTRADAS DATOS

SALIDA

AB

I0 I1 I2 I3

00

0XXX

00

1XXX

01

X0XX

01

X1XX

10

XX1X

10

XXX0

11

XXX0

11

XXX1

Si deducimos de esta tabla de verdad la expresin booleana que nos dar la funcin
salida, tendremos la siguiente ecuacin:
S = (/A*/B*I0) + (/A*B*I1) + (A*/B*I2) + (A*B*I3)
Con la que podremos disear nuestro circuito lgico.
La estructura de los multiplexores es siempre muy parecida a esta que hemos descrito,
aunque a veces se aade otra entrada suplementaria de validacin o habilitacin,
denominada strobe o enable que, aplicada a las puertas AND, produce la
presentacin de la salida.
Tipos de multiplexores
Dentro de la gran variedad de multiplexores que existen en el mercado, hay varios tipos
que conviene destacar a causa de su gran utilidad en circuitos digitales, stos son:

UNIVERSIDAD TECNICA DE ORURO


FACULTAD NACIONAL DE INGENIERIA
CARRERA: INGENIERIA ELECTRICA ELECTRONICA
LABORATORIO DE ELECTRONICA DIGITAL 1 (ELT 2722)
_____________________________________________________________________________

Multiplexor de 8 entradas.
Multiplexor de 16 entradas.
Doble multiplexor de 4 entradas.

Dentro del primer tipo podemos hacer la distincin entre tener la entrada de strobe o
no. La tecnologa utilizada para su diseo es TTL, de alta integracin, y la potencia que
disipan suele ser de unos 150 mW. El tiempo de retardo tpico es de unos 25
nanosegundos y tienen un "fan - out" de 10. Normalmente, estos circuitos suelen darnos
dos tipos de salida: una afirmada y la otra negada.
En cuanto al segundo tipo de multiplexores, sealaremos que se diferencian de los
primeros en el nmero de entradas, que es el doble, y que no existe la posibilidad de
tener dos salidas, sino que slo podemos optar por la negada y, en consecuencia, a la
salida nicamente se tendrn los datos de la entrada complementados. La potencia de
disipacin para estos multiplexores viene a ser de aproximadamente unos 200 mW. El
tiempo de retardo y el "fan - out" son ms o menos iguales que en el caso del multiplexor
de 8 entradas.

UNIVERSIDAD TECNICA DE ORURO


FACULTAD NACIONAL DE INGENIERIA
CARRERA: INGENIERIA ELECTRICA ELECTRONICA
LABORATORIO DE ELECTRONICA DIGITAL 1 (ELT 2722)
_____________________________________________________________________________

Diagrama bsico de un multiplexor de 16 entradas y 2 seales de control

En la ilustracin correspondiente podemos ver un multiplexor de 16 entradas, donde, si


hacemos 0 el strobe, en la salida se obtiene el dato negado de la entrada seleccionada
mediante las cuatro entradas de control.
En el ltimo de los tipos, dentro del mismo encapsulado del circuito integrado, tenemos
dos multiplexores de cuatro entradas de datos: dos de control y una seal de strobe
cada uno.

UNIVERSIDAD TECNICA DE ORURO


FACULTAD NACIONAL DE INGENIERIA
CARRERA: INGENIERIA ELECTRICA ELECTRONICA
LABORATORIO DE ELECTRONICA DIGITAL 1 (ELT 2722)
_____________________________________________________________________________

Doble multiplexor de cuatro entradas donde las seales de control son comunes

Las entradas de control son comunes para ambos multiplexores, como podemos ver en el
circuito de la figura. Al igual que los anteriores, se suelen realizar con tecnologa TTL de
alta integracin, y tienen una disipacin media de unos 180 mW.
Con estos tres tipos de multiplexores trabajaremos habitualmente, incluso en el caso de
tener que emplear algn otro de orden superior, es decir, con mayor nmero de entradas.
Para ello, necesitaremos utilizar ms de un multiplexor de los descritos anteriormente.

UNIVERSIDAD TECNICA DE ORURO


FACULTAD NACIONAL DE INGENIERIA
CARRERA: INGENIERIA ELECTRICA ELECTRONICA
LABORATORIO DE ELECTRONICA DIGITAL 1 (ELT 2722)
_____________________________________________________________________________

Multiplexor de 32 entradas construido a partir de cuatro multiplexores de 8 entradas


y uno de 4 entradas

La forma de conectarlos entre s depende de la aplicacin concreta de que se trate, pero


siempre habr que disponer de ms de una etapa de multiplexores, lo cual acarrea un
tiempo de retardo. As, por ejemplo, para seleccionar un dato de entre las 32 entradas de
que disponemos, deberemos disear un sistema anlogo al representado en la figura
correspondiente.
El primer multiplexor de 8 entradas sita secuencialmente los datos de entrada I0 a I7 en
la lnea de salida de ste, a medida que el cdigo de las seales de control va variando.
Anlogamente, el segundo multiplexor, tambin de 8 entradas, transmitir los datos I8 a
I15 a su lnea de salida, dependiendo de las seales de control.

UNIVERSIDAD TECNICA DE ORURO


FACULTAD NACIONAL DE INGENIERIA
CARRERA: INGENIERIA ELECTRICA ELECTRONICA
LABORATORIO DE ELECTRONICA DIGITAL 1 (ELT 2722)
_____________________________________________________________________________

Diagrama de conexin de un circuito integrado que contiene un multiplexor de 8


entradas y seal de <<strobe>>
Estas entradas de control estn unidas entre s de manera que cuando, por ejemplo,
aparece en la lnea de salida del primer multiplexor I1, en la salida del segundo estar I9,
en la del tercero I17 y en la del ltimo I25. Si queremos sacar a la salida del conjunto de
multiplexores cualquiera de las lneas de salida anteriormente citadas, necesitaremos
utilizar un multiplexor de 4 entradas y, con sus seales de control, activaremos la entrada
que nosotros deseemos. As, por ejemplo, para tener en la salida final la lnea de entrada
I1, habra que poner en el ltimo multiplexor de 4 entradas la combinacin 00 en sus
seales de control.
Por ltimo, destacaremos que los multiplexores, adems de seleccionar datos, tienen
otras aplicaciones importantes, a saber:
- La conversin paralelo - serie. Como puede ser conducir la salida en paralelo de un
ordenador hacia un terminal remoto a travs de una lnea de transmisin serie.
- La generacin de funciones para lgica combinatoria.

UNIVERSIDAD TECNICA DE ORURO


FACULTAD NACIONAL DE INGENIERIA
CARRERA: INGENIERIA ELECTRICA ELECTRONICA
LABORATORIO DE ELECTRONICA DIGITAL 1 (ELT 2722)
_____________________________________________________________________________

DEMULTIPLEXOR es un circuito combinacional que tiene una entrada de informacin de


datos d y n entradas de control que sirven para seleccionar una de las 2n salidas, por la
que ha de salir el dato que presente en la entrada. Esto se consigue aplicando a las
entrada de control la combinacin binaria correspondiente a la salida que se desea
seleccionar. Por ejemplo, si queremos que la informacin que tenemos en la entrada d,
salga por la salida S4, en las entrada de control se ha de poner, de acuerdo con el peso
de las misma, el valor 100, que es el 4 en binario.
En el campo de las telecomunicaciones el demultiplexor es un dispositivo que puede
recibir a travs de un medio de transmisin compartido una seal compleja multiplexada y
separar las distintas seales integrantes de la misma encaminndolas a las salidas
correspondientes.
La seal compleja puede ser tanto analgica como digital y estar multiplexada en
cualquiera de las distintas formas posibles para cada una de ellas.

UNIVERSIDAD TECNICA DE ORURO


FACULTAD NACIONAL DE INGENIERIA
CARRERA: INGENIERIA ELECTRICA ELECTRONICA
LABORATORIO DE ELECTRONICA DIGITAL 1 (ELT 2722)
_____________________________________________________________________________

Diagrama lgico de un demultiplexor 1 a 4.


El demultiplexor, es un circuito combinacional que aunque la funcin bsica es la que
hemos explicado, puede utilizarse en muchos casos como decodificador y adopta
cualquiera de las funciones que un decodificador realiza.
Una aplicacin muy prctica de los demultiplexores utilizados como decodificadores, si lo
combinamos con una puerta NO-Y NAND, es la generacin de funciones lgicas, de
modo, que si nos dan la funcin lgica F=S3(2,4,5,7), las salidas correspondientes a los
unos lgicos se conectaran a la puerta NO-Y. En este caso la entrada de informacin se
puede utilizar como entrada inhibidora si mantenemos a cero lgico, y subindola a uno,
cuando queremos inhibir la generacin de la funcin.
Una de las funciones que realiza el decodificador hexadecimal como demultiplexor, es la
funcin de conectar, a sendos contadores, C0 a C15, que reciben los impulsos de una

UNIVERSIDAD TECNICA DE ORURO


FACULTAD NACIONAL DE INGENIERIA
CARRERA: INGENIERIA ELECTRICA ELECTRONICA
LABORATORIO DE ELECTRONICA DIGITAL 1 (ELT 2722)
_____________________________________________________________________________

entrada comn a todos. Cada uno posee una entrada de inhibicin que segn el estado
en que se encuentra (0,1), permite o no que se realice el contaje de los impulsos. Cada
entrada de inhibicin se conecta a una salida del demultiplexor.
Un demultiplexor, como cualquier otro circuito digital trabaja slo con nmeros. Pero estos
nmeros vendrn expresados en binario, por lo que los canales de datos de entrada y
salida, y la entrada de seleccin vendrn expresados en binario y tendrn un nmero
determinado de bits.

3.- Practica en Laboratorio


Grupo Jueves 10:30 12:00
a) Disear un multiplexor de 4 canales de 4 bits, utilizando multiplexores
de 4 entradas de 1 bit.
b) Disear un demultiplexor de 4 bits de seleccin.
c) Disee un circuito de control que contenga la combinacin binaria de
tres variables, en los cuales se tienen
radiactivos
ambiente 8

ubicados en

un deposito

componentes

de alta

tanques en los cuales se

qumicos

seguridad y en otro

almacenara el

bombeo

temporizado de los tanques pares a los impares y los componentes


impares a los pares, el bombeo se debe realizar siempre y cuando se
tenga la certeza de que el operador pueda activar por un lado un
tanque par y en el otro un tanque impar. Asi como el bombeo de un
tanque impar a un tanque par.

UNIVERSIDAD TECNICA DE ORURO


FACULTAD NACIONAL DE INGENIERIA
CARRERA: INGENIERIA ELECTRICA ELECTRONICA
LABORATORIO DE ELECTRONICA DIGITAL 1 (ELT 2722)
_____________________________________________________________________________

d) Disear un

sistema para controlar una central telefnica de 4 lineas

externas y 16 lineas internas. La operadora podr seleccionar desde una


consola una llamada entrante

y direccionar a dos internos al mismo

tiempo.

Grupo Jueves: 16:30 18:00


a) Disear un multiplexor de 16 entradas, utilizando multiplexores de 4.
b) Se tiene un ascensor para un edificio de 16 pisos, el usuario debe escoger el
piso en el cual se encuentra

y luego subir

disear un sistema de control visualizado

o bajar dentro del edificio,

mediante

displays cuando el

usuario use el ascensor. El ascensor funciona solo en pisos pares. En caso de


emergencia el usuario podr activar una parada de emergencia.
c) Disear un demultiplexor de 16 canales de salida de 4 bits.
d) Disee un circuito de control para ocho acuarios en los cuales se tienen 8
especies exticas de peces los cuales no se deben mezclar en ningn
momento, el sistema debe hacer pasar un pez por vez desde el acuario
hacia ocho tanques, sin que se mezclen los peces en el momento de su
transferencia.

You might also like