You are on page 1of 65

UNIVERSIDADE FEDERAL DO PARAN

MARCELO FRANCISCO DE OLIVEIRA

PROJETO E IMPLEMENTAO DE UM INVERSOR DE FREQUNCIA


BIDIRECIONAL PARA USO EM REDES INTELIGENTES

CURITIBA
2014

MARCELO FRANCISCO DE OLIVEIRA

PROJETO E IMPLEMENTAODE UM INVERSOR DE FREQUNCIA


BIDIRECIONAL PARA USO EM REDES INTELIGENTES

Trabalho de Concluso de Curso apresentado


disciplina Projeto de Graduao, como requisito
parcial concluso do curso de Engenharia
Eltrica, Setor de Tecnologia, Universidade
Federal do Paran

Orientador: Prof. Dr. Joo Amrico


Vilela Jnior

CURITIBA
2014

MARCELO FRANCISCO DE OLIVEIRA

Ttulo: PROJETO E IMPLEMENTAO DE UM INVERSOR


FREQUNCIA BIDIRECIONAL PARA USO EM REDES INTELIGENTES

DE

Trabalho de Concluso de Curso apresentado como exigncia parcial para


obteno do grau de Engenheiro Eletricista com nfase em Eletrotcnica,
Universidade Federal do Paran.

Data: 17 de setembro de 2014

Prof. Dr. Joo Amrico Vilela Junior


Orientador
Departamento de Engenharia Eltrica ,
UFPR

Prof. Dr. Eduardo Gonalvez de Lima


Departamento de Engenharia Eltrica ,
UFPR

Prof. Dr. Rogers Demonti


Departamento de Engenharia Eltrica ,
UFPR

Dedico este trabalho primeiramente a Deus


e tambm a meus pais Luiz e Helena, pois
foi unicamente com a ajuda deles que
consegui realizar este curso de Engenharia
Eltrica.

AGRADECIMENTOS
Deus, pois foi Ele quem deu esta oportunidade de estudar Engenharia
Eltrica e tambm foi Ele quem deu fora e sabedoria para chegar at aqui.
Ao meu orientador Prof. Dr. Joo Amrico por toda a ajuda prestada e pela
motivao de ajudar-me a realizar este trabalho.
Aos meus colegas das diversas matrias cursadas, por todos os momentos
de estudo e conversas.
Aos meus colegas de estgio da Lumicenter, por todo o conhecimento
passado a mim e tambm por todo o incentivo e apoio nos meus estudos.

vi
RESUMO
Este trabalho apresenta o projeto e implementao de um inversor de
frequncia controlado de forma digital, para aplicaes em redes inteligentes de
energia eltrica. Neste trabalho, sero descritas as etapas de elaborao para um
inversor de frequncia monofsico de potncia mxima de 600 W e com tenso de
operao em 127 V. Este inversor tem como intuito operar de forma ilhada
alimentando cargas eltricas, podendo tambm operar conectado rede injetando
potncia eltrica no sistema de forma controlada.
Para este inversor operar foi utilizado um banco de capacitores como
elemento acumulador de energia, o qual a recarga deste pode ser proveniente de
fontes alternativas de energia como painel fotovoltaico, clula combustvel, gerador
elico, entre outros.
A metodologia aplicada neste trabalho consiste primeiramente em um estudo
da topologia do inversor em ponte completa. Aps isso so definidas as
caractersticas de operao do inversor e tambm realizado tanto o clculo da
indutncia como o projeto fsico do indutor necessrio para o melhor desempenho
do inversor. So apresentadas logo em seguida todos os circuitos auxiliares de
sensores e tratamentos de sinais que foram necessrios para o inversor poder
operar corretamente com o controle digital do inversor projetado. Posteriormente
apresentado como foi realizada a implementao do prottipo e tambm a anlise
dos resultados obtidos.

Palavras-Chave: Inversor de frequncia. Redes inteligentes. Fontes alternativas de energia.

vii
ABSTRACT
This paper presents the design and implementation of a digitally controled
frequency inverter, for smart grids applications. In this paper, it will be described the
development stages of a single-phase frequency inverter with maximum power of
600 W and operating voltage of 127 V. This inverter has the intention to operate in
islanded form supplying electric charges and can also operate connected to the grid
by injecting power in the system in a controlled form.
For this inverter to work properly a capacitor bank was used as an energy
accumulator element, which can be recharged by alternative power supplies such as
photovoltaic panel, fuel cell, wind generator, among others.
The methodology applied in this paper consists primarily of a study of the full
bridge inverter topology. After it, the characteristics of the inverter operation are
defined and also the electrical and the physical design of the inductor needed for the
best drive performance. Are presented soon after all of them auxiliary circuits of
sensors and signals treatments that were necessary for the inverter to operate
correctly with the digital control inverter designed. Subsequently, it is presented how
the implementation of the prototype was performed and also the analysis of the
results.

Keyword: Frequency Inverter. Smart grids. Alternative power supply.

viii
SUMRIO
RESUMO.................................................................................................................... vi
ABSTRACT ............................................................................................................... vii
LISTA DE FIGURAS ................................................................................................... x
LISTA DE TABELAS .................................................................................................xiii
1 INTRODUO ........................................................................................................ 1
2 INVERSOR PONTE COMPLETA BIDIRECIONAL ................................................. 3
2.1 INTRODUO ..................................................................................................... 3
2.2 MODULAO A 3 NVEIS ................................................................................ .. 4
2.2.1 Operao da modulao a 3 nveis por etapas ................................................. 6
2.3 CARACTERSTICA ESTTICA ............................................................................ 9
3 PROJETO DO INVERSOR BIDIRECIONAL ......................................................... 11
3.1 CARACTERSTICAS DE OPERAO DO INVERSOR BIDIRECIONAL ........... 11
3.2 PROJETO DA INDUTNCIA .............................................................................. 11
3.3 PROJETO FSICO DO INDUTOR ...................................................................... 14
4 CIRCUITOS AUXILIARES E COMPONENTES ELETRNICOS .......................... 19
4.1 SENSOR DE CORRENTE LA 100-P ................................................................. 19
4.2 SENSOR DE TENSO CA................................................................................. 20
4.3 SENSOR DE TENSO CC ................................................................................ 21
4.4 BUFFER ............................................................................................................. 21
4.5 AMPLIFICADOR NO INVERSOR .................................................................... 22
4.6 FILTRO ANTI ALIASING .................................................................................... 23
4.7 CIRCUITO DE OFFSET ..................................................................................... 24
4.8 MOSFET IRFP840 ............................................................................................. 25
4.9 DRIVER IR2104 ................................................................................................. 26
4.10 MICROCONTROLADOR MSP430G2553 ........................................................ 26
5 CONTROLE DIGITAL DO INVERSOR CONECTADOS REDE ......................... 28

ix
5.1 MALHA DE CORRENTE .................................................................................... 29
5.2 MALHA DE TENSO ......................................................................................... 30
5.3 PROJETO DO COMPENSADOR DE CORRENTE DIGITAL ............................ 32
5.4 PROJETO DO COMPENSADOR DE TENSO DIGITAL ................................. 35
6 IMPLEMENTAO DO PROTTIPO E RESULTADOS EXPERIMENTAIS ........ 40
6.1 IMPLEMENTAO DO PROTTIPO DO INVERSOR ...................................... 40
6.2RESULTADOS EXPERIMENTAIS DO INVERSOR OPERANDO DESACOPLADO
DA REDE ELTRICA ............................................................................................... 41
7 CONCLUSO GERAL E PERSPECTIVAS .......................................................... 44
REFERNCIAS ......................................................................................................... 45
APNDICES ............................................................................................................. 47

x
LISTA DE FIGURAS
Figura 1.1
Diagrama em bloco do sistema com o inversor de frequncia
bidirecional(IFB). ......................................................................................................... 2
Figura 2.1 Figura 2.1. Arranjo em ponte completa utilizando os MOSFETs S1, S2,
S3 e S4. ...................................................................................................................... 3
Figura 2.2 Modulador a 3 niveis . ............................................................................... 4
Figura 2.3 Modulao a trs nveis. ........................................................................... 5
Figura 2.4 Estgio 1 e 3 de operao da modulao. ................................................ 6
Figura 2.5 Estgio 2 de operao da modulao ....................................................... 7
Figura 2.6 Estgio 4 de operao da modulao ....................................................... 8
Figura 2.7 Quadro contendo os 4 estgios do semi ciclo positivo. ............................. 8
Figura 2.8 Variao da razo ciclica em funo de meio perodo da rede eltrica,
para alguns valores de M .......................................................................................... 10
Figura 3.1 Variao da ondulao de corrente normalizada em funo do meio
perodo da rede para alguns valores de M ................................................................ 13
Figura 3.2 Ncleo e carretel tipo E ........................................................................... 15
Figura 4.1 Sensor de corrente AC modelo LA 100-P ............................................... 19
Figura 4.2 Sensor de tenso CA .............................................................................. 20
Figura 4.3 Sensor de tenso CC. ............................................................................. 21
Figura 4.4 Circuito Buffer ......................................................................................... 22
Figura 4.5 Amplificador No Inversor ....................................................................... 23
Figura 4.6 Filtro Anti-Aliasing ................................................................................... 24
Figura 4.7 Circuito de Offset..................................................................................... 25
Figura 4.8 Placa de desenvolvimento do MSP430 ................................................... 27
Figura 5.1 Diagrama de controle do inversor bidirecional. ....................................... 28
Figura 5.2 Estrutura de controle da malha de corrente ............................................ 29
Figura 5.3 Diagrama em bloco da malha de corrente .............................................. 30
Figura 5.4 Estrutura de controle da malha de tenso ............................................... 31

xi
Figura 5.5 Diagrama em bloco da malha de tenso ................................................. 32
Figura 5.6 Diagrama de bode da malha de corrente ................................................ 35
Figura 5.7 Ganho da FTMFcorrente ........................................................................... 37
Figura 5.8 Diagrama de bode da FTMF da tenso ................................................... 39
Figura 6.1 Face superior da placa de circuito impresso do inversor ........................ 40
Figura 6.2 Face inferior da placa de circuito impresso do inversor .......................... 41
Figura 6.3 Bancada utilizada para realizar os ensaios do inversor desacoplado da
rede eltrica............................................................................................................... 42
Figura 6.4 Inversor operando isolado da rede com tenso no lado CC de 25 V ...... 43
Figura 6.5 Inversor operando isolado da rede com tenso no lado CC de 50 V ...... 43

xii

LISTA DE TABELAS
Tabela 3.1 Parmetros e especificaes do projeto do indutor................................15
Tabela 4.1 Especificaes do sensor de corrente LA 100-P.....................................20
Tabela 4.2 Especificaes LM 324............................................................................22
Tabela 4.3 Especificaes do MOSFET IRFP840.................................................... 25
Tabela 4.4 Especificaes IR2104 ........................................................................... 26

1
1 INTRODUO
O avano da tecnologia tem sido um dos principais motivos da humanidade
estar se desenvolvendo de forma to rpida na atualidade. Esse avano traz consigo
a maior utilizao de equipamentos eletroeletrnicos em suas aplicaes mais
diversas, e consequentemente o aumento do consumo de energia eltrica. Com
esse crescente aumento existe a necessidade de se preocupar com novas fontes de
energia, as quais as melhores seriam as que fossem renovveis e que polussem
menos possvel ao meio ambiente. Outra anlise importante que com o aumento
dos equipamentos eletrnicos por parte da populao, houve tambm o aumento
das perdas durante a converso de tenso alternada para tenso contnua, a qual
utilizada nesses mesmos. O perodo considerado como pico de consumo de energia
eltrica aquele em que a maioria da populao est chegando em casa e fazendo
uso de seus equipamentos eletrnicos diversos alm de outras cargas de grande
potncia como chuveiros e torneiras eltricas. Isso faz com que ocorra tambm este
pico e consequentemente mais gastos com a conta de energia causado pela tarifa
horo-sazonal, em que cobrado um valor adicional quando o consumo est sendo
realizado durante este perodo. Uma soluo para este problema utilizar a gerao
de energia prpria para armazenar energia eltrica e fornecer durante este perodo
de pico de consumo, diminuindo assim este pico e esta tarifa sazonal.
A forma mais interessante de utilizar uma fonte de energia renovvel
convert-la em energia eltrica sem utilizar um banco de baterias para armazen-la
[1]. Considerando a energia proveniente de painis fotovoltaicos, para realizar a
converso de tenso contnua gerada por este painel solar em tenso alternada
utilizada na rede eltrica necessrio o uso de um inversor de frequncia. Por outro
lado, cada vez mais os equipamentos eletrnicos, como reatores eletrnicos,
motores de tenso contnua tipo brushless, drivers para iluminao a LED ( Led
Emissor Diode, Diodo Emissor de Luz ), televises LCD (Liquid Crystal Display,
Tela de Cristal Lquido ) e televises LED necessitam de fontes de tenso contnua
para operar. Se est energia renovvel transformada de corrente contnua (CC)
para corrente alternada (CA) para uma conexo com a rede eltrica e depois
convertida novamente para CC para ser uma fonte para as cargas eletrnicas, parte
dessa preciosa energia renovvel est sendo desperdiada durante essa etapa

2
desnecessria de converso. Com isso, para uma utilizao mais eficiente da
energia renovvel prefervel possuir um sistema com alimentao CC [2].
A implementao de um sistema com gerao a partir de painis fotovoltaicos
e utilizando uma alimentao CC para cargas eletrnicas s possvel com a
utilizao de um inversor de frequncia bidirecional [3,4], o qual pode transferir
potncia da rede CA para a CC ou vice versa sempre que for necessrio. A figura
1.1 mostra o diagrama de bloco desse sistema, com gerao partir de um painel
fotovoltaico e fazendo uso do inversor de frequncia bidirecional (IFB) e um
barramento de tenso contnua para a alimentao das cargas em CC. Neste
sistema existe a possibilidade do modo de operao como inversor de frequncia
injetando potncia na rede eltrica(Vs) quando a potncia gerada pelo painel
fotovoltaico maior do que as cargas CC necessitam, e tambm o modo de
operao como retificador com alto fator de potncia [5,6] quando a potncia gerada
pelo painel menor do que a demanda das cargas CC

Figura 1.1 Diagrama em bloco do sistema com o inversor de frequncia


bidirecional (IFB)
O objetivo principal deste trabalho projetar e implementar um inversor de
frequncia bidirecional de 600 W, para uso em um sistema com gerao atravs de
painis fotovoltaicos e alimentao de cargas tanto em CA como e CC como foi
ilustrado na figura 1.1. Este conversor ir operar como inversor de frequncia
injetando potncia ativa para a rede eltrica, e como retificador de alto fator de
potncia drenando potncia para a alimentao do barramento CC. O controle deste
inversor bidirecional ser feito de forma digital por um microcontrolador MSP430.

3
2 INVERSOR PONTE COMPLETA BIDIRECIONAL
2.1 INTRODUO
A funo de um inversor de frequncia converter uma tenso contnua de
entrada proveniente de um barramento CC, por exemplo, numa tenso alternada
simtrica de sada com mdulo e frequncia controlados. O mdulo e a frequncia
da tenso de sada do inversor so determinadas pela comutao das chaves
eletrnicas que formam o inversor, a qual acionada atravs do circuito de controle
[7].
O projeto deste inversor utiliza uma topologia em ponte completa com quatro
chaves eletrnicas do tipo MOSFETS(Metal-Oxide Semiconductor Field-Effetc,
Transistor de Efeito de Campo), a qual est sendo ilustrada na figura 2.1.

Figura 2.1. Arranjo em ponte completa utilizando os MOSFETs S1, S2, S3 e


S4 [2]
Fonte: Yaow-Ming Chen and Kuan-Yu Liu ; Shih-Kai Chiang ; Yung-Ruei Chang
(2009, p. 917).

4
2.2 MODULAO A 3 NVEIS
A modulao um processo de variao de um sinal modulador, aquele que
se deseja reproduzir, atravs da influncia de um sinal portador. A modulao
usualmente utilizada a modulao por largura de pulso, chamada sempre pela sua
sigla PWM (pulse-width modulation, Modulao por largura de pulso) [8]. A maneira
analgica de se implementar a modulao a 3 nveis est mostrado no circuito da
figura 2.2

Figura 2.2 Modulador a 3 niveis


Fonte: Mateus Maccarini (2009, p. 31).
Os sinais moduladores, modulante e a sada em PWM que aparece entre os
pontos A e B do circuito do inversor da figura 2.1 para a o modulao a 3 nveis
podem ser vistos na figura 2.3.

Figura 2.3 Modulao a trs nveis


Fonte: Mateus Maccarini (2009, p. 25).

A maneira escolhida neste projeto para realizar o controle da tenso de sada


atravs da modulao PWM a trs nveis, na qual a tenso entre os pontos A e B
apresenta trs diferentes nveis de tenso, que so +Vin, 0 e -Vin. A figura 2.3
apresentou um exemplo deste tipo de modulao, na qual a tenso entre os pontos
A e B apresentava o valor de 100V, 0 V e -100V. Existem outras possibilidades de
modulao como a modulao a 2 niveis, por exemplo, porm foi escolhido aplicar
este tipo de modulao com o objetivo de diminuir a indutncia de sada e
consequentemente o indutor, resultando em menor volume do componente e
tambm na reduo do custo deste.

2.2.1 Operao da modulao a 3 nveis por etapas


A operao da modulao a 3 nveis ser apresentada em 4 estgios,
mostrando somente o semi ciclo postivo da tenso de sada. [9]

6
Estgio 1: As chaves Q1 e Q4 esto conduzindo e os diodos D3 e D2 esto
bloqueados. A figura 2.4 est apresentando esta etapa, e suas caractersticas esto
descritas abaixo:

A Indutncia Lb armazena energia.

A corrente eltrica na indutncia cresce com a taxa de

A tenso sobre os diodos D2 e D3 Vi

A fonte Vi fornece energia para a indutncia Lb e para a rede eltrica Vout.

Figura 2.4 Estgio 1 e 3 de operao da modulao


Fonte: Mateus Maccarini (2009, p. 32).
Estgio 2: As chaves Q1 e Q3 esto fechadas, porm somente a chave Q 1 e o diodo
D3 esto conduzindo. Os diodos D2 e D4 esto bloqueados. A figura 2.5 apresenta
este estgio e as caractersticas desse esto descritas abaixo:

A indutncia Lb fornece energia para a rede eltrica

A corrente na indutncia decresce conforme a expresso

A tenso sobre os diodos D2 e D4 Vi.

Figura 2.5 Estgio 2 de operao da modulao


Fonte: Mateus Maccarini (2009, p. 33).
Estgio 3: Idem ao estgio 1.
Estgio 4: As chaves Q2 e Q4 esto fechadas, porm somente a chave Q4 e o diodo
D2 esto conduzindo. Os diodos D1 e D3 esto bloqueados. A figura 2.6 apresenta
este estgio e as caractersticas desse esto descritas abaixo:

A indutncia Lb fornece energia para a rede eltrica

A corrente na indutncia decresce conforme a expresso:

A tenso sobre os diodos D1 e D3 Vin

Figura 2.6 Estgio 4 de operao da modulao


Fonte: Mateus Maccarini (2009, p. 33).

A figura 2.7 representa os 4 estgios comentados acima para o semi ciclo


positivo da tenso da tenso da rede eltrica.

Figura 2.7 Quadro contendo os 4 estgios do semi ciclo positivo [9]


Fonte: Mateus Maccarini (2009, p. 34).

2.3 CARACTERSTICA ESTTICA


A expresso para a tenso mdia entre os pontos A e B da figura 2.1 est
mostrada abaixo:

(2.1)

Levando em conta somente a componente fundamental da tenso entre os pontos A


e B, obtm-se a equao 2.2 onde est relacionado a variao da razo cclica D em
funo do ngulo (para 0 180 ), onde Vop a tenso de pico da rede
eltrica.

(2.2)
Definindo M como sendo:

possvel obter a equao 2.3


(2.3)
A figura 2.8 apresenta a variao da razo cclica em meio perodo da tenso da
rede eltrica, para alguns valores da constante M.

10

Figura 2.8 Variao da razo ciclica em funo de meio perodo da rede


eltrica, para alguns valores de M [9]
Fonte: Mateus Maccarini (2009, p. 35).

11
3 PROJETO DO INVERSOR BIDIRECIONAL
3.1 CARACTERSTICAS DE OPERAAO DO INVERSOR
As caractersticas de operao do inversor de frequncia bidirecional
pretendidas neste projeto esto descritas abaixo:

Inversor monofsico bidirecional: 250VCC/127VCA

Potncia mxima: 600 W

Frequncia nominal: 60 Hz

Frequncia de comutao dos MOSFETs: 15,41kHz

Esse valor de frequncia de comutao dos MOSFETs foi determinado


segundo o mximo valor alcanado atravs do microcontrolador utilizado, pois
quanto maior a frequncia de operao do circuito menor o indutor necessrio para
o projeto.
3.2 PROJETO DA INDUTNCIA
Novamente analisando o circuito da figura 2.1 e considerando a tcnica de
modulao a 3 nveis, ser encontrada um equao para o clculo da ondulao de
corrente no indutor de sada do inversor. Aps esse clculo ser possvel calcular a
indutncia necessria, considerando a mxima variao permitida para a
componente de alta frequncia da corrente. A tenso da rede varia de acordo com a
expresso 3.1.
(3.1)
Para o 1 e 3 Estgios na operao da modulao pode-se obter a equao 3.2 que
representa a tenso sobre o indutor.

(3.2)
O tempo de conduo da chave pode ser definido como a multiplicao entre a
razo cclica e o perodo de comutao, como est apresentado em (3.3).

12

(3.3)
Substituindo-se as equaes 3.1 e 3.3 em 3.2, encontra-se a equao 3.4.

(3.4)
Aplicando (3.2) em (3.4) encontrada a expresso 3.5.

(3.5)
O termo da direita da equao 3.5 pode ser definido como ondulao de corrente
normalizada, obtendo assim as novas equaes 3.6 e 3.7

(3.6)

(3.7)
A figura 3.1 apresenta o grfico da variao da ondulao parametrizada da corrente
para alguns valores de M.

13

Figura 3.1 Variao da ondulao de corrente normalizada em funo do


meio perodo da rede para alguns valores de M [9]
Fonte: Mateus Maccarini (2009, p. 36).

Para determinar o valor da indutncia de sada do inversor, necessrio


substituir o valor da mxima variao de corrente normalizada, a tenso mdia de
entrada (Vi), a frequncia de chaveamento (fs) e a ondulao de corrente desejada
na expresso 2.11.

(3.8)
Antes de calcular a indutncia, necessrio encontrar o valor da corrente
eficaz que ir circular pelo indutor. Para isso, utilizando os valores de projeto de
potncia e tenso apresentados na seo 3.1, utilizado a expresso 3.9

(3.9)

14
A corrente de pico que ir circular pelo indutor estimada utilizando a
expresso abaixo:

(3.10)

Com o valor da corrente de pico da rede, possvel calcular a ondulao


mdia de corrente para um ripple de 7,7% atravs da expresso 3.11.

(3.11)

Considerando o valor mximo da ondulao de corrente parametrizada de


0,25 extrado da figura 3.1, a ondulao mdia de corrente calculada atravs da
expresso 3.11, a tenso de entrada Vi de 250V e a frequncia de comutao dos
MOSFETs de 15,41kHz, este ltimo valor determinado segundo o mximo valor
alcanado atravs do microcontrolador utilizado, pois quanto maior a frequncia de
operao do circuito menor o indutor necessrio para o projeto. E aplicando esses
valores na expresso 3.8, o valor da indutncia necessria est mostrado abaixo:

3.3 PROJETO FSICO DO INDUTOR LB


Utilizando o valor da indutncia LB calculada na seo anterior, nesta etapa
realizado o projeto do indutor. A metodologia aplicada para a realizao deste
projeto est apresentada em [10] e [11]. O ncleo escolhido o tipo E-E, o qual est
ilustrado na figura 3.2

15

Figura 3.2 Ncleo e carretel tipo E [11]


A tabela 3.1 apresenta os parmetros e as especificaes utilizados para o
projeto do indutor.
Tabela 3.1 Parmetros e especificaes do projeto do indutor
Especificaes
Indutncia LB
Corrente de pico (Ipico rede)
Corrente Eficaz (Irede eficaz)
Induo Magntica Mxima (Bmax)
Densidade mxima de corrente do cobre ( Jmax )
Permeabilidade magntica do ar (0 )
Frequncia de Comutao dos Interruptores (fs)
Fator de ocupao na rea da Janela (Kw)

Valor
3,95 mH
7,07 A
5A
0,25 T
450 A/cm
1,257 H/m
15,41k Hz
0,7

FONTE: Datasheet Thornton Eletrnica [12]


O primeiro passo para a realizao do projeto a escolha do ncleo que ser
utilizado, para isto deve-se achar o produto das reas AexAw, como est
apresentado em [10], e encontrar um ncleo que possua uma rea maior ou no
mnimo igual a calculada. A expresso para o clculo das reas est apresentada
abaixo:

16

(3.12)

O modelo do ncleo de ferrite que possui uma rea AexAw maior e mais
prximo do que a calculada em (3.12) o NEE-65/33/26 da Thornton, o qual foi
escolhido para o projeto.
O segundo passo para este projeto o clculo da bitola necessria do
condutor utilizado, o qual pode ser realizado atravs da expresso abaixo a qual
leva em conta a mxima densidade de corrente do cobre.

(3.13)

O valor escolhido para o condutor deve possuir uma rea de seo


transversal mnima de 1,11mm, utilizando uma tabela de fios de cobre com isolao
de esmalte escolhido o condutor 16 AWG o qual possui uma rea total de cobre de
1,3mm. Um ponto importante na escolha do fio quando o indutor opera em altas
frequncias, como acontece neste trabalho, pois neste caso deve-se considerar o
efeito pelicular (Skin effect ) que causa um aumento na densidade de corrente na
superfcie do condutor conforme o aumento da frequncia de operao [11].
Segundo BARBI(2002), para minimizar este efeito pelicular o condutor no deve
possuir um dimetro superior a 2 vezes o delta, onde este delta encontrado
atravs da equao 3.14.

(3.14)

17

A rea de seo transversal para este valor de dimetro de 0,1208cm


0,01147cm ou 1,147mm. Para saber se necessrio utilizar condutores menores
em paralelo, realizado o clculo abaixo:

(3.15)

Como o valor da rea calculada para o efeito pelicular ficou muito prximo do
condutor necessrio para a conduo de corrente, no necessrio utilizar mais do
que um condutor, como est mostrado na expresso 3.8. Tambm levando em
considerao que a rea necessria de cobre 1,1mm e no 1,3mm como foi
utilizado na expresso acima, possvel optar por pelo fio 16 AWG mesmo, o qual
capaz de conduzir a corrente projetada para o indutor.
O terceiro passo para a realizao do projeto o clculo do nmero de
espiras necessrio para obter o valor da indutncia desejada, o qual realizado
atravs da equao 3.16. O Ae utilizado no valor de 532mm, o qual foi encontrado
no datasheet do fabricante que est em [12], este contm todas as informaes do
ferrite.

(3.16)

O quarto e ltimo passo o clculo do tamanho do entreferro utilizado, esta


etapa muito importante para garantir que o indutor no ir saturar, pois quanto
maior o entreferro maior o ponto de saturao do indutor. A expresso para o clculo
do entreferro est apresentada logo abaixo:

18

(3.17)

19
4 CIRCUITOS AUXILIARES E COMPONENTES ELETRNICOS
Neste captulo sero abordados os circuitos de aquisio e tratamento de
sinais, os drivers para acionamento dos MOSFETs e o microcontrolador utilizado
para realizar o processamento e o controle digital do inversor. Nos circuitos de
aquisio de sinais sero apresentados os trs sensores utilizados, os quais so:
Sensor de corrente CA, sensor de tenso CA e sensor de tenso CC. E tambm
todos os circuitos necessrios para a adequao destes sinais para a entrada
analgica digital (D/A) do MSP430. A implementao do prottipo do inversor de
frequncia bidirecional s se torna possvel com o uso de tais circuitos e
componentes, por isso estes itens sero detalhados nas prximas sees.

4.1 SENSOR DE CORRENTE LA 100-P


O sensor de corrente CA tem como objetivo coletar amostras da corrente que
est circulando do inversor para a rede para uso no sistema de controle do inversor.
Foi escolhido para este fim o sensor LA 100-P, pois apresenta alta preciso e
confiabilidade nos seus resultados devido ao mesmo possuir compensaes e
realimentaes internas. Outra vantagem no uso deste sensor que ele possui uma
sada em fonte de corrente, minimizando assim os rudos causados por tenso. A
figura 4.1 apresenta a forma fsica deste sensor.

Figura 4.1 Sensor de corrente AC modelo LA 100-P


Fonte: Datasheet LA 100-P [13].

20
As caractersticas de operao do sensor esto descritas na tabela 4.1.
Tabela 4.1 Especificaes do sensor de corrente LA 100-P
Especificaes
Mxima leitura de corrente primria
Tenso de alimentao

Valor
100 A
+ ou - 12 V

Resistncia de medio (Rm) mxima


Sensibilidade
Isolao

50
25 mV / A
2,5 kV

FONTE: Datasheet LA 100-P [13].

4.2 SENSOR DE TENSO CA


O sensor de tenso CA tem como objetivo coletar um sinal de referncia
senoidal para o controle do inversor. Este sensor foi feito utilizando um
transformador abaixador de tenso de 127V / 12V rms seguido de um divisor resistivo.
A figura 4.2 apresenta este circuito.

Figura 4.2 Sensor de tenso CA.

21
4.3 SENSOR DE TENSO CC
O sensor de tenso CC tem como objetivo, assim como nos outros dois
sensores apresentados, coletar amostras do barramento CC para uso no sistema de
controle do inversor. Este sensor foi feito atravs de um divisor resistivo, o qual est
apresentado na figura 4.3.

Figura 4.3 Sensor de tenso CC

4.4 Buffer
O circuito Buffer tem como finalidade garantir que os sinais fornecidos dos
sensores de corrente CA, tenso CA e tenso CC no sejam influenciados pela
impedncia de entrada dos demais circuitos de processamento dos sinais. O Buffer
constitudo de um amplificador operacional LM 324 da Texas instruments,
utilizando a configurao como seguidor unitrio sem alterar a polaridade nem a fase
do sinal de entrada. A figura 4.4 apresenta este circuito.

22

Figura 4.4 Circuito Buffer


A tabela 4.2 apresenta as especificaes do LM 324 utilizado em todos os
circuitos de processamento dos sinais.
Tabela 4.2 Especificaes LM 324
Especificaes
Alimentao
Corrente de sada
Largura de Banda
Nmero de Amp Op

Valor
+ ou - 12
V
50 mA
1 MHz
4

FONTE: Datasheet LM 324 [14]


4.5 AMPLIFICADOR NO INVERSOR
O estgio de amplificao deste circuito utilizado somente no sinal
proveniente do sensor de corrente, devido a este ser de baixa magnitude. Este
circuito tambm utiliza um amplificador operacional LM 234, e tambm 2 resistores
conforme est mostrado na figura 4.5.

23

Figura 4.5 Amplificador No Inversor.

4.6 FILTRO ANTI-ALIASING


O filtro utilizado somente nos sinais provenientes dos sensores de corrente
CA e tenso CA. Este filtro do tipo passa baixas, o qual utiliza um amplificador
operacional LM 324, como nos circuitos anteriores. Existe a necessidade de utilizar
este filtro, pois de acordo com o teorema de amostragem de Nyquist a taxa de
amostragem deve ser pelo menos o dobro da componente mxima de frequncia do
sinal de interesse, e como no possvel garantir que o sinal de entrada vai estar
nesse limite mximo a soluo o uso do mesmo. A figura 4.6 apresenta o circuito
do filtro Anti-Aliansing.

24

Figura 4.6 Filtro Anti-Aliasing


A frequncia de corte do filtro (fc) deve ser menor do que a metade da
frequncia de amostragem, segundo o teorema de Nyquist. A frequncia de
amostragem utilizado no MSP430 3kHz, portanto para atender o critrio a
frequncia de corte escolhida foi 1,2kHz. Fixando o valor do capacitor em 10nF, o
resistor pode ser calculado atravs da equao 4.1

(4.1)

O valor comercial mais prximo dessa resistncia calculada de 13 k, o qual


foi escolhido para este filtro.
4.7 CIRCUITO DE OFFSET
O circuito de offset o ltimo estgio do processamento dos sinais
provenientes dos sensores de corrente CA e tenso CA, este tem por objetivo
fornecer um nvel de tenso CC para o sinal fazendo com que o mesmo no possua
valores negativos na faixa de operao projetada. Existe a necessidade do uso
deste circuito pois o microcontrolador utilizado opera somente com tenses positivas
em seus conversores analgicos digitais. Para a realizao deste circuito utilizado

25
um LM 324, uma fonte CC auxiliar e 4 resistores. A figura 4.7 apresenta o circuito de
offset.

Figura 4.7 Circuito de Offset.

4.8 MOSFET IRFP840


O MOSFET utilizado neste projeto o IRFP840, o qual possui caractersticas
de tenso e corrente maiores do que a necessria para esta aplicao, trabalhando
assim com uma boa margem de segurana. Essas caractersticas esto
apresentadas na tabela 4.3.
Tabela 4.3 Especificaes do MOSFET IRFP840
Especificaes
Tenso Dreno-Source
Tenso Gate-Source
Corrente mxima
RDS
FONTE: Datasheet IRFP840 [15]

Valor
500 V
+ ou - 20 V
20 A
0,27

26
4.9 DRIVER IR2104
A funo do driver fornecer os pulsos para comandar a abertura e o
fechamento dos MOSFETs da ponte completa em H do inversor. A tenso entre
gate-source deve ser isolada, devido ao motivo de algumas chaves eletrnicas no
possurem o ponto de source em comum.
O driver utilizado foi o IR2104, da International Rectifier. As suas
caractersticas eltricas esto apresentadas na tabela 4.4.
Tabela 4.4 Especificaes IR2104.
Especificaes
V offset
Corrente de Entrada
Gate drive supply
Vcc mxima
Tempo on/off
Deadtime

Valor
600 V
130m/270m A
10-20 V
25 V
680 / 150n s
520m s

FONTE: Datasheet IR2104 [16]

4.10 MICROCONTROLADOR MSP430G2553


O desenvolvimento da programao do controle digital para o inversor foi
realizado utilizando o ambiente de desenvolvimento do software IAR Embedded
Workbench IDE atravs da LauchPad do microcontrolador MSP430G2553. O IAR
Embedded Workbench IDE um ambiente integrado de desenvolvimento que
permite o desenvolvimento e o gerenciamento de projetos de Sistemas Embarcados.
A figura 4.8 apresenta a placa de desenvolvimento do MSP430 utilizada.

27

Figura 4.8 Placa de desenvolvimento do MSP430


FONTE: Datasheet MSP430 [17]
As principais caractersticas do MSP430 so:

Processamento de at 16MHz

Memria Flash de 16kb

Memria RAM de 512kb

8 Pinos de ADC com 10 bits de resoluo

2 Timers de 16 bits de resoluo

PWM de 10 bits de resoluo

28
5 CONTROLE DIGITAL DO INVERSOR CONECTADO REDE
A estratgia de controle utilizada a de controle da corrente da rede. Para
realizar este controle so necessrias trs informaes: A corrente eltrica CA, a
tenso CA da rede eltrica que est conectado o inversor e a tenso do barramento
CC. Essas trs informaes so encontradas utilizando os trs sensores
apresentados em 4.1, 4.2 e 4.3 respectivamente. A figura 5.1 apresenta o diagrama
de controle para o inversor de frequncia bidirecional:

Figura 5.1 Diagrama de controle do inversor bidirecional


Como est mostrado na figura 5.1 existem dois compensadores, um de
tenso e outro de corrente. Existem tambm duas malhas, sendo a primeira de
tenso que possui uma resposta mais lenta, pois ela responsvel por analisar a
tenso de entrada no barramento CC e controlar o fluxo de potncia fornecido ou
absorvido pelo inversor, e a segunda de corrente a qual possui uma resposta rpida,
devido ela impor a corrente senoidal na sada do Inversor de frequncia. Essas duas
malhas esto detalhadas nas prximas duas sees.

29
5.1 MALHA DE CORRENTE
A transferncia ou absoro da potncia ativa da rede eltrica depende
unicamente da defasagem do sinal de corrente em relao tenso eltrica da rede,
ou seja, para injetar potncia ativa na rede necessrio manter a defasagem da
corrente eltrica igual da tenso e para absorver potncia eltrica necessrio
fazer com que a defasagem da corrente em relao da tenso seja de 90. Por
isso, a malha de corrente deve ser rpida o bastante para impor tal corrente senoidal
com a defasagem desejada e tambm fornecer baixas distores harmnicas. A
figura 5.2 mostra a estrutura de controle da malha de corrente em blocos.

Figura 5.2 Estrutura de controle da malha de corrente


Estes blocos esto representados no domnio S, os quais representam:

Kpwm Ganho do modulador PWM;

GCorrente Funo de transferncia do Inversor de frequncia;

Ksen. Corr.

Kamplif. Ganho do amplificador no inversor do sinal de corrente;

KOffset Ganho do circuito de Offset;

Gfiltro Ganho do filtro anti aliasing;

KA/D Ganho do conversor A/D;

Iref Referncia de corrente.

Ganho do sensor de corrente;

O diagrama em blocos da malha de corrente est apresentado na figura 5.3.

30

Figura 5.3 Diagrama em bloco da malha de corrente


Atravs dessa malha, analisado o valor da corrente da rede ( Irede ) e
comparado o seu valor com uma corrente de referncia (Iref) senoidal e em fase
com a tenso da rede. Essa comparao resulta em um sinal de erro, o qual
tratado pelo compensador de corrente que gera um sinal de controle para controlar a
modulao PWM trs nveis.

5.2 MALHA DE TENSO


A malha de tenso tem como objetivo manter constante a tenso do
barramento CC, a qual deve ser no mnimo 30% superior tenso de pico da rede
eltrica, e tambm fazer com que a ondulao no ultrapasse o especificado no
projeto.
A malha de tenso atua diretamente na corrente de referncia da corrente de
sada do inversor, controlando assim a corrente que injetada ou absorvida da rede
eltrica. Esta malha deve possuir uma resposta bem lenta comparada a malha de

31
corrente, para ela no interferir no controle de corrente de sada causando
distores na corrente injetada ou absorvida da rede eltrica. A estrutura de controle
da malha de tenso em blocos est apresentada na figura 5.4.

Figura 5.4 Estrutura de controle da malha de tenso


Estes blocos esto representados no domnio S, os quais representam:

GTenso Funo de transferncia da planta de tenso;

Kdiv.tenso Ganho do divisor de tenso;

KA/D Ganho do conversor A/D;

Vref Referncia de tenso para o barramento CC.

O diagrama em blocos da malha de tenso est mostrado na figura5.5.

32

Figura 5.5 Diagrama em bloco da malha de tenso

5.3 PROJETO DO COMPENSADOR DE CORRENTE DIGITAL


A primeira etapa para o projeto de controle do compensador de corrente
encontrar um modelo que represente de forma satisfatria o inversor de frequncia.
Conforme apresentado por Souza (2000), a funo de transferncia do inversor
dada por:

(5.1)
Onde Vcc a tenso no barramento CC. Sendo assim, a funo de
transferncia do inversor fica:

33
Analisando a malha de corrente apresentada na seo 5.1, existe a
necessidade de encontrar tambm o modelo que representa a o filtro anti-aliasing.
Este, segundo Bonfim (2009), pode ser representado pela funo de transferncia
abaixo:

(5.2)
Considerando a frequncia de corte do filtro como sendo 1,5kHz, ou seja, a
metade da frequncia de amostragem a expresso fica sendo:

O segundo passo neste projeto realizar o produto entre as funes de


transferncia do inversor e do filtro anti-aliasing, a qual feita utilizando o script do
Matlab apresentado no Anexo A. Neste mesmo script as funes de transferncia
so transformadas para o domnio z, e o resultado deste produto est mostrado na
equao abaixo:

(5.3)
Atravs da ferramenta Sisotool do software Matlab, possvel obter o
diagrama de bode da equao 5.3. Utilizando a interface desta mesma ferramenta
possvel acrescentar o valor do ganho H(z), o qual representado por todos os
ganhos da funo de transferncia de malha fechada da corrente do inversor, e
pode ser obtido atravs da equao abaixo:
(5.4)
Onde os ganhos do sensor, amplificador, offset, conversor A/D e do PWM
podem ser encontrados nas expresses abaixo:

34

(5.5)

(5.6)

(5.7)

(5.8)

(5.9)
Assim, utilizando os valores dos ganhos possvel calcular o valor de H( z)
atravs da equao 5.4.

Sendo assim, para este ganho H(z) calculado e para o valor encontrado na
equao 5.3 possvel plotar o diagrama de bode deste e alocar o plo e o zero do
compensador. Ainda utilizando a ferramenta Sisotool do Matlab, realiza-se a ltima
etapa deste projeto a qual ajustar o valor do ganho do compensador de corrente
para obter a margem de fase e a frequncia de cruzamento desejados. A margem de
fase escolhida a de 60e a frequncia de cruzamento de 1kHz. A figura 5.6 mostra
o diagrama de bode para a malha de corrente.

35

Figura 5.6 Diagrama de bode da malha de corrente


O compensador de corrente encontrado atravs do auxlio deste grfico de
bode est mostrado logo abaixo:

(5.10)

5.4 PROJETO DO COMPENSADOR DE TENSO DIGITAL


A funo de transferncia de malha aberta (FTMA) de tenso composta por
todos os ganhos associados na funo de transferncia de malha fechada da
corrente (FTMFcorrente), compensador de tenso, o modelo da planta e os demais
ganhos considerados em cascata. A expresso abaixo mostra o produto de todos
esses blocos da FTMA de tenso.
(5.11)
Para realizar o projeto do compensador de tenso, ser utilizada a mesma
metodologia aplicada para o compensador de corrente. Ento, a primeira etapa do
projeto consiste em encontrar um modelo que represente o inversor sendo esta a

36
funo de transferncia da tenso do mesmo, o qual segundo Souza (2000) est
mostrado abaixo:

(5.12)
Sendo Mi o ndice de modulao, o qual pode ser calculado como sendo a
tenso da rede sobre a tenso no barramento CC, como est mostrado abaixo na
equao 5.13

(5.13)
Logo, a funo de transferncia para a tenso do inversor de frequncia
mostrado abaixo:

(5.14)
Existe a necessidade de acrescentar tambm a funo de transferncia do
filtro anti-aliasing, como foi feito na seo anterior, o qual est apresentado na
equao abaixo:

(5.15)
O segundo passo neste projeto realizar o produto entre as funes de
transferncia do inversor e do filtro anti-aliasing, a qual feita utilizando o script do
Matlab apresentado no Anexo B. Neste mesmo script as funes de transferncia
so transformadas para o domnio z, e o resultado deste produto est mostrado na
equao abaixo:

(5.16)

37
Para a malha de tenso ser escolhida um frequncia de corte de 2Hz, pois
essa deve ser lenta comparada a malha de corrente. Sendo assim, como a malha de
corrente est algumas dcadas acima da malha de tenso, esta pode ser tratada
como sendo um ganho. Esta ganho est divido em duas partes, sendo a primeira a
corrente de referncia senoidal de pico da corrente e a segunda o ganho da
FTMFcorrente chamado de KFTMFcorrente apresentados na figura abaixo:

Figura 5.7 Ganho da FTMFcorrente


A corrente de pico calculada utilizando a expresso 5.17

(5.17)

considerando o ponto de operao nominal para a corrente do inversor como est


calculado na equao abaixo:

(5.18)
Considerando um valor de operao de da resoluo do A/D( Voper = 768)
e os demais ganhos j utilizados nos clculos anteriores, possvel calcular o valor
de pico da corrente de referncia senoidal atravs da equao 5.17.

Agora calculado o ganho KFTMFcorrente analisando a funo de transferncia


de malha aberta para a corrente atravs da equao 5.18

38

(5.19)
Para em seguida ser encontrado o valor da funo de transferncia de malha
fechada da corrente pela expresso 5.20.

(5.20)
Outro ganho necessrio de ser calculado o do sensor de tenso CC, o qual
responsvel por fornecer amostras da tenso sobre o barramento CC do inversor.
Este pode ser calculado atravs da equao abaixo:

(5.21)
Desta forma possvel encontrar o valor do ganho Hv com a equao 5.22.

(5.22)
Sendo assim, para este ganho Hv(z) calculado e para o valor encontrado na
equao 5.16 possvel plotar o diagrama de bode deste e alocar o plo e o zero do
compensador. Ainda utilizando a ferramenta Sisotool do Matlab, realiza-se a ltima
etapa deste projeto a qual ajustar o valor do ganho do compensador de corrente
para obter a margem de fase e a frequncia de cruzamento desejados. A margem de
fase escolhida a de 75e a frequncia de cruzamento de 2Hz. A figura 5.7 mostra o
diagrama de bode para a FTMF da tenso.

39

Figura 5.9 Diagrama de bode da FTMF da tenso


O compensador de corrente encontrado atravs do auxilio deste grfico de
bode est mostrado logo abaixo:

(5.23)

40
6 IMPLEMENTAO DO PROTTIPO E RESULTADOS EXPERIMENTAIS
6.1 IMPLEMENTAO DO PROTTIPO DO INVERSOR
A primeira etapa para a implementao do prottipo foi o desenvolvimento da
placa de circuito impresso do inversor. Para desenhar o layout da placa foi utilizado
o software Kicad. O diagrama esquemtico para o layout projetado pode ser visto no
Apndice C, e a duas faces da placa de circuito impresso j confeccionada e com
todos os seus componentes j inseridos esto mostradas nas figuras 6.1 e 6.2.

Figura 6.1 Face superior da placa de circuito impresso do inversor.

41

Figura 6.2 Face inferior da placa de circuito impresso do inversor.

6.2

RESULTADOS

EXPERIMENTAIS

DO

INVERSOR

OPERANDO

DESACOPLADO DA REDE ELTRICA


Os ensaios do prottipo do inversor de frequncia projetado neste trabalho
foram realizados no laboratrio do departamento de engenharia eltrica da UFPR. A
estrutura utilizada para a coleta dos resultados do inversor em operao pode ser
visto na figura 6.3.

42

Figura 6.3 Bancada utilizada para realizar os ensaios do inversor desacoplado da


rede eltrica.

Utilizando a configurao da figura 6.3, na qual contm 4 resistores de 56


em paralelo como carga de sada para o inversor, foram aplicadas duas tenses na
alimentao do banco de baterias, sendo elas 25V e 50V e analisadas a tenso e a
corrente sobre os resistores de cargas como est mostrado nas figuras 6.4 e 6.5
respectivamente. A implementao digital da malha de corrente projetada na seo
5 deste trabalho foi feita atravs do software IAR Embedded Workbench e utilizada
no MSP430 para realizar o controle do inversor. O script dessa malha est mostrado
no Apndice D

43

Figura 6.4 Inversor operando isolado da rede com tenso no lado CC de 25 V

Figura 6.5 Inversor operando isolado da rede com tenso no lado CC de 50 V

44
7 CONCLUSO GERAL E PERSPECTIVAS
O inversor projetado operou com a topologia em ponte completa H, a qual
mostrou ser muito eficiente. Essa topologia utiliza 2 braos de MOSFETs
controlados atravs de dois drivers que eram acionados atravs da modulao PWM
3 nveis gerada pelo microcontrolador MSP430.
Os circuitos de aquisio e adequao de sinais operaram corretamente
como foi projetado. As malhas de tenso e corrente projetadas foram simuladas via
software PSIM e obteve-se bons resultados.
Devido grande demanda de trabalho exigida para realizar esse projeto no
foi possvel chegar a etapa final de operao, trabalhando apenas com tenses e
correntes reduzidas. Outro fator determinante que impossibilitou chegar a operao
completa do inversor foi o microcontrolador utilizado, o qual no possua alta
frequncia de operao e durante a rotina de execuo a taxa de amostragem ficava
prxima de 1,5 kHz fazendo com que o controle do inversor se tornasse instvel.
Foram alcanados resultados com o inversor operando de forma ilhada, ou
seja, no conectado rede eltrica da concessionria, tendo em sua sada cargas
resistivas. Os prximos objetivos para este projeto seria utilizar um microcontrolador
de maior frequncia de operao para possibilitar o funcionamento do inversor
conectado rede eltrica controlando a potncia absorvida ou injetada por ele de
forma inteligente. Estes estudos futuros podem ser feitos em um projeto de
mestrado.

45
REFERNCIAS

[1] S. Kjaer, J. Pedersen, and F. Blaabjerg, A Review of Single-Phase GridConnected Inverters for Photovoltaic Modules, IEEE Trans. On Industry
Applications, Vol. 41, No. 5, 2005, pp.1292-1306.
[2] Control Yaow-Ming Chen and Kuan-Yu Liu ; Shih-Kai Chiang ; Yung-Ruei
Chang. Bi-Directional Grid-Tied Inverter with Predictive Current IEEE IAS, 2009,
pp.916-919
[3] Kunrong Wang, F.C. Lee, and Dong Wai, A New Soft-Switched Quasi-SingleStage (QSS) Bi-Directional Inverter/Charger, IEEE IAS,1999, pp.2031-2038.
[4] T. Feng, K. Siri, and I. Batarseh, A New Single-Staged Bi-Directional High
Frequency Link Inverter Design, IEEE IAS, 2006, pp.1663 1666.
[5] W. Huai, I. Batarseh, Z. Guangyong, and P. Kornetzky, A Single-Switch AC-C
Converter With Power Factor Correction, IEEE Transactions on Power
Electronics, Vol. 15, No. 3, 2000, pp.421430.
[6] S. Hui, H. Chung, S. Yip, A Bidirectional AC-DC Power Converter With Power
Factor Correction, IEEE Transactions on Power Electronics, Vol. 15, No. 5, 2000,
pp. 942-948.
[7] Vaz, E. G. V. Projeto de inversor de frequncia para injeo de potncia ativa
na rede domiciliar de baixa tenso em 127V, Curitiba, PR, 2013
[8] Melo, J. L. G. G Projeto e implementao de um filtro ativo paralelo
monofsico para correo de distoro harmnica de corrente, Curitiba, PR,
2013
[9] Maccarani, M. C. Inversor monofsico sincronizado para a conexo de um
gerador elico rede eltrica: Estudo, Projeto e implementao, Florianpolis,
SC, 2009
[10] BARBI I., Projetos de Fontes Chaveadas. 1.ed. Florianpolis: Edio do
Autor, 2001.
[11] I. BARBI., C. FONT e R. ALVES, Projeto Fsico de Indutores e
Transformadores, INEP, Florianpolis, SC, 2002.
[12]Datasheet, Thornton Eletrnica Ltda., NEE-65/33/39.

46
[13] Datasheet LA 100-P
[14] Datasheet LM 324
[15] Datasheet IRFP840
[16] Datasheet IR2104
[17] HTTP://WWW.TI.COM/WW/EN/LAUNCHPAD/LAUNCHPADS-MSP430-MSPEXP430G2.HTML
[18] F. P. SOUZA, Correo do Fator de Potncia para Instalaes de Baixa
Potncia Empregando Filtros Ativos, Tese de Doutorado UFSC, Florianpolis,
SC, 2000
[19] M. J. d. C. Bonfim, Filtros Ativos (Notas de Aula), UFPR, Curitiba-PR, 2009

47
APNDICES

APNDICE A SCRIPT MATLAB MALHA DE CORRENTE...............................35


APNDICE B SCRIPT MATLAB MALHA DE TENSO....................................35
APNDICE C DIAGRAMA ESQUEMTICO DO INVERSOR...........................35
APNDICE D SCRIPT DO CONTROLE DIGITAL DO INVERSOR OPERANDO
DESACOPLADO DA REDE ELTRICA................................................ ..............35

48
APNDICE A - SCRIPT MATLAB MALHA DE CORRENTE
clear all
close all
format long
%======================================%
% Compensador para a Malha de Corrente %
%======================================%
f=3000;
Ts=1/f;
%======================================%
% Inversor no dominio 's'
%======================================%
Vcc = 250;
Lf = 3.95*10^-3;
num_Inversor = Vcc;
den_Inversor = [Lf 0];
FT_Inversor = tf(num_Inversor,den_Inversor)
%======================================%
% Inversor no dominio 'z'
%======================================%
FT_Inversor_Digital = C2D(FT_Inversor,Ts,'tustin')
%======================================%
% Filtro Anti-Alisign no dominio 's'
%======================================%
fi=3000;
w = 2*pi*fi/2;
num_Alisign = w;
den_Alisign = [1 w];
FT_Filtro = tf(num_Alisign,den_Alisign)
%======================================%
% Filtro Anti-Alisign no dominio 'z'
%======================================%
%FT_Filtro_Digital = C2D(FT_Filtro,Ts,'tustin')
%======================================%
% Produto Inversor & Filtro Anti-Alisign
%======================================%
FT_Inve_Filtro = FT_Inversor*FT_Filtro
Inv_Fil_d = C2D(FT_Inve_Filtro,Ts,'tustin')
%======================================%
% Ajustar a funo de transferencia
%======================================%
C = ZPK([0.711],[0.161],0.5,Ts)
Sisotool

49
APNDICE B - Script MatLab Malha de Tenso
clc
clear all
close all
format long
%======================================%
% Malha de Tenso no dominio 's'
%======================================%
Mi = 0.7184;
Cf2 = 2*600*10^-6;
num_tensao = Mi;
den_tensao = [Cf2 0];
FT_MTensao = tf(num_tensao,den_tensao)
%======================================%
% Malha de Tenso no dominio 'z'
%======================================%
f = 3000;
Ts=1/f;
FT_MTensao_Digital = C2D(FT_MTensao,Ts,'tustin')
%======================================%
% Filtro Anti-Alisign no dominio 's'
%======================================%
fi = 60
w = 2*pi*fi/2
num_Alisign = w;
den_Alisign = [1 w];
FT_Filtro = tf(num_Alisign,den_Alisign)
%======================================%
% Filtro Anti-Alisign no dominio 'z'
%======================================%
FT_Filtro_Digital = C2D(FT_Filtro,Ts,'tustin')
%======================================%
% Filtro Anti-Alisign no dominio 'z'
%======================================%
FT_MTensao_Filtro = FT_MTensao*FT_Filtro
%======================================%
% Malha de Tens eFunao de transferencia
% do compensador no dominio 's'
%======================================%
FT_MTensao_Filtro_d = C2D(FT_MTensao_Filtro,Ts,'tustin')
Gv_d_ajus = ZPK([0.99933],[1],5e-8,Ts)
Gv_d_ajus=tf(Gv_d_ajus)
Sisotool

50
APNDICE C Diagrama esquemtico do inversor

51
APNDICE D Script do controle digital do inversor operando desacoplado da rede
eltrica
///****************** TE124 - Microcontroladores
****************************
// ****************** Acionamento do LED P1.6 com PWM
**********************
//
//---------------------------------------------------------------------#include <msp430.h>
// Definies para o microcontrolador MSP430
#include "intrinsics.h"
float
float
float
float
float
float
int
float
float
float
float
float
float

valor_Iinv;
valor_Vdc;
valor_Vca;
kajuste;
Vca;
Ica;
Vpwm;
Erro0;
Erro1;
Ic0;
Ic1;
Iref ;
Irefpico;

//-------------------------------------------------------------------------//
Rotina de configurao do microcontrolador (WDT/DCO)
//-------------------------------------------------------------------------void
configurar_hardware()
{
WDTCTL = WDTPW + WDTHOLD;
// Desativar WDT
BCSCTL1 = CALBC1_16MHZ;
// freq. DCO = 16MHZ
DCOCTL = CALDCO_16MHZ;
// freq. DCO = 16MHZ
P2DIR
= BIT1 + BIT4 ;
// P2.1, P2.3 e P2.4 como Sada
P2SEL
= BIT1 + BIT4 ;
// P2.1 e P2.4 como Out1 e Out2
do Timer1_A
// P2OUT = BIT3 + BIT5 ;
}
//--------------------------------------------------------------------//
Configurao do TIMER1_A
//--------------------------------------------------------------------void
configurar_timer1_A()
{
TA1CTL
= TASSEL_2 + ID_0 + MC_3; // SMCLK, Pre-scaler = 1, MODO
UP-DOWN
TA1CCTL1
= OUTMOD_6;
// Modo Toogle Set
TA1CCTL2
= OUTMOD_6;
// Modo Toogle Set
}
//-------------------------------------------------------------------------//
Rotina de configurao do ADC
//-------------------------------------------------------------------------void configurar_adc()
{
ADC10CTL0 = SREF_0;
// VR+ = Vcc, VR- = Vss

52
ADC10CTL0
16*ADC10CLKs
ADC10CTL0
ADC10CTL0
ADC10CTL0
}

|= ADC10SHT_2;

// Sample and Hold Time =

&= ~MSC;
|= ADC10ON;
|= ADC10IE;

// Incio da converso com ADC10SC


// ADC10 ON
// Habilita Interrupo do ADC

//--------------------------------------------------------------------//
Programa principal
//--------------------------------------------------------------------void main(void)
{
configurar_hardware();
// Executa funo de configurao do
hardware
configurar_timer1_A();
// Executa funo de configurao do
Timer1A
configurar_adc();
// Executa funo de configurao do
ADC10
_BIS_SR(GIE);
TA1CCR0 = 511;
TA1CCR1 = 256 ;
TIMER1_A
TA1CCR2 = 256 ;
TIMER1_A

// Habilita Interrupes (GIE = 1)


// Valor de comparao 0 do TIMER1_A
// Valor inicial de comparao 1 do
// Valor inicial de comparao 2 do

// Valores iniciais para os compensadores


Erro1 = 0;
Ic1 = 0;
Irefpico = 0.31489 ;
kajuste = 20 ;
while(1)
{
// Leitura dos AD's e armazenamento dos valores lidos nas variaveis
// Leitura da corrente CA
ADC10CTL1 = INCH_2;
ADC10AE0 |= BIT2;
analgica
ADC10CTL0 |= ENC + ADC10SC;
while (ADC10CTL1 & BUSY);
ADC10CTL0 &= ~ENC;
valor_Iinv = ADC10MEM ;
variavel

// Seleciona Canal 2 (A2)


// Habilita P1.2 para entrada
// Inicia converso
// Aguarda fim da converso
// Desabilita ADC10
// Armazena valor lido do ADC na

// Leitura de tenso CC
ADC10CTL1 = INCH_3;
ADC10AE0 |= BIT3;
analgica
ADC10CTL0 |= ENC + ADC10SC;
while (ADC10CTL1 & BUSY);
ADC10CTL0 &= ~ENC;
valor_Vdc = ADC10MEM ;
variavel
// Leitura de tenso CA

// Seleciona Canal 3 (A3)


// Habilita P1.3 para entrada
//
//
//
//

Inicia converso
Aguarda fim da converso
Desabilita ADC10
Armazena valor lido do ADC na

53

ADC10CTL1 = INCH_4;
ADC10AE0 |= BIT4;
analgica
ADC10CTL0 |= ENC + ADC10SC;
while (ADC10CTL1 & BUSY);
ADC10CTL0 &= ~ENC;
valor_Vca = ADC10MEM ;
variavel

// Seleciona Canal 4 (A4)


// Habilita P1.4 para entrada
//
//
//
//

Inicia converso
Aguarda fim da converso
Desabilita ADC10
Armazena valor lido do ADC na

// Clculos dos compensadores


// Processamento dos sinais - Retirar nivel DC de 1,5V da corrente e
tenso CA
Ica = valor_Iinv - 500 ;
Vca = valor_Vca - 471 ;
// Compensador de corrente
Ic0 = Ic1 ;
Erro0 = Erro1 ;
Iref = Vca*kajuste*Irefpico ;
Erro1 = Iref - Ica ;
Ic1 = 0.98*Erro1 - 0.64386*Erro0 +0.0859*Ic0 ;
//

Ic1 = 129*Erro1 - 84.753*Erro0 + 0.0859*Ic0 ;

// Gerar o PWM
// Vpwm = (int)Ic1 ;
if(Ic1>240)
{ Ic1 = 240 ;
}
if(Ic1<-240)
{ Ic1 = -240;
}
Vpwm = (int)Ic1 ;
TA1CCR1 = 256 + Vpwm
TA1CCR2 = 256 - Vpwm

;
;

// P2OUT ^= 0x20 ;
}}
//-------------------------------------------------------------------------//
Rotina de Interrupo do ADC10
//-------------------------------------------------------------------------#pragma vector = ADC10_VECTOR
__interrupt void interrupcao_ADC10(void)
{
ADC10CTL0 &= ~ADC10IFG;
// Reseta flag de interrupo
}

You might also like