You are on page 1of 4

7/26/2016

SpyGlassLint

SpyGlassLint
EarlyDesignAnalysisforLogicDesigners
Overview
InefficienciesduringRTLdesignusuallysurfaceascriticaldesignbugsduringthelatestagesofdesignimplementation.If
detected,thesebugswilloftenleadtoiterations,andifleftundetected,theywillleadtosiliconrespins.TheSpyGlassproduct
familyistheindustrystandardforearlydesignanalysiswiththemostindepthanalysisattheRTLdesignphase.SpyGlass
providesanintegratedsolutionforanalysis,debugandfixingwithacomprehensivesetofcapabilitiesforstructuralandelectrical
issuesalltiedtotheRTLdescriptionofdesign.
DownloadDatasheet

Introduction
Withsoaringcomplexityandsizeofchips,achievingpredictabledesignclosurehasbecomeachallenge.Amultitudeofcoding
style,structuralandelectricaldesignissuescanmanifestthemselvesasdesignbugsandresultindesigniterations,orworststill
siliconrespins.Othertoolsmaydetectdesignbugsbutoftenatlatestagesofdesignimplementation,afterasignificantinvestment
intimeandefforthasalreadybeenmade.Asdesignteamsbecomegeographicallydispersed,consistencyandcorrectnessof
designintentbecomesakeychallengeforchipintegrationteams.EmphasisondesignreuseandIPintegrationrequiresthat
designelementsbeintegratedandmeetguidelinesforcorrectnessandconsistency.

Figure1:SpyGlassRTLSignoffSolution

SpyGlassLint:StructuralRTLChecks
SpyGlasslintingintegratesindustrystandardbestpractices,aswellasSynopsysownextensiveexperienceworkingwith
industryleadingcustomers.LintchecksincludedesignreusecompliancecheckssuchasSTARCandOpenMOREtoenforcea
consistentstylethroughoutthedesign,easetheintegrationofmultiteamandmultivendorIPandpromotedesignreuse.

https://www.synopsys.com/Tools/Verification/staticformalverification/Pages/spyglasslint.aspx

1/4

7/26/2016

SpyGlassLint

Figure2:TheSpyGlasssolutionacceleratesandeconomizesICdevelopmentby
minimizingcostly,timeconsumingdesignanddebugiterations

Figure3:SpyGlassLintforRTLAnalysis

SpyGlassLintAdvanced:Smarter,FasterandDeeperDesignAnalysisWithTurboandFormal
Technologies
SynopsyssnextgenerationSpyGlassLintAdvancedSolutionprovidesathreeprongedattackontheissuesfacingSoCRTL
https://www.synopsys.com/Tools/Verification/staticformalverification/Pages/spyglasslint.aspx

2/4

7/26/2016

SpyGlassLint

signoff.
SmarteranalysisinTurbomodewithviolationcategorizationbyrootcauseforfasterdebug
Fasterhierarchicalflowwithabstractmodelswithupto10Xperformancegain
Deeperanalysisusingformaltechnologytomitigatefunctionalerrorsoncorrespondingstructurallintrulesandtoremove
uncertaintywithconclusiveresults
Usingadvancedformalanalysis,SpyGlasspinpointsdeeperfunctionalproblemsinRTLdesignswithoutrequiringtestbenchesor
assertions.SpyGlassensuresthatdesignintentiscorrectbyverifyingcontrollogic(FSM),synchronousFIFOs,signalstoggling,
busintegrity(contention,floatingstate,indexoverflow)anddesigninitialization.TheresultingRTLismoreoptimizedand
verifiablewithcomprehensiveFSMstylereportinganddetectionoflogicredundancies(deadcode).Thisimprovesthearea,
timing,powerandtestabilityofyourdesign.SpyGlassalsoprovidesadesigncomplexityDashBoardfortrackingRTLqualityasit
isdeveloped.

EarlyDetectionofImplementationChallenges
SynopsysSpyGlasssolutiongreatlyreducestheriskofdevelopingcomplexmultimilliongate,nanometerscaleICsbyaccurately
detectingdesignissuesatRTL.TheSpyGlasssolutionflagsareasofthedesignthatarelikelytopresentimplementation
challenges.
SophisticatedstaticanddynamicanalysisidentifiescriticaldesignissuesatRTL
Acomprehensivesetofelectricalruleschecktoensurenetlistintegrity
Includesdesignreusecompliancechecks,suchasSTARCandOpenMOREtoenforceaconsistentstylethroughoutthe
design
Customizableframeworktocaptureandautomatecompanyexpertise
Integrateddebugenvironmentenableseasycrossprobingamongviolationreports,schematicandRTLsource
Themostcomprehensiveknowledgebaseofdesignexpertiseandindustrybestpractices
SupportsVerilog,VHDL,V2K,SystemVerilogandmixedlanguagedesigns
Tclshellforefficientruleexecutionanddesignquery
SoCabstractionflowforfasterperformanceandlownoise

AdvancedMethodology
SpyGlassLintprovidesastructured,easytouseandcomprehensivemethodforsolvingRTLdesignissues,therebyensuring
highqualityRTLwithfewerbutmeaningfulviolations.
GuideWaremethodologydocumentationandrulesetsincluded
Infrastructureforruleselectionandcustomizationalignedwithdesignmilestones
WalksusersthroughaseriesofrecommendedstepstoensuredesigncompliancetoHDLstandards,codingstyle,
synthesis,simulation,verification,connectivity,clockandresetissues
Stepbystepapproachdetectsandfixesdesignbugsinalignmentwithdesignmilestones,andensurespredictabledesign
closurewithoutanylastminutesurprisesorhighvolumeofviolations

SeamlessIntegrationIncreasesEfficiency
SpyGlassLintsupportscorrectbyconstructiondesign,leadingtoearlydesignclosureandminimizingcostlybackend
debugginganditerations.
IntegrateswithadvancedSpyGlasscapabilitieslikeCDC,Constraints,Power,DFTandPhysicalfeasibilityanalysis
Easytorampupandbeginproductiveusewithinhalfaday,evenfornonexperts
Structuredmethodologyenablesquickadoptionbyengineersandconstraintsoptimizeddesigns
Reducesoreliminatesneedforrespins,potentiallysavingmillionsofdollars
EnablesearlyclosureofhandoffreadyRTLdesign
ElevatesdesignoptimizationfromgateleveltoRTL,whereitismostcosteffective
Helpsdisperseddesignteamstocreatemoreconsistent,highqualitydesigns
EnableseffectivedesignreuseandIPintegration
Integratesseamlesslyintoexistingdesignenvironments,dramaticallyenhancingefficiencyofinstalledtoolsand
methodologies
SpyGlassPredictiveAnalyzersignificantlyimprovesdesignefficiencyfortheworldsleadingsemiconductorandconsumer
https://www.synopsys.com/Tools/Verification/staticformalverification/Pages/spyglasslint.aspx

3/4

7/26/2016

SpyGlassLint

electronicscompanies.Patentedsolutionsprovideearlydesigninsightintothedemandingperformance,powerandarea
requirementsofthecomplexsystemonchips(SoCs)fuelingtodaysconsumerelectronics.

https://www.synopsys.com/Tools/Verification/staticformalverification/Pages/spyglasslint.aspx

4/4

You might also like