Professional Documents
Culture Documents
ESF3-110LFA
ESF3-55XMC
ESF3-90ATZ
ESF3-90MKN
ESF3-55GFS
ESF3-55UMC
ESF2-130LAP
ESF1-130GFS
ESF1-180SLT
ESF3-40UMC
ESF3-110REN
ESF3-65TID
ESF3-65TBS
PDK.Flow
DTC.Compiler
WAT.Compiler
ESF3-28UMC
ESF3-28GFD
ESF3-40GFS
ESF1-130CSMC
Training
NA
Project.Code
Amber
Coral
Diamond
Emerald
Garnet
Jade
Opal
Onyx
Pearl
Quartz
Ruby
Zircon
Sapphire
PDK.Flow
DTC.Compiler
WAT.Compiler
ESF3-28UMC
ESF3-28GFD
ESF3-40GFS
ESF1-130CSMC
Training
NA
Program.Manager
XL
XL
JS
JS
YC
WY
LC
LC
LC
JK
YC
JK
YC
Flash.Gen
ESF1
ESF2
ESF3
Technology
180
130
110
90
65
55
40
28
Foundry
Amstrong
Global Foundry
Lapis
L-Foundry
Mikron
NationZ
Renessas
Silterra
SMIC
Texas Instruments, Inc.
Toshiba
TSMC
UMC
XMC
Foundry.Short.Name
ATZ
GFS
LAP
LFA
MKN
REN
SLT
TID
TBS
UMC
XMC
Program.Manager
Nhan Do
Liz Cuevas
Yueh-Hsin Chen
Will Yang
Xian Liu
Jinho Kim
Jack Sun
Initial.Name
ND
LC
YC
WY
XL
JK
JS
Task.Status
In.Planning
In.Progress
In.Reviewing
Completed
Cancelled
Postponed
Task.Priority
Critical
Normal
Low
TDCAD.Member
Nitya
Khoe
Tri
Thanh
Duong
Hoang
Binh
PDK.Component
Converted.Layout.Lib
Converted.Schematic.Lib
Cover.Sheet
Device.Library
DRC.Deck
Foundry.PDK
Gate.Library
Internal.Design.Doc
Layout.Compiler
Layout.Library
LEF
LVS.Deck
NA
PDK.Flow
PDK.Install.Hspice
Layout.Router
PDK.QA
PEX.Deck
Pregen.Deck
Schematic.Library
Scripts
SPICE.Library
SST.PDK
Tape.Out
Tech.Files
Unix
Semi.Tech
Programming
Task
Implement PDK compare_db command
Description
Compare two specified databases: SVS, LVL, LVS, cellname vs cellname
Implement a command-line script to port a database from a specified PDK to
another:
1. Migrate a layout/schematic database from PDK to PDK:
1.1 Build layermap/devicemap file automatically
2. Copy a layout/schematic database follow Pixy's requirements
Review and improve genLVS.pl tool
Generate the top bank array for pfm_390t16kx72_v1a0 IP
Accomplishment
Plant
Project.Code
PDK.Component
Status
Priority
PDK.Flow
NA
In.Planning
Normal
PDK.Flow
Converted.SchematicIn.Progress
Normal
PDK.Flow
PDK.Flow
In.Planning
Normal
tsmc90ce3p6msp013mim1p5_4X1PDK.Flow
Completed
Critical
Owner
Target
Actual
Comments
Hoang
11/30/2015
Hoang
Hoang
Hoang
Generate top
array for
pfm_390t16k
11/16/2015 x72_v1a0 IP
Task
pdk gen command
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement PDK compare_db command
pdk init/update/install commands
Implement "pdk pccompile" command to compile HV pcells
Release PDK r1.0
Understand ESF1/ESF3 compiler and create the detail userguide document
Layout/Sch Converting Tool -- Feedback
Update pdk compile command to add DRC/LVS running feature
Review ESD/Seal ring/PAD layout in esf3-55umc and pdkumc55eflash
Review and update esf3-55UMC LVS deck to use the tight checking approach
Hi Tri,
This is the feedback to speed up the task of creating device map files for
Description
schematic conversion. This could
be included in the Sch conversion tool, or
a standalone utility.
i. Implement HV LVS rule deck template.
ii. Implement python-based functions to parse Calibre rule desk files.
Phase 1:
1)
Users just
provide
the <src_sch_lib>
Implement
HV
+ memory
design rules to convert, nothing else.
2)
The
tool
scans
through
<src_sch_lib>
and provide
map file
M1 of
i.
Inputs:
pad
cell,
pad
array info
(X*Y),
test-line
size, an
cellinitial
placement
info
Install
LV
deck
and
implement
HV
LVS
deck
the
form:
(each
cell will have pin info), cell DR tables (i.e. a table from MOS compiler),
Create
cells
routing Techprimitive_devices
info (i.e. min metal width/spacing,
if users do not enter then get
(nil
map
from the tech file)
(ii. Output:
<D_TLIB1>
Layout:(<tlib1>
cells are placed among the pads and routing
is done between
<cell1>
<D_CELL1>
ii.
PDK
r1.0
(for
TC1
and
later)
pads and cell pins using Space-Base Router.
<D_CELL2>
Supporting
pinaobjects
objects
defined
bycould
Cadence
Space-Base
Router,
1.
model:
wait<cell2>
for(pin
Mandana
SPICE
Schematic:
simple
schedule
(cell
symbol
be from
a fixed library,
..
should
generic term of Cadence)
2.
DRC:
such
asbe
HVa library)
)
a.
LV:
from foundry: are clean LVS
Layout/schematic
Compare
two
specified
databases:
SVS, LVL, LVS,<D_TLIB2>
cellname vs cellname
(<tlib2>
b. HV: initial
copied
from
GFS40
<cell3>
<D_CELL3>
c.
cell:
initial
from -->
UMC28
1. Mem
Review
pcell
CDFcopied
interfaces
create the best interface for our HV pcell
Implement
the flow
of
these
commands
<cell4>
<D_CELL4>
3.
LVS:
devices
..
a.
from foundry
2. LV:
Implement
SKILL pcell template file
)
b.
HV/mem:
copied
fromthe
GFS40
3. ImplementInitial
Python
to parse
Excel template file to generate the pcell
)4. PEX: TBD
code files
)
Understand ESF1/ESF3 compiler and create the detail userguide document
Phase 2:
1) Users will fill out the upper case variables in the initial map <D_TLIB1>,
<D_TLIB2>, <D_CELL1>, etc. to create map M2
a.
If users
docompile
not update
all <D_CELLn>
listed, running
then popfeature
up a GUI to confirm
Update
pdk
command
to add DRC/LVS
and continue to run without stop. This has been discussed already.
2) Based
on the ring/PAD
update map
the tool willand
scan
the <src_sch_lib> and
Review
ESD/Seal
layoutM2,
in esf3-55umc
pdkumc55eflash
The tight checking approach for LVS deck by following the truth table:
<D_TLIB1>, <D_TLIB2> etc. and provide a more detail map M3
1. ONE marked layer have to be implemented as AND
a.
Internally, the tool will try to guess the type of each cell <D_CELLi>
2. ZERO marked layer have to be implemented as NOT
i. If a cell has 4 pins and one pin name is G or GATE it is a transistor
ii.
If a cell has 2
or 3to
pins,
onemissing
pin named
P or PLUS,
one pin named M
Implementing
code
check
parameters
in netlist
or
MINUS,
and
a
parameter
named
R
or
Rsheet
or
segR
it isPDK
a to
Implement a command-line script to port a database from a specified
resistor.
another: If not, it is a capacitor
iii. Migrate
Else, it is
1.
a generic_device.
layout/schematic database from PDK to PDK:
iv. 1.1
Cadence
category
file <lib>/*Cat
be used to detect device type
Build layermap/devicemap
filecould
automatically
ESF3
Compiler
b. Copy
Based
theReview:
type detected,
more details
for mapping
each device could
2.
aon
layout/schematic
database
follow Pixy's
requirements
Collect
all to
feedbacks
about the ESF3 compiler, all need to have features
be added
create M4:
which
already
unsupported,
then hold a meeting to review
i. Example:
forsupported
transistor or
(similarly
for res/cap)
that,
so
that
we
can
plan
to
implement
that
or
improve
flow
1.
Only
need
to
map
KEY
set
of
parameters
l, m} to
{fw,
nf=1,
fl, m} or
Review 28umc HKMG array and upgrade the{w,
compiler
toour
support
generating
the other
way around
HKMG
cells
2. w could be mapped to fw and set nf=1. And convert data type (e.g.
string <-> float) also
3. If pin names are different (e.g. G v.s. GATE) then add mapping for them
c. Special case: the instance parameter model should be deleted.
After phase 2, the map would be >95% correct and users can wrap it up for
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
In.Planning
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
NA
In.Planning
Normal
Hoang
PDK.Flow
PDK.Flow
Postponed
Normal
Khoe
PDK.Flow
PDK.Flow
Postponed
Normal
Duong
ESF3-28GFD
SST.PDK
In.Planning
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Tri
PDK.Flow
Converted.Schematic
In.Planning
Normal
Tri
PDK.Flow
Layout.Compiler
Postponed
Normal
Tri
Jade
PDK.Flow
In.Planning
Normal
Khoe
Jade
LVS.Deck
In.Planning
Normal
Khoe
PDK.Flow
PDK.QA
In.Reviewing Normal
PDK.Flow
Converted.Schematic
Postponed
Normal
Hoang
PDK.Flow
Layout.Compiler
Postponed
Normal
Tri
PDK.Flow
Layout.Compiler
In.Planning
Normal
Duong
Thanh
Thanh
ESF3-40GFS
Layout.Library
Completed
Normal
ESF3-40GFS
PEX.Deck
In.Progress
Normal
ESF3-40GFS
Tech.Files
In.Planning
Normal
Thanh
ESF3-40GFS
Layout.Library
In.Planning
Normal
Thanh
ESF3-40GFS
Layout.Library
In.Planning
Normal
Thanh
PDK.Flow
PDK.Flow
In.Planning
Normal
Hoang
ESF3-28GFD
Layout.Compiler
Completed
Normal
Hoang
PDK.Flow
Schematic.Library
In.Progress
Normal
Duong
ESF3-28GFD
Layout.Compiler
In.Progress
Normal
Tri
In.Planning
Normal
Khoe
ESF3-40GFS
Converted.Schematic
Completed
Normal
Hoang
ESF3-28GFD
Pregen.Deck
Completed
Critical
Duong
ESF3-28GFD
Pregen.Deck
Completed
Critical
Duong
ESF3-28GFD
Pregen.Deck
Completed
Critical
Duong
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Jade
PDK.Flow
Completed
Normal
Khoe
PDK.Flow
PDK.Flow
Completed
Normal
Khoe
PDK.Flow
PDK.Flow
Completed
Normal
Khoe
WAT.Compiler
Layout.Router
Completed
Normal
Khoe
WAT.Compiler
Layout.Router
In.Progress
Normal
Khoe
ESF1-130CSMC SST.PDK
Thanh
Target
Actual
Comments
9/18/2015
10/30/2015
9/25/2015
10/30/2015
11/13/2015
10/23/2015
11/4/2015
11/15/2015
10/30/2015
10/30/2015
11/9/2015
11/5/2015
11/2/2015
11/2/2015
11/4/2015
10/31/2015
11/5/2015
11/4/2015
11/5/2015
11/9/2015
/home/data/shanghai/
pfm_355u64kx144_v1a2/
Task
pdk gen command
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement PDK compare_db command
pdk init/update/install commands
Implement "pdk pccompile" command to compile HV pcells
Implement HV MOS pcells by using MOS compiler
Implement Array_Straps_Design_Rule
Release PDK r1.0
Understand ESF1/ESF3 compiler and create the detail userguide document
Layout/Sch Converting Tool -- Feedback
Update pdk compile command to add DRC/LVS running feature
Review ESD/Seal ring/PAD layout in esf3-55umc and pdkumc55eflash
Review and update esf3-55UMC LVS deck to use the tight checking approach
Review 28umc HKMG array and upgrade the compiler to support generating
HKMG cells
All_device example is LVS/DRC clean (include
LVMOS/HVMOS/diode/res/probecell/momcap/moscap with min design rule)
Implementing PEX rule deck
Update techfile to support LEF generation
Add Bondpad/sealring/ip phantom/revid/iptag/esdpad layout example
Generate Mini array layout with LVS/DRC clean
Review and improve genLVS.pl tool
Implement Path Propagation for all nets simultaneously
Implement Path Construction for all nets simultaneously
Description
Hi Tri,
i. Implement HV LVS rule deck template.
ii. Implement
python-based
functions
to parse
Calibredevice
rule desk
This
is the feedback
to speed
up the task
of creating
mapfiles.
files for
schematic conversion. This could be included in the Sch conversion tool, or
a
standalone
Implement
HVutility.
+ memory design rules
i.
Inputs:
array info
test-line size, cell placement info
Install
LV pad
deckcell,
andpad
implement
HV(X*Y),
LVS deck
(each cell will have pin info), cell DR tables (i.e. a table from MOS compiler),
Phase
1:
Create
cells
routing Techprimitive_devices
info (i.e. min metal width/spacing,
if users do not enter then get
1) Users just provide the <src_sch_lib> to convert, nothing else.
from the tech file)
2) The tool scans through <src_sch_lib> and provide an initial map file M1 of
ii. Output:
the form:
Layout: cells are placed among the pads and routing is done between
pads and cell pins using Space-Base Router.
(nil
map
Supporting
pinaobjects
objects
defined
bycould
Cadence
Space-Base
Router,
Schematic:
simple (pin
schedule
(cell
symbol
be from
a fixed library,
(should be a generic term of Cadence)
such as HV library)
(<tlib1>
<D_TLIB1>
Layout/schematic
aredatabases:
clean LVS SVS, LVL, LVS, cellname vs cellname
Compare
two
specified
<cell1>
<D_CELL1>
ii. PDK r1.0 (for TC1 and later)
<D_CELL2>
1.
SPICE
wait<cell2>
for Mandana
1.
Reviewmodel:
pcell
CDF
interfaces
--> create the best interface for our HV pcell
Implement
the flow
of
these commands
..
2.
DRC:
devices
a.
LV:
from) foundry:
2. Implement
SKILL pcell template file
(<tlib2>
<D_TLIB2>
b.
initial
copied
GFS40
3. HV:
Implement
Pythonfrom
to parse
the Excel template file to generate the pcell
<cell3>
c.
Mem
cell:HV
initial
from
UMC28
Implement
MOScopied
pcells
by using
MOS<D_CELL3>
compiler
code
files
<cell4>
<D_CELL4>
3. LVS:
a. LV: from foundry ..
Implement
) Array_Straps_Design_Rule
b. HV/mem:
Initial copied from GFS40
)4. PEX: TBD
)
Understand ESF1/ESF3 compiler and create the detail userguide document
Phase 2:
1) Users will fill out the upper case variables in the initial map <D_TLIB1>,
<D_TLIB2>, <D_CELL1>, etc. to create map M2
a.
If users
docompile
not update
all <D_CELLn>
listed, running
then popfeature
up a GUI to confirm
Update
pdk
command
to add DRC/LVS
and continue to run without stop. This has been discussed already.
2) Based
on the ring/PAD
update map
the tool willand
scan
the <src_sch_lib> and
Review
ESD/Seal
layoutM2,
in esf3-55umc
pdkumc55eflash
The tight checking approach for LVS deck by following the truth table:
<D_TLIB1>, <D_TLIB2> etc. and provide a more detail map M3
1. ONE marked layer have to be implemented as AND
a.
Internally, the tool will try to guess the type of each cell <D_CELLi>
2. ZERO marked layer have to be implemented as NOT
i. If a cell has 4 pins and one pin name is G or GATE it is a transistor
ii.
If a cell has 2
or 3to
pins,
onemissing
pin named
P or PLUS,
one pin named M
Implementing
code
check
parameters
in netlist
or
MINUS,
and
a
parameter
named
R
or
Rsheet
or
segR
it isPDK
a to
Implement a command-line script to port a database from a specified
resistor.
another: If not, it is a capacitor
iii.
Else, it is
1. Migrate
a generic_device.
layout/schematic database from PDK to PDK:
iv. 1.1
Cadence
category
file <lib>/*Cat
be used to detect device type
Build layermap/devicemap
filecould
automatically
ESF3
Compiler
Review:
b.
Based
on
the
type
detected,
more
details
for mapping
each device could
2. Copy a layout/schematic database follow Pixy's
requirements
Collect
all to
feedbacks
about the ESF3 compiler, all need to have features
be added
create M4:
which
already
unsupported,
then hold a meeting to review
i. Example:
forsupported
transistor or
(similarly
for res/cap)
that,
so need
that we
can plan
to implement
that {w,
or improve
1. Only
to map
KEY set
of parameters
l, m} toour
{fw,flow
nf=1, fl, m} or
the other way around
2. w could be mapped to fw and set nf=1. And convert data type (e.g.
string <-> float) also
3. If pin names are different (e.g. G v.s. GATE) then add mapping for them
Review 28umc HKMG array and upgrade the compiler to support generating
HKMG is
cells
Below
the PEX files for LV just downloaded:
/iplicense/cad/technology/pdkchrt40lp/opus61/designkit/foundry/EDA-CADAll_device
example is LVS/DRC clean (include
40N-EX006/V1.3_1.0_BASE
LVMOS/HVMOS/diode/res/probecell/momcap/moscap with min design rule)
Refer to the following file to define full function to extract parameters for
PEX:
/iplicense/cad/technology/esf3-55gfs/ch55lpeUpdate
techfile to support LEF generation
a/designkit_r2.1a/run/pex/LVS/Include_SST/esf3-ch55lpea_pex_devices_hv.inc
Add Bondpad/sealring/ip phantom/revid/iptag/esdpad layout example
Look at the function DMACRO SST_FET_PROPERTIES.
Generate Mini array layout with LVS/DRC clean
Review and improve genLVS.pl tool
Implement Path Propagation for all nets simultaneously
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
In.Planning
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
NA
In.Planning
Normal
Hoang
PDK.Flow
PDK.Flow
Postponed
Normal
Khoe
PDK.Flow
PDK.Flow
Postponed
Normal
Duong
ESF3-28GFD
Layout.Compiler
Completed
Normal
Duong
Quartz
DRC.Deck
In.Progress
Normal
Duong
ESF3-28GFD
SST.PDK
In.Planning
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Tri
PDK.Flow
Converted.Schematic
In.Planning
Normal
Tri
PDK.Flow
Layout.Compiler
Postponed
Normal
Tri
Jade
PDK.Flow
In.Planning
Normal
Khoe
Jade
LVS.Deck
In.Planning
Normal
Khoe
PDK.Flow
PDK.QA
In.Reviewing Normal
PDK.Flow
Converted.Schematic
Postponed
Normal
Hoang
PDK.Flow
Layout.Compiler
Normal
Tri
Postponed
Thanh
PDK.Flow
Layout.Compiler
In.Planning
Normal
Duong
Thanh
ESF3-40GFS
Layout.Library
In.Planning
Normal
ESF3-40GFS
PEX.Deck
In.Planning
Normal
ESF3-40GFS
Tech.Files
In.Planning
Normal
Thanh
ESF3-40GFS
Layout.Library
In.Planning
Normal
Thanh
ESF3-40GFS
Layout.Library
In.Planning
Normal
Thanh
PDK.Flow
PDK.Flow
In.Planning
Normal
Hoang
WAT.Compiler
Layout.Router
In.Progress
Normal
Khoe
WAT.Compiler
Layout.Router
In.Planning
Normal
Khoe
ESF3-28GFD
Layout.Compiler
In.Progress
Normal
Hoang
ESF3-28GFD
Layout.Compiler
Completed
Normal
Tri
PDK.Flow
Schematic.Library
In.Planning
Normal
Duong
ESF3-40GFS
PDK.Install.Hspice
Completed
Critical
Thanh
PDK.Flow
PDK.Flow
In.Progress
Normal
Thanh
Jade
Converted.Schematic
Completed
Normal
Hoang
WAT.Compiler
Layout.Router
Completed
Normal
Khoe
Jade
PDK.Install.Hspice
Completed
Normal
Khoe
PDK.Flow
Converted.Schematic
Completed
Normal
Khoe
ESF3-28GFD
Layout.Compiler
In.Progress
Normal
Tri
In.Planning
Normal
Tri
ESF1-130CSMC SST.PDK
Thanh
Target
Actual
9/25/2015
9/18/2015
10/9/2015
10/12/2015
Comments
10/16/2015
10/30/2015
9/25/2015
10/30/2015
10/16/2015
10/23/2015
10/20/2015
10/30/2015
10/30/2015
10/16/2015
10/23/2015
10/20/2015
10/9/2015
10/30/2015
10/15/2015
10/16/2015
10/12/2015
10/13/2015
10/13/2015
10/12/2015
10/23/2015
10/30/2015
Task
pdk gen command
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement PDK compare_db command
pdk init/update/install commands
Implement "pdk pccompile" command to compile HV pcells
Implement HV MOS pcells by using MOS compiler
Implement Array_Straps_Design_Rule
Release PDK r1.0
Understand ESF1/ESF3 compiler and create the detail userguide document
Layout/Sch Converting Tool -- Feedback
Update pdk compile command to add DRC/LVS running feature
Review ESD/Seal ring/PAD layout in esf3-55umc and pdkumc55eflash
Review and update esf3-55UMC LVS deck to use the tight checking approach
Description
Hi Tri,
i. Implement HV LVS rule deck template.
ii. Implement
python-based
functions
to parse
Calibredevice
rule desk
This
is the feedback
to speed
up the task
of creating
mapfiles.
files for
schematic conversion. This could be included in the Sch conversion tool, or
a
standalone
Implement
HVutility.
+ memory design rules
i.
Inputs:
array info
test-line size, cell placement info
Install
LV pad
deckcell,
andpad
implement
HV(X*Y),
LVS deck
(each cell will have pin info), cell DR tables (i.e. a table from MOS compiler),
Phase
1:
Create
cells
routing Techprimitive_devices
info (i.e. min metal width/spacing,
if users do not enter then get
1) Users just provide the <src_sch_lib> to convert, nothing else.
from the tech file)
2) The tool scans through <src_sch_lib> and provide an initial map file M1 of
ii. Output:
the form:
Layout: cells are placed among the pads and routing is done between
pads and cell pins using Space-Base Router.
(nil
map
Supporting
pinaobjects
objects
defined
bycould
Cadence
Space-Base
Router,
Schematic:
simple (pin
schedule
(cell
symbol
be from
a fixed library,
(should be a generic term of Cadence)
such as HV library)
(<tlib1>
<D_TLIB1>
Layout/schematic
aredatabases:
clean LVS SVS, LVL, LVS, cellname vs cellname
Compare
two
specified
<cell1>
<D_CELL1>
ii. PDK r1.0 (for TC1 and later)
<D_CELL2>
1.
SPICE
wait<cell2>
for Mandana
1.
Reviewmodel:
pcell
CDF
interfaces
--> create the best interface for our HV pcell
Implement
the flow
of
these commands
..
2.
DRC:
devices
a.
LV:
from) foundry:
2. Implement
SKILL pcell template file
(<tlib2>
<D_TLIB2>
b.
initial
copied
GFS40
3. HV:
Implement
Pythonfrom
to parse
the Excel template file to generate the pcell
<cell3>
c.
Mem
cell:HV
initial
from
UMC28
Implement
MOScopied
pcells
by using
MOS<D_CELL3>
compiler
code
files
<cell4>
<D_CELL4>
3. LVS:
a. LV: from foundry ..
Implement
) Array_Straps_Design_Rule
b. HV/mem:
Initial copied from GFS40
)4. PEX: TBD
)
Understand ESF1/ESF3 compiler and create the detail userguide document
Phase 2:
1) Users will fill out the upper case variables in the initial map <D_TLIB1>,
<D_TLIB2>, <D_CELL1>, etc. to create map M2
a.
If users
docompile
not update
all <D_CELLn>
listed, running
then popfeature
up a GUI to confirm
Update
pdk
command
to add DRC/LVS
and continue to run without stop. This has been discussed already.
2) Based
on the ring/PAD
update map
the tool willand
scan
the <src_sch_lib> and
Review
ESD/Seal
layoutM2,
in esf3-55umc
pdkumc55eflash
The tight checking approach for LVS deck by following the truth table:
<D_TLIB1>, <D_TLIB2> etc. and provide a more detail map M3
1. ONE marked layer have to be implemented as AND
a.
Internally, the tool will try to guess the type of each cell <D_CELLi>
2. ZERO marked layer have to be implemented as NOT
i. If a cell has 4 pins and one pin name is G or GATE it is a transistor
ii.
If a cell has 2
or 3to
pins,
onemissing
pin named
P or PLUS,
one pin named M
Implementing
code
check
parameters
in netlist
or
MINUS,
and
a
parameter
named
R
or
Rsheet
or
segR
it isPDK
a to
Implement a command-line script to port a database from a specified
resistor.
another: If not, it is a capacitor
iii.
Else, it is
1. Migrate
a generic_device.
layout/schematic database from PDK to PDK:
iv. 1.1
Cadence
category
file <lib>/*Cat
be used to detect device type
Build layermap/devicemap
filecould
automatically
b.
Based
on
the
type
detected,
more
details
for mapping
each device could
2. Copy a layout/schematic database follow Pixy's
requirements
be added to create M4:
Support drawing an array of VIAs in the ring
i. Example: for transistor (similarly for res/cap)
1. Only need to map KEY set of parameters {w, l, m} to {fw, nf=1, fl, m} or
the other way around
2. w could be mapped to fw and set nf=1. And convert data type (e.g.
string <-> float) also
3. If pin names are different (e.g. G v.s. GATE) then add mapping for them
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
In.Planning
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
NA
In.Planning
Normal
Hoang
PDK.Flow
PDK.Flow
Postponed
Normal
Khoe
PDK.Flow
PDK.Flow
Postponed
Normal
Duong
ESF3-28GFD
Layout.Compiler
In.Progress
Normal
Duong
Quartz
DRC.Deck
In.Progress
Normal
Duong
ESF3-28GFD
SST.PDK
In.Planning
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Tri
PDK.Flow
Converted.Schematic
In.Planning
Normal
Tri
PDK.Flow
Layout.Compiler
Postponed
Normal
Tri
Jade
PDK.Flow
In.Planning
Normal
Khoe
Jade
LVS.Deck
In.Planning
Normal
Khoe
PDK.Flow
PDK.QA
In.Progress
Normal
Thanh
PDK.Flow
Converted.Schematic
In.Progress
Normal
Hoang
PDK.Flow
Layout.Compiler
Normal
Duong
In.Planning
PDK.Flow
Layout.Compiler
Postponed
Normal
Tri
PDK.Flow
Layout.Compiler
In.Planning
Normal
Duong
Device.Library
Completed
Critical
ESF3-40GFS
ESF3-40GFS
Thanh
Thanh
Layout.Library
In.Planning
Normal
ESF3-40GFS
PEX.Deck
In.Planning
Normal
Thanh
ESF3-40GFS
Tech.Files
In.Planning
Normal
Thanh
ESF3-40GFS
Layout.Library
In.Planning
Normal
Thanh
ESF3-40GFS
Layout.Library
In.Planning
Normal
Thanh
PDK.Flow
PDK.Flow
In.Planning
Normal
Hoang
ESF3-28GFD
Converted.Layout.Li Completed
Normal
Hoang
WAT.Compiler
Layout.Router
Completed
Normal
Khoe
WAT.Compiler
Layout.Router
In.Progress
Normal
Khoe
WAT.Compiler
Layout.Router
In.Planning
Normal
Khoe
ESF3-28GFD
Layout.Compiler
In.Progress
Normal
Hoang
ESF3-28GFD
Layout.Compiler
In.Progress
Normal
Tri
Target
9/25/2015
9/18/2015
10/9/2015
Actual
Comments
10/16/2015
10/30/2015
9/25/2015
10/9/2015
10/16/2015
70%
created skill functions use to
prepare database for
conversion
10/9/2015
10/9/2015
10/30/2015
10/5/2015
10/9/2015
10/16/2015
10/23/2015
10/9/2015
10/9/2015
Task
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Understand ESF1/ESF3 compiler and create the detail userguide document
Layout/Sch Converting Tool -- Feedback
Update pdk compile command to add DRC/LVS running feature
ESF3 Compiler Review
<cell2>
..
<D_CELL2>
)
(<tlib2>
<D_TLIB2>
<cell3>
<D_CELL3>
Description
<cell4>
<D_CELL4>
..
Implement HV + memory
design rules
)
Install
LV deck and implement HV LVS deck
)
)Create Techprimitive_devices cells
Understand ESF1/ESF3 compiler and create the detail userguide document
Phase 2:
1) Users will fill out the upper case variables in the initial map <D_TLIB1>,
<D_TLIB2>, <D_CELL1>, etc. to create map M2
a.
If users
docompile
not update
all <D_CELLn>
listed, running
then popfeature
up a GUI to confirm
Update
pdk
command
to add DRC/LVS
ESF3
CompilertoReview:
and continue
run without stop. This has been discussed already.
Collect
allon
feedbacks
about
ESF3
all need
to have features
2) Based
the update
mapthe
M2,
the compiler,
tool will scan
the <src_sch_lib>
and
which
already
supported etc.
or unsupported,
hold
a meeting
<D_TLIB1>,
<D_TLIB2>
and provide athen
more
detail
map M3to review
that,
so that we
to implement
that
or of
improve
our<D_CELLi>
flow
a. Internally,
thecan
toolplan
will try
to guess the
type
each cell
i. If a cell has 4 pins and one pin name is G or GATE it is a transistor
ii. If a cell has 2 or 3 pins, one pin named P or PLUS, one pin named M
or MINUS, and a parameter named R or Rsheet or segR it is a
resistor. If not, it is a capacitor
iii. Else, it is generic_device.
iv. Cadence category file <lib>/*Cat could be used to detect device type
b. Based on the type detected, more details for mapping each device could
be added to create M4:
i. Example: for transistor (similarly for res/cap)
1. Only need to map KEY set of parameters {w, l, m} to {fw, nf=1, fl, m} or
the other way around
2. w could be mapped to fw and set nf=1. And convert data type (e.g.
string <-> float) also
3. If pin names are different (e.g. G v.s. GATE) then add mapping for them
c. Special case: the instance parameter model should be deleted.
After phase 2, the map would be >95% correct and users can wrap it up for
an official use.
Best Regards,
-Binh
Project.Code
PDK.Component
Status
Priority
Owner
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
In.Planning
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
Layout.Compiler
In.Planning
Normal
Tri
PDK.Flow
Converted.Schematic
In.Planning
Normal
Tri
PDK.Flow
Layout.Compiler
Postponed
Normal
Tri
PDK.Flow
Layout.Compiler
In.Progress
Normal
Tri
Target
9/25/2015
10/9/2015
Actual
Comments
Task
Implementing code to check missing parameters in netlist
Create WAT DRC ruledeck
Description
Implementing code to check missing parameters in netlist
Create WAT DRC ruledeck
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.QA
In.Progress
Normal
Thanh
ESF3-28GFD
SST.PDK
Completed
Normal
Thanh
Target
9/28/2015
9/24/2015
Actual
Comments
70%
Task
pdk gen command
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement PDK compare_db command
Description
i. Implement HV LVS rule deck template.
ii. Implement python-based functions to parse Calibre rule desk files.
Implement HV + memory design rules
i.
Inputs:
array info
test-line size, cell placement info
Install
LV pad
deckcell,
andpad
implement
HV(X*Y),
LVS deck
(each cell will have pin info), cell DR tables (i.e. a table from MOS compiler),
Create
cells
routing Techprimitive_devices
info (i.e. min metal width/spacing,
if users do not enter then get
from
the
tech
file)
Hi Tri,
ii. Output:
Layout:
are placed
among
thetask
pads
routing
is done
between
This
is the cells
feedback
to speed
up the
ofand
creating
device
map
files for
pads and cell
pins using
Space-Base
Router. in the Sch conversion tool, or
schematic
conversion.
This
could be included
Supporting
pin
objects
defined
bycould
Cadence
Space-Base
Router,
Schematic:
aobjects
simple (pin
schedule
(cell
symbol
be from
a fixed library,
a standalone
utility.
should
generic term of Cadence)
such asbe
HVa library)
Layout/schematic
aredatabases:
clean LVS SVS, LVL, LVS, cellname vs cellname
Compare
two specified
Phase 1:
Implement
a provide
command-line
script to port
database
from aelse.
specified PDK to
1) Users just
the <src_sch_lib>
toaconvert,
nothing
another:
2) The tool scans through <src_sch_lib> and provide an initial map file M1 of
1.
theMigrate
form: a layout/schematic database from PDK to PDK:
1.1 Build layermap/devicemap file automatically
2.
Copy
database follow Pixy's requirements
(nil
mapa layout/schematic
1.
Review
pcell
CDF of
interfaces
--> create the best interface for our HV pcell
Implement
the flow
these commands
(devices
(<tlib1>
<D_TLIB1>
2. Implement
SKILL pcell template file
<cell1>
<D_CELL1>
3. Implement Python to parse the Excel template file to generate the pcell
Support
drawing an <cell2>
array of VIAs in the <D_CELL2>
ring
code files
..
Review 28umc HKMG array and upgrade the compiler to support generating
)
HKMG cells
(<tlib2>
<D_TLIB2>
Implement HV MOS pcells
by using MOS<D_CELL3>
compiler by using pccompile
<cell3>
command
<cell4>
<D_CELL4>
..
1.
Work with me
to clear the
requirement
and define
the user-interface.
Understand
ESF1/ESF3
compiler
and create
the detail
userguide document
)
2. Understand the current Cadence migration flow. Reference document: /pkg/ic)6.1.6.500.11/doc/migrate/migrate.pdf
)3. Implement SKILL + Python scripts to simplify the migration flow.
Support generating DTC/WAT arrays
Phase 2:
1) Users will fill out the upper case variables in the initial map <D_TLIB1>,
<D_TLIB2>, <D_CELL1>, etc. to create map M2
a.
If users
docompile
not update
all <D_CELLn>
listed, running
then popfeature
up a GUI to confirm
Update
pdk
command
to add DRC/LVS
and continue to run without stop. This has been discussed already.
2) Based
on the ring/PAD
update map
the tool willand
scan
the <src_sch_lib> and
Review
ESD/Seal
layoutM2,
in esf3-55umc
pdkumc55eflash
The tight checking approach for LVS deck by following the truth table:
<D_TLIB1>, <D_TLIB2> etc. and provide a more detail map M3
1. ONE marked layer have to be implemented as AND
a.
Internally, the tool will try to guess the type of each cell <D_CELLi>
2. ZERO marked layer have to be implemented as NOT
i. If a cell has 4 pins and one pin name is G or GATE it is a transistor
ii. If a cell has 2 or 3 pins, one pin named P or PLUS, one pin named M
or MINUS, and a parameter named R or Rsheet or segR it is a
resistor. If not, it is a capacitor
iii. Else, it is generic_device.
iv. Cadence category file <lib>/*Cat could be used to detect device type
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
In.Planning
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
NA
In.Planning
Normal
Hoang
PDK.Flow
Converted.Layout.Li Completed
Normal
Hoang
PDK.Flow
PDK.Flow
Postponed
Normal
Khoe
PDK.Flow
PDK.Flow
Postponed
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Duong
ESF3-40GFS
Layout.Compiler
In.Planning
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Reviewing Normal
Hoang
ESF3-40GFS
Layout.Compiler
In.Progress
Normal
Tri
PDK.Flow
Converted.Schematic
In.Planning
Normal
Tri
PDK.Flow
Layout.Compiler
Postponed
Normal
Tri
Jade
PDK.Flow
In.Planning
Normal
Khoe
Jade
LVS.Deck
In.Planning
Normal
Khoe
PDK.Flow
PDK.QA
In.Progress
Normal
Thanh
PDK.Flow
Converted.Schematic
In.Progress
Normal
Hoang
PDK.Flow
Layout.Compiler
In.Progress
Normal
Khoe
Quartz
DRC.Deck
Completed
Normal
Duong
Quartz
SST.PDK
Completed
Normal
Duong
ESF3-40GFS
SST.PDK
In.Planning
Normal
Thanh
Quartz
NA
Completed
Normal
Thanh
Quartz
Tape.Out
Completed
Normal
Duong
Quartz
DRC.Deck
In.Progress
Normal
Duong
ESF3-40GFS
Tape.Out
Completed
Normal
Thanh
ESF3-40GFS
Layout.Library
Completed
Normal
Thanh
ESF3-40GFS
PDK.Flow
ESF3-40GFS
Tape.Out
PDK.Flow
Tape.Out
Completed
Completed
Completed
Normal
Normal
Normal
Khoe
Khoe
Khoe
PDK.Flow
PDK.Flow
In.Progress
Normal
Khoe
Target
Actual
Comments
9/25/2015
9/7/2015
9/18/2015
7/24/2015
9/18/2015
9/25/2015
9/18/2015
9/18/2015
9/25/2015
9/11/2015
9/11/2015
9/11/2015
9/11/2015
9/15/2015
9/18/2015
9/17/2015
9/17/2015
9/12/2015
9/17/2015
9/17/2015
9/18/2015
help debugging
Task
pdk gen command
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement PDK compare_db command
Review and update esf3-55UMC LVS deck to use the tight checking approach
Update the pregen to support both DTC and Macro TC1 tapeout
Review V1.S7 and V2.S7 rules for pfm-340u512kx32_v10a database, to make sur
Review the updated layermap from Xian and update if any
Review WAT routing algorithms and plan to implement
Update ESD rule deck to ignore HV areas
Release PDK package to UMC
Install and verify new package of dummy-fill code
Run tapeout for 7-DTCs and review the results
Help to debug LVS problem of pfm_340u512kx32_v1a0
converted 7 DTCs which is DTCs layout from designer on the 25/Aug tapeouts from esf3-40gf
Description
i. Implement HV LVS rule deck template.
ii. Implement python-based functions to parse Calibre rule desk files.
Implement HV + memory design rules
i.
Inputs:
array info
test-line size, cell placement info
Install
LV pad
deckcell,
andpad
implement
HV(X*Y),
LVS deck
(each cell will have pin info), cell DR tables (i.e. a table from MOS compiler),
Create
cells
routing Techprimitive_devices
info (i.e. min metal width/spacing,
if users do not enter then get
from the tech file)
ii. Output:
cells are placed among the pads and routing is done between
Hi Layout:
Tri,
pads and cell pins using Space-Base Router.
Supporting
pinaobjects
(pin
objects
defined
bycould
Cadence
Space-Base
Router,
Schematic:
simple
schedule
symbol
bedevice
from
amap
fixed
library,
This
is the feedback
to speed
up (cell
the task
of creating
files
for
should
be
a library)
generic term of Cadence)
such
as
HV
schematic conversion. This could be included in the Sch conversion tool, or
Layout/schematic
aredatabases:
clean LVS SVS, LVL, LVS, cellname vs cellname
a
standalone
Compare
two utility.
specified
Implement a command-line script to port a database from a specified PDK to
another:
Phase 1:
1. Migrate a layout/schematic database from PDK to PDK:
1) Users just provide the <src_sch_lib> to convert, nothing else.
1.1 Build layermap/devicemap file automatically
2) The tool scans through <src_sch_lib> and provide an initial map file M1 of
2. Copy a layout/schematic database follow Pixy's requirements
the
form: pcell
1.
Review
CDF of
interfaces
--> create the best interface for our HV pcell
Implement
the flow
these commands
devices
(nil
map
2. Implement
SKILL pcell template file
(3. Implement Python to parse the Excel template file to generate the pcell
Support
drawing
an array of VIAs in the ring
(<tlib1>
<D_TLIB1>
code files
<cell1>
<D_CELL1>
Review 28umc HKMG
array and upgrade
the compiler to support generating
<cell2>
<D_CELL2>
HKMG cells
..
Implement) HV MOS pcells by using MOS compiler by using pccompile
command (<tlib2>
<D_TLIB2>
<cell3>
<D_CELL3>
1.
Work with me
to clear
the
requirement
and
define
the user-interface.
Understand
ESF1/ESF3
compiler
and create
the detail
userguide document
<cell4>
<D_CELL4>
2. Understand the current Cadence migration
flow. Reference document: /pkg/ic..
6.1.6.500.11/doc/migrate/migrate.pdf
)
3. Implement SKILL + Python scripts to simplify the migration flow.
)
Support
generating DTC/WAT arrays
)
Regenerate 7-DTC arrays
Phase 2:
1) Users will fill out the upper case variables in the initial map <D_TLIB1>,
<D_TLIB2>, <D_CELL1>, etc. to create map M2
a.
If users
docompile
not update
all <D_CELLn>
listed, running
then popfeature
up a GUI to confirm
Update
pdk
command
to add DRC/LVS
and continue to run without stop. This has been discussed already.
2)
Based
on the ring/PAD
update map
the tool willand
scan
the <src_sch_lib> and
Review
ESD/Seal
layoutM2,
in esf3-55umc
pdkumc55eflash
<D_TLIB1>, <D_TLIB2> etc. and provide a more detail map M3
a. Internally, the tool will try to guess the type of each cell <D_CELLi>
i. If a cell has 4 pins and one pin name is G or GATE it is a transistor
ii. If a cell has 2 or 3 pins, one pin named P or PLUS, one pin named M
or MINUS, and a parameter named R or Rsheet or segR it is a
resistor. If not, it is a capacitor
The tight checking approach for LVS deck by following the truth table:
1. ONE marked layer have to be implemented as AND
2. ZERO marked layer have to be implemented as NOT
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
In.Planning
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
NA
In.Planning
Normal
Hoang
PDK.Flow
Hoang
PDK.Flow
PDK.Flow
Postponed
Normal
Khoe
PDK.Flow
PDK.Flow
Postponed
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Duong
ESF3-40GFS
Layout.Compiler
In.Planning
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Reviewing Normal
Hoang
ESF3-40GFS
Layout.Compiler
In.Progress
Normal
Tri
ESF3-40GFS
Layout.Compiler
Completed
Normal
Tri
PDK.Flow
Converted.Schematic
In.Planning
Normal
Tri
PDK.Flow
Layout.Compiler
Postponed
Normal
Tri
Jade
PDK.Flow
In.Planning
Normal
Khoe
Jade
LVS.Deck
In.Planning
Normal
Khoe
PDK.Flow
PDK.QA
In.Progress
Normal
Thanh
PDK.Flow
Converted.Schematic
In.Progress
Normal
Hoang
Quartz
Pregen.Deck
Completed
Normal
Duong
Quartz
DRC.Deck
Completed
Normal
Duong
ESF3-40GFS
SST.PDK
Completed
Normal
Thanh
PDK.Flow
Layout.Compiler
In.Progress
Normal
Khoe
Quartz
DRC.Deck
In.Progress
Normal
Duong
Quartz
DRC.Deck
In.Planning
Normal
Duong
Quartz
DRC.Deck
Completed
Normal
Duong
ESF3-40GFS
SST.PDK
In.Planning
Normal
Thanh
Quartz
NA
In.Planning
Normal
Thanh
ESF3-40GFS
Layout.Library
Completed
Normal
Hoang
Target
Actual
Comments
9/25/2015
9/7/2015
9/18/2015
7/24/2015
9/18/2015
9/10/2015
9/15/2015
9/18/2015
9/18/2015
9/7/2015
9/7/2015
9/4/2015
9/11/2015
9/11/2015
9/11/2015
9/10/2015
9/11/2015
9/11/2015
9/7/2015
help debugging
Task
pdk gen command
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement PDK compare_db command
PDK QA flow
Support generating DTC/WAT arrays
Layout/Sch Converting Tool -- Feedback
ESF3-40 UMC schematic libraries to ESF3-110 LF schematic libraries
Description
i. Implement HV LVS rule deck template.
ii. Implement python-based functions to parse Calibre rule desk files.
Implement HV + memory design rules
i.
Inputs:
array info
test-line size, cell placement info
Install
LV pad
deckcell,
andpad
implement
HV(X*Y),
LVS deck
(each cell will have pin info), cell DR tables (i.e. a table from MOS compiler),
Create
cells
routing Techprimitive_devices
info (i.e. min metal width/spacing,
if users do not enter then get
from the tech file)
ii. Output:
Layout: cells are placed among the pads and routing is done between
pads and cell pins using Space-Base Router.
Supporting
pinaobjects
objects
defined
bycould
Cadence
Space-Base
Router,
Hi
Tri,
Schematic:
simple (pin
schedule
(cell
symbol
be from
a fixed library,
should
generic term of Cadence)
such asbe
HVa library)
This
is
the
feedback
to
the task of creating device map files for
Layout/schematic
arespeed
cleanup
LVS
Compare
two
specified
databases:
SVS,
LVL, LVS,
cellname
vs cellname
schematic conversion. This could be
included
in the
Sch conversion
tool, or
Implement
a command-line
script to port a database from a specified PDK to
a
standalone
utility.
another:
1. Migrate a layout/schematic database from PDK to PDK:
1.1 Build
layermap/devicemap file automatically
Phase
1:
2.
Copy
a
layout/schematic
database follow
Pixy's requirements
1) Users just provide the <src_sch_lib>
to convert,
nothing else.
1.
Review
pcell
CDF
interfaces
-->
create
the
best interface
formap
our HV
Implement
the
flow
of
these
commands
2) The tool scans through <src_sch_lib> and provide
an initial
file pcell
M1 of
devices
the form:
2. Implement SKILL pcell template file
3.
Python to parse the Excel template file to generate the pcell
(nilImplement
map
Support
drawing
an array of VIAs in the ring
code
files
(
Review 28umc
HKMG array and upgrade the compiler
to support generating
(<tlib1>
<D_TLIB1>
HKMG cells
<cell1>
<D_CELL1>
<cell2>
Implement HV MOS pcells
by using MOS<D_CELL2>
compiler by using pccompile
..
command
)
Understand
ESF1/ESF3 compiler and create the detail
userguide document
(<tlib2>
<D_TLIB2>
<cell3>
<D_CELL3>
1. Work with me to clear
the requirement and
define the user-interface.
Implement programmable
DR Evaluator<D_CELL4>
<cell4>
2. Understand the current Cadence migration flow. Reference document: /pkg/ic..
6.1.6.500.11/doc/migrate/migrate.pdf
)
3. Implement SKILL + Python scripts to simplify the migration flow.
)1. Build PDK QA check list, communicate with Pixy/Binh/Khoe
)2. Review the current flow and implement the new features
Support generating DTC/WAT arrays
Phase 2:
DEFINE
schprm_340u128kx32_v1a0
/home/data/prm_r3110lf32kx32_v1a0/opus/dfdb_sch_umc340
1) Users will fill out the upper case variables in the initial map <D_TLIB1>,
DEFINE
schtcprm_340u128kx32_v1a0
<D_TLIB2>,
<D_CELL1>, etc. to create map M2
/home/data/prm_r3110lf32kx32_v1a0/opus/dfdb_tcsch_umc340
a. If users do not update all <D_CELLn> listed, then pop up a GUI to confirm
and continue to run without stop. This has been discussed already.
2) Based on the update map M2, the tool will scan the <src_sch_lib> and
<D_TLIB1>, <D_TLIB2> etc. and provide a more detail map M3
a. Internally, the tool will try to guess the type of each cell <D_CELLi>
i. If a cell has 4 pins and one pin name is G or GATE it is a transistor
ii. If a cell has 2 or 3 pins, one pin named P or PLUS, one pin named M
review:
/iplicense/cad/technology/<tech>/tapeout/<db_name>
Install the new version of Dummy Filling Rule deck
Verify the tapeout flow
Update the PDK to support users to run with metal stack option = 1P7M1T0H0A
Install the new version of FDK
Implementing code to check missing parameters in netlist
Fix DRC violations for the bonding pad, probe pad and antenna diodes
Implement REST, DFXF, RSNW, DIFF rules
Work with Andy to verify the released databases
Update DRC deck to waive rules some logic rules
Update the pregen deck and verify the tapeout flow
convert schtc_355u256kx32_v1a0_cnvrt_2_LibESF340UMC from esf3-55esfash to es
Convert layout databases (ESF3-40UMC_WAT_HV_OPT1, ESF3-40UMC_WAT_HV_OPT
Update the ESF3 compiler to support many rfiller x-placement, multiple DUT placem
Work with our outsource vendor about the PDK installation issues
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
In.Planning
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
NA
In.Planning
Normal
Hoang
PDK.Flow
Converted.Layout.Li In.Progress
Normal
Hoang
PDK.Flow
PDK.Flow
Postponed
Normal
Khoe
PDK.Flow
PDK.Flow
Postponed
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Duong
ESF3-40GFS
Layout.Compiler
In.Planning
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Tri
PDK.Flow
Layout.Compiler
In.Reviewing Normal
Khoe
PDK.Flow
PDK.Flow
In.Reviewing Normal
Hoang
PDK.Flow
PDK.QA
Postponed
Normal
Thanh
ESF3-40GFS
Layout.Compiler
In.Progress
Normal
Tri
PDK.Flow
Converted.Schematic
In.Planning
Normal
Tri
Amber
Converted.Schematic
Completed
Normal
Hoang
PDK.Flow
Layout.Compiler
Postponed
Normal
Tri
PDK.Flow
Layout.Compiler
Completed
Critical
Tri
Jade
PDK.Flow
In.Planning
Normal
Khoe
ESF3-28UMC
Tape.Out
Completed
Normal
Khoe
Jade
LVS.Deck
In.Planning
Normal
Khoe
Quartz
DRC.Deck
Completed
Normal
Duong
Quartz
Tape.Out
In.Progress
Normal
Duong
Quartz
PDK.Flow
Completed
Normal
Duong
Pearl
Foundry.PDK
In.Planning
Normal
Thanh
PDK.Flow
PDK.QA
Postponed
Normal
Thanh
Quartz
Layout.Library
In.Planning
Normal
Duong
Quartz
DRC.Deck
Completed
Normal
Duong
Pearl
Layout.Library
Completed
Normal
Thanh
Pearl
DRC.Deck
In.Progress
Normal
Thanh
Pearl
Tape.Out
In.Progress
Normal
Thanh
Quartz
Converted.Schematic
Completed
Normal
Hoang
ESF3-40GFS
Converted.Schematic
Completed
Normal
Hoang
PDK.Flow
Layout.Compiler
Completed
Normal
Khoe
Quartz
NA
Completed
Normal
Khoe
Target
Actual
Comments
8/31/2015
8/31/2015
8/31/2015
8/14/2015
8/21/2015
8/21/2015
8/14/2015
8/31/2015
8/21/2015
7/31/2015
8/7/2015
7/24/2015
8/14/2015
8/14/2015
9/15/2015
8/7/2015
8/31/2015
7/27/2015
8/13/2015
8/7/2015
8/14/2015
8/10/2015
8/14/2015
8/18/2015
8/13/2015
8/11/2015
8/14/2015
8/14/2015
8/12/2015
8/13/2015
8/12/2015
8/12/2015
Task
pdk gen command
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement PDK compare_db command
Fix bug of MOS Compiler: drawing of multiple gate and contact are incorrect
PDK QA flow
Support generating DTC/WAT arrays
Layout/Sch Converting Tool -- Feedback
Description
i. Implement HV LVS rule deck template.
ii. Implement python-based functions to parse Calibre rule desk files.
Implement HV + memory design rules
i.
Inputs:
array info
test-line size, cell placement info
Install
LV pad
deckcell,
andpad
implement
HV(X*Y),
LVS deck
(each cell will have pin info), cell DR tables (i.e. a table from MOS compiler),
Create
cells
routing Techprimitive_devices
info (i.e. min metal width/spacing,
if users do not enter then get
from the tech file)
ii. Output:
Layout: cells are placed among the pads and routing is done between
pads and cell pins using Space-Base Router.
Supporting
pinaobjects
objects
defined
bycould
Cadence
Space-Base
Router,
Schematic:
simple (pin
schedule
(cell
symbol
be from
a fixed library,
should
generic term of Cadence)
such asbe
HVa library)
Hi
Tri,
Layout/schematic
aredatabases:
clean LVS SVS, LVL, LVS, cellname vs cellname
Compare
two specified
Implement
a command-line
script
to port
from a map
specified
This is the feedback
to speed
up the
task a
ofdatabase
creating device
files PDK
for to
another:
schematic conversion. This could be included in the Sch conversion tool, or
1.
Migrate a layout/schematic
database from PDK to PDK:
a standalone
utility.
1.1 Build layermap/devicemap file automatically
2. Copy a layout/schematic database follow Pixy's requirements
1.
Review pcell CDF interfaces --> create the best interface for our HV pcell
Implement
Phase 1: the flow of these commands
devices
1) Users just provide the <src_sch_lib> to convert, nothing else.
2. Implement SKILL pcell template file
2) The tool scans through <src_sch_lib> and provide an initial map file M1 of
3. Implement Python to parse the Excel template file to generate the pcell
the
form:drawing an array of VIAs in the ring
Support
code files
Review
(nil map28umc HKMG array and upgrade the compiler to support generating
HKMG
cells
(
Implement(<tlib1>
HV MOS pcells by using MOS compiler<D_TLIB1>
by using pccompile
<cell1>
<D_CELL1>
command
<cell2>
<D_CELL2>
Understand ESF1/ESF3
compiler
and
create
the detail userguide document
..
) me to clear the requirement and define the user-interface.
1. Work with
Implement programmable
DR Evaluator
(<tlib2>
<D_TLIB2>
2. Understand
the current Cadence migration flow. Reference
document: /pkg/ic6.1.6.500.11/doc/migrate/migrate.pdf
<cell3>
<D_CELL3>
3. Implement SKILL + <cell4>
Python scripts to simplify
the migration flow.
<D_CELL4>
..
Fix bug of )MOS Compiler: drawing of multiple gate and contact are incorrect
)1. Build PDK QA check list, communicate with Pixy/Binh/Khoe
)2. Review the current flow and implement the new features
Support generating DTC/WAT arrays
Phase 2:
1) Users will fill out the upper case variables in the initial map <D_TLIB1>,
<D_TLIB2>, <D_CELL1>, etc. to create map M2
a. If users do not update all <D_CELLn> listed, then pop up a GUI to confirm
and continue to run without stop. This has been discussed already.
2) Based on the update map M2, the tool will scan the <src_sch_lib> and
<D_TLIB1>, <D_TLIB2> etc. and provide a more detail map M3
a. Internally, the tool will try to guess the type of each cell <D_CELLi>
The target is to send that GDS to GFS asap to test the flow (to check any
missingschprm_340u128kx32_v1a0
layers, any problems with SST GDS etc.)
DEFINE
/home/data/prm_r3110lf32kx32_v1a0/opus/dfdb_sch_umc340
DEFINE
Sampleschtcprm_340u128kx32_v1a0
tape-out run:
/home/data/prm_r3110lf32kx32_v1a0/opus/dfdb_tcsch_umc340
/iplicense/cad/technology/esf3-
28umc/tapeout/dtc_328u256kx16_v3a0/20150601/run_dtc4m_328u_v3a0.cs
h
Update pdk compile command to add DRC/LVS running feature
The tape-out DRC/LVS result should be similar to the result from DE team.
Review ESD/Seal ring/PAD layout in esf3-55umc and pdkumc55eflash
1. Missing
including
files normally located at:
In
the pastrequired
tape-out
runs were
2.
Missing
required
variable
definitions
/iplicense/proj/<tech>/<test_chip>/tapeout/
Re-tapeout 28UMC two WATs: ESF328UMC_WAT_TC0_HV_PBM, ESF328UMC_WAT_TC0
The
checkingthe
approach
for LVS deck
by the
following
truth
table:to all sites to
But tight
we changed
organization
so that
resultthe
can
be sync
1.
ONE
marked
layer
have
to
be
implemented
as
AND
review:
2.
ZERO marked layer have to be implemented as NOT
/iplicense/cad/technology/<tech>/tapeout/<db_name>
Compare the device layer list between 55umc SST and foundry PDKs
Implement pdk gds2xlm command, a tool to convert gds files to layermap files and
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
In.Planning
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
NA
In.Planning
Normal
Hoang
PDK.Flow
Converted.Layout.Li In.Progress
Normal
Hoang
PDK.Flow
PDK.Flow
Postponed
Normal
Khoe
PDK.Flow
PDK.Flow
Postponed
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Duong
ESF3-40GFS
Layout.Compiler
In.Planning
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Tri
PDK.Flow
Layout.Compiler
In.Progress
Normal
Khoe
PDK.Flow
PDK.Flow
In.Reviewing Normal
Hoang
PDK.Flow
Layout.Compiler
Completed
Normal
Duong
PDK.Flow
PDK.QA
In.Progress
Normal
Thanh
ESF3-40GFS
Layout.Compiler
In.Progress
Normal
Tri
PDK.Flow
Converted.Schematic
In.Planning
Normal
Tri
Amber
Converted.Schematic
In.Progress
Normal
Hoang
PDK.Flow
Layout.Compiler
Postponed
Normal
Tri
PDK.Flow
Layout.Compiler
Completed
Critical
Tri
Jade
PDK.Flow
In.Planning
Normal
Khoe
Amber
PDK.Flow
Completed
Normal
Khoe
ESF3-28UMC
Tape.Out
Completed
Normal
Khoe
Jade
LVS.Deck
In.Planning
Normal
Khoe
Jade
LVS.Deck
Completed
Normal
Khoe
PDK.Flow
PDK.Flow
Completed
Normal
Khoe
Quartz
Tech.Files
Completed
Normal
Duong
Quartz
DRC.Deck
In.Progress
Normal
Duong
Quartz
Tape.Out
In.Progress
Normal
Duong
Quartz
PDK.Flow
In.Planning
Normal
Duong
Jade
Tech.Files
Completed
Normal
Duong
Pearl
Foundry.PDK
In.Planning
Normal
Thanh
PDK.Flow
PDK.QA
In.Progress
Normal
Thanh
Target
Actual
Comments
8/31/2015
8/31/2015
8/31/2015
8/14/2015
8/21/2015
8/14/2015
8/14/2015
8/31/2015
8/14/2015
7/31/2015
8/7/2015
7/24/2015
7/31/2015
8/14/2015
8/14/2015
9/15/2015
8/7/2015
8/31/2015
7/27/2015
8/3/2015
8/4/2015
8/6/2015
8/5/2015
7/31/2015
8/7/2015
8/7/2015
8/10/2015
8/3/2015
8/14/2015
Task
Description
PDK QA command: Thanh/Khoe
Support unchecked status.
Review and fix bugs if any
Generate Test-patterns for DRC
DRC: implement and verify HV and memory rules
Fully verify the tapeout flow + Implement all manual steps
Review LV MOS pcells interface and apply for HV MOS pcells
Implement HV MOS pcells by using MOS compiler
Update primitive_devices to verify DRC/LVS
Understand ESF1/ESF3 compiler and create the detail userguide document
tapeout pfm_v1a3 and fix bug IPTAG for tapeout flow
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.QA
In.Planning
Normal
Thanh
Pearl
SST.PDK
In.Progress
Normal
Thanh
Pearl
SST.PDK
In.Planning
Normal
Thanh
PDK.Flow
Layout.Compiler
In.Planning
Normal
Thanh
ESF3-40GFS
Layout.Compiler
Postponed
Normal
Thanh
ESF3-40GFS
PDK.QA
In.Planning
Normal
Thanh
PDK.Flow
Layout.Compiler
In.Planning
Normal
Thanh
Pearl
Tape.Out
Completed
Normal
Thanh
Target
6/30/2015
7/10/2015
7/24/2015
7/24/2015
6/26/2015
7/10/2015
7/2/2015
Actual
Comments
Task
pdk gen command
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement HV MOS pcells by using MOS compiler
Implement PDK compare_db command
Implement PDK port_db command
pdk init/update/install commands
Implement "pdk pccompile" command to compile HV pcells
Support drawing an array of VIAs in the ring
Review 28umc HKMG array and upgrade the compiler to support generating
HKMG cells
Work with Parviz to generate ESF3-40GFS WAT arrays for
Run the tapeout flow for pfm_355u16kx64_v1a2
Description
i. Implement HV LVS rule deck template.
ii. Implement python-based functions to parse Calibre rule desk files.
Implement HV + memory design rules
i.
Inputs:
array info
test-line size, cell placement info
Install
LV pad
deckcell,
andpad
implement
HV(X*Y),
LVS deck
(each cell will have pin info), cell DR tables (i.e. a table from MOS compiler),
Create
cells
routing Techprimitive_devices
info (i.e. min metal width/spacing,
if users do not enter then get
from the tech file)
ii. Output:
Layout: cells are placed among the pads and routing is done between
pads and cell pins using Space-Base Router.
Supporting
pinaobjects
objects
defined
bycould
Cadence
Space-Base
Router,
Schematic:
simple (pin
schedule
(cell
symbol
be from
a fixed library,
should
generic term of Cadence)
such asbe
HVa library)
Layout/schematic
are clean
LVS MOS compiler
Implement
HV MOS pcells
by using
Compare two specified databases: SVS, LVL, LVS, cellname vs cellname
Implement a command-line script to port a database from a specified PDK to
another
Implement the flow of these commands
Implement "pdk pccompile" command to compile HV pcells
Support drawing an array of VIAs in the ring
PDK QA command: Thanh/Khoe
Support unchecked status.
Review and fix bugs if any
Generate Test-patterns for DRC
Review 28umc HKMG array and upgrade the compiler to support generating
HKMG cells
Work with Parviz to generate ESF3-40GFS WAT arrays for
Run the tapeout flow for pfm_355u16kx64_v1a2
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
Postponed
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Completed
Normal
Duong
PDK.Flow
NA
In.Planning
Normal
Khoe
PDK.Flow
Converted.Layout.Li In.Planning
Normal
Khoe
PDK.Flow
PDK.Flow
Postponed
Normal
Khoe
PDK.Flow
PDK.Flow
In.Planning
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Duong
PDK.Flow
PDK.QA
In.Planning
Normal
Thanh
ESF3-28UMC
SST.PDK
In.Progress
Normal
Hoang
Training
Programming
In.Planning
Normal
Hoang
Pearl
SST.PDK
In.Progress
Normal
Thanh
ESF3-40GFS
Layout.Compiler
In.Progress
Normal
Tri
Pearl
SST.PDK
In.Planning
Normal
Thanh
Quartz
DRC.Deck
In.Planning
Normal
Duong
ESF3-40GFS
Layout.Compiler
In.Planning
Normal
Tri
Jade
Tape.Out
In.Planning
Normal
Khoe
PDK.Flow
Layout.Compiler
Completed
Normal
Khoe
ESF3-40GFS
Layout.Compiler
In.Planning
Normal
Tri
Pearl
SST.PDK
In.Planning
Normal
Thanh
ESF3-40GFS
Layout.Compiler
In.Progress
Normal
Thanh
ESF3-40GFS
PDK.QA
In.Planning
Normal
Thanh
PDK.Flow
Layout.Compiler
In.Planning
Normal
Thanh
Garnet
Converted.Schematic
In.Planning
Normal
Duong
Training
Programming
In.Planning
Normal
Hoang
Training
Programming
In.Planning
Normal
Hoang
Quartz
SST.PDK
Completed
Normal
Duong
ESF3-40GFS
Layout.Compiler
Completed
Normal
Tri
ESF3-40GFS
Layout.Compiler
Completed
Normal
Tri
PDK.Flow
Layout.Compiler
Completed
Normal
Khoe
ESF3-40GFS
Converted.Layout.Li Completed
Normal
Khoe
PDK.Flow
Layout.Compiler
Completed
Normal
Khoe
PDK.Flow
Layout.Compiler
In.Progress
Normal
Khoe
Target
Actual
6/12/2015
6/25/2015
Comments
6/26/2015
7/15/2015
6/26/2015
Updated LVS deck to recognize the devices
7/1/2015
6/30/2015
6/19/2015
6/19/2015
7/30/2015
7/3/2015
7/3/2015
6/19/2015
7/3/2015
6/19/2015
6/24/2015
6/26/2015
7/10/2015
7/3/2015
7/3/2015
6/25/2015
6/25/2015
6/24/2015
6/25/2015
6/24/2015
6/25/2015
6/29/2015
Task
pdk gen command
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement HV MOS pcells by using MOS compiler
Implement PDK compare_db command
Implement PDK port_db command
pdk init/update/install commands
Update LVS deck for probecell device
Implement the latest internal designkit document from Jinho
Implement "pdk pccompile" command to compile HV pcells
Support drawing an array of VIAs in the ring
Description
i. Implement HV LVS rule deck template.
ii. Implement python-based functions to parse Calibre rule desk files.
Implement HV + memory design rules
i.
Inputs:
array info
test-line size, cell placement info
Install
LV pad
deckcell,
andpad
implement
HV(X*Y),
LVS deck
(each cell will have pin info), cell DR tables (i.e. a table from MOS compiler),
Create
cells
routing Techprimitive_devices
info (i.e. min metal width/spacing,
if users do not enter then get
from the tech file)
ii. Output:
Layout: cells are placed among the pads and routing is done between
pads and cell pins using Space-Base Router.
Supporting
pinaobjects
objects
defined
bycould
Cadence
Space-Base
Router,
Schematic:
simple (pin
schedule
(cell
symbol
be from
a fixed library,
should
generic term of Cadence)
such asbe
HVa library)
Layout/schematic
are clean
LVS MOS compiler
Implement
HV MOS pcells
by using
Compare two specified databases: SVS, LVL, LVS, cellname vs cellname
Implement a command-line script to port a database from a specified PDK to
another
Implement the flow of these commands
Update LVS deck for probecell device
Implement the latest internal designkit document from Jinho
Implement "pdk pccompile" command to compile HV pcells
Support drawing an array of VIAs in the ring
PDK QA command: Thanh/Khoe
Support unchecked status.
Review and fix bugs if any
Generate Test-patterns for DRC
0) Install Eclipse, python 2.7, PyDev package.
-1)Understand
Superflash
PDK: DRC/LVS decks
Variables, Types
and Declarations
-2)Update
DRC/LVS
deck
to
recognize
the devices
Data Structures: list, tuple,
dictionary,
sequence, set, References
-3)Add
these
device
cells
for
the
esf328sst
Flow controls: if/then, for/while, break
-4)Change
HVUD to VLTM layer
Functions
-5)Refer
40umc
internal design kit document
Regular Expression
6) File I/O
7) Modules and Packages
8) Classes and OOP
9) Standard Library: sys, os, subprocess
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
Postponed
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
In.Progress
Normal
Duong
PDK.Flow
NA
In.Planning
Normal
Khoe
PDK.Flow
Converted.Layout.Li In.Planning
Normal
Khoe
PDK.Flow
PDK.Flow
In.Progress
Normal
Khoe
Quartz
Layout.Compiler
Completed
Normal
Duong
Quartz
Layout.Compiler
Completed
Normal
Duong
PDK.Flow
PDK.Flow
In.Planning
Normal
Duong
PDK.Flow
Layout.Compiler
Postponed
Normal
Duong
PDK.Flow
PDK.QA
In.Planning
Normal
Thanh
ESF3-28UMC
SST.PDK
In.Progress
Normal
Hoang
Training
Programming
In.Planning
Normal
Hoang
Pearl
SST.PDK
In.Progress
Normal
Thanh
ESF3-40GFS
Layout.Compiler
In.Progress
Normal
Tri
Pearl
SST.PDK
Completed
Normal
Thanh
Pearl
SST.PDK
In.Planning
Normal
Thanh
Quartz
DRC.Deck
In.Planning
Normal
Duong
ESF3-40GFS
Layout.Compiler
In.Planning
Normal
Tri
ESF3-40GFS
Converted.Layout.Li Completed
Normal
Khoe
Jade
DRC.Deck
Completed
Normal
Khoe
Jade
Tape.Out
In.Planning
Normal
Khoe
ESF3-28UMC
Tape.Out
Completed
Normal
Khoe
ESF3-28UMC
Tape.Out
Completed
Normal
Khoe
PDK.Flow
Layout.Compiler
In.Planning
Normal
Khoe
ESF3-40GFS
Layout.Compiler
In.Planning
Normal
Tri
ESF3-40GFS
Layout.Compiler
Completed
Normal
Tri
Pearl
SST.PDK
In.Planning
Normal
Thanh
ESF3-40GFS
Layout.Compiler
In.Planning
Normal
Thanh
ESF3-40GFS
PDK.QA
In.Planning
Normal
Thanh
PDK.Flow
Layout.Compiler
In.Planning
Normal
Thanh
Garnet
Converted.Schematic
In.Planning
Normal
Duong
Target
Actual
Comments
6/12/2015
6/26/2015
6/17/2015
6/18/2015
6/30/2015
6/30/2015
Updated LVS deck to recognize the devices
6/12/2015
6/19/2015
6/18/2015
6/19/2015
7/15/2015
6/19/2015
6/17/2015
6/16/2015
6/26/2015
6/17/2015
6/18/2015
6/19/2015
6/19/2015
6/18/2015
6/19/2015
6/24/2015
6/24/2015
6/30/2015
6/30/2015
Task
pdk gen command
Check if stopLayer can be derived layer
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement PDK compare_db command
Implement PDK port_db command
pdk create/update/install commands
Run the tapeout flow for WAT Superflash and OTP
Implement a script to:
1) To reduce L: shrink POLY to center. The sample script was able to shrink
POLY.
2) To reduce W: shrink DIFF to center. Need to recognize the DIFF
intersecting the POLY being shrunk.
Layout/schematic
aredatabases:
clean LVS SVS, LVL, LVS, cellname vs cellname
Compare
two specified
Implement a command-line script to port a database from a specified PDK to
another
Implement the flow of these commands
Run the tapeout flow for WAT Superflash and OTP
Implement a script to:
1) To reduce L: shrink POLY to center. The sample script was able to
shrink POLY.
2) To reduce W: shrink DIFF to center. Need to recognize the DIFF
intersecting the POLY being shrunk.
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
NA
In.Planning
Normal
Khoe
PDK.Flow
Converted.Layout.Li In.Planning
Normal
Khoe
PDK.Flow
PDK.Flow
In.Progress
Normal
Khoe
ESF3-28UMC
PDK.Flow
In.Progress
Normal
Khoe
ESF3-40GFS
PDK.Flow
In.Planning
Normal
Khoe
Target
6/19/2015
6/5/2015
6/8/2015
Actual
Comments
Task
pdk gen command
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement HV MOS pcells
Implement PDK compare_db command
Implement PDK port_db command
pdk create/update/install commands
Support drawing an array of VIAs in the ring
Update Python PDK development system
verify for the following test case:
Study Cadence VSR router
1) LVS clean for the starting DTC DB
2) Remove the filler to create a smaller array in Y
3) Remove the old routing from XDEC to the array
4) Move the XDEC block far away from the array
5) Do space routing
6) Run LVS again for the update DTC, has to be clean.
Python 2.7 Programming Language
Description
i. Implement HV LVS rule deck template.
ii. Implement python-based functions to parse Calibre rule desk files.
Implement HV + memory design rules
i.
Inputs:
array info
test-line size, cell placement info
Install
LV pad
deckcell,
andpad
implement
HV(X*Y),
LVS deck
(each cell will have pin info), cell DR tables (i.e. a table from MOS compiler),
Create
cells
routing Techprimitive_devices
info (i.e. min metal width/spacing,
if users do not enter then get
from the tech file)
ii. Output:
Layout: cells are placed among the pads and routing is done between
pads and cell pins using Space-Base Router.
Supporting
pinaobjects
objects
defined
bycould
Cadence
Space-Base
Router,
Schematic:
simple (pin
schedule
(cell
symbol
be from
a fixed library,
should
generic term of Cadence)
such asbe
HVa library)
Layout/schematic
are clean LVS
Implement
HV MOS pcells
Compare two specified databases: SVS, LVL, LVS, cellname vs cellname
Implement a command-line script to port a database from a specified PDK to
another
Implement the flow of these commands
PDK
QA command:
Support
drawing anThanh/Khoe
array of VIAs in the ring
Support unchecked status.
Review and fix bugs if any
Generate
Test-patterns
forcase:
DRC
verify
for the
following test
Study
Cadence
router
0)
Install
Eclipse, VSR
python
2.7, PyDev package.
1) Variables,
and
Declarations
LVS cleanTypes
for the
starting
DTC DB
2) Data
Structures:
list,
dictionary,
sequence,
Remove
the filler
totuple,
create
a smaller
array in set,
Y References
3)
Flow
controls:
if/then,
for/while,
break
3) Remove the old routing from XDEC to the array
4)
4) Functions
Move the XDEC block far away from the array
5)
Regular
Expression
5) Do space
routing
6) File I/O
6) Run LVS again for the update DTC, has to be clean.
7) Modules and Packages
8) Classes and OOP
9) Standard Library: sys, os, subprocess
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
Postponed
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
NA
In.Planning
Normal
Khoe
PDK.Flow
Converted.Layout.Li In.Planning
Normal
Khoe
PDK.Flow
PDK.Flow
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Duong
PDK.Flow
PDK.QA
In.Planning
Normal
Thanh
Training
NA
Completed
Normal
Hoang
DTC.Compiler
Layout.Compiler
In.Progress
Normal
Hoang
Training
Programming
In.Planning
Normal
Hoang
Quartz
DRC.Deck
Completed
Normal
Duong
Pearl
SST.PDK
In.Progress
Normal
Thanh
ESF3-40GFS
Layout.Compiler
In.Progress
Normal
Tri
ESF3-40GFS
Schematic.Library
Completed
Normal
Tri
Pearl
SST.PDK
In.Planning
Normal
Thanh
Quartz
SST.PDK
Completed
Normal
Thanh
Quartz
DRC.Deck
In.Progress
Normal
Duong
Quartz
DRC.Deck
Completed
Normal
Duong
Quartz
DRC.Deck
Completed
Normal
Duong
Quartz
DRC.Deck
Completed
Normal
Duong
Quartz
DRC.Deck
In.Planning
Normal
Duong
Quartz
Layout.Compiler
Completed
Normal
Khoe
ESF3-28UMC
Tape.Out
Completed
Normal
Khoe
ESF3-40GFS
Layout.Library
Completed
Normal
Khoe
Target
Actual
Comments
5/22/2015
5/15/2015
5/15/2015
5/15/2015
5/15/2015
5/29/2015
6/3/2015
5/29/2015
6/5/2015
6/5/2015
5/25/2015
6/10/2015
5/27/2015
6/2/2015
5/28/2015
5/27/2015
5/26/2015
6/12/2015
5/25/2015
5/28/2015
5/27/2015
Task
pdk gen command
Check if stopLayer can be derived layer
Create DK r2.0
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement HV MOS pcells
Implement PDK compare_db command
Implement PDK port_db command
pdk create/update/install commands
Genrate ESF3-40UMC arrays
Generate HKMG arrays and check LVS
Description
i. Implement HV LVS rule deck template.
ii.
Implement
python-based
parse Calibre
ruleplacement
desk files.info
i. Inputs:
pad cell,
pad array functions
info (X*Y),totest-line
size, cell
(each cell will have pin info), cell DR tables (i.e. a table from MOS compiler),
Using the
routing
infonew
(i.e.approach:
min metal width/spacing, if users do not enter then get
a) LV the
Cadence
library from foundry
from
tech file)
b)
HV Cadence library from SST
ii. Output:
Layout: cells are placed among the pads and routing is done between
pads and cell pins using Space-Base Router.
Supporting
pinaobjects
objects
defined
bycould
Cadence
Space-Base
Router,
Schematic:
simple (pin
schedule
(cell
symbol
be from
a fixed library,
should
generic term of Cadence)
such asbe
HVa library)
Layout/schematic
are clean LVS
Implement
HV MOS pcells
Compare two specified databases: SVS, LVL, LVS, cellname vs cellname
Implement a command-line script to port a database from a specified PDK to
another
Implement the flow of these commands
Genrate ESF3-40UMC arrays
Generate HKMG arrays and check LVS
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
Quartz
SST.PDK
Completed
Critical
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
NA
In.Planning
Normal
Khoe
PDK.Flow
Converted.Layout.Li In.Planning
Normal
Khoe
PDK.Flow
PDK.Flow
Postponed
Normal
Khoe
Quartz
DRC.Deck
Completed
Normal
Khoe
ESF3-28UMC
Layout.Library
Completed
Critical
Khoe
Target
5/21/2015
5/15/2015
5/15/2015
5/18/2015
5/15/2015
Actual
Comments
Task
pdk gen command
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Create DK r2.0
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement HV MOS pcells
Implement PDK compare_db command
Implement PDK port_db command
pdk create/update/install commands
Support drawing an array of VIAs in the ring
Update Python PDK development system
Use VSR router to route Array-->XDEC nets
Release DK r5.0.1 to DE teams
Update device lib, DRC/LVS/PEX for the DK r3.4 and r5.x using the latest PDK
Implement Voltage-Aware DRC: HV metal/via spacing rules
Implement Voltage Extraction DRC for HV metal/Via spacing rule check
Install the latest DRC/LVS foundry deck
Update coversheet about pregen difference between r3.4 and r5.0.1
Install new version of PDK (spice model + ruledeck) from foundry directory
Run LVS for the rest tapeout database by Interactive, no need command line afte
Convert dtc_340u1024kx16_v3a2, dtc_340gf1024kx16_v0a0 to 40GFS
ESF3-40GFS DTC arrays
Genrate ESF3-40UMC arrays
Review metal2 dummy missing in pfm_1180sl136kx8_v1a1
Create 3templates to generate ESF3-40GFS DTC arrays
Implement DRC/LVS deck
Install hspice models - esf345spicea2
Update pregen to support High-K Metal Gate Process
Update ESF3 to support HKMG array
Generate HKMG arrays and check LVS
Description
i. Implement HV LVS rule deck template.
ii. Implement python-based functions to parse Calibre rule desk files.
Implement HV + memory design rules
Install LV deck and implement HV LVS deck
Create
Techprimitive_devices
cells
i. Inputs:
pad cell, pad array info
(X*Y), test-line size, cell placement info
(each cell will have pin info), cell DR tables (i.e. a table from MOS compiler),
Using the
routing
infonew
(i.e.approach:
min metal width/spacing, if users do not enter then get
a) LV the
Cadence
library from foundry
from
tech file)
b)
HV Cadence library from SST
ii. Output:
Layout: cells are placed among the pads and routing is done between
pads and cell pins using Space-Base Router.
Supporting
pinaobjects
objects
defined
bycould
Cadence
Space-Base
Router,
Schematic:
simple (pin
schedule
(cell
symbol
be from
a fixed library,
should
generic term of Cadence)
such asbe
HVa library)
Layout/schematic
are clean LVS
Implement
HV MOS pcells
Compare two specified databases: SVS, LVL, LVS, cellname vs cellname
Implement a command-line script to port a database from a specified PDK to
another
Implement the flow of these commands
PDK QA command:
Support
drawing anThanh/Khoe
array of VIAs in the ring
Support unchecked status.
1) DRC: implement missing rules (array rules etc.)
Review and fix bugs if any
2)
Pregen:
add pregen
0)
Install
Eclipse,
python step:
2.7,
Generate
Test-patterns
forPyDev
DRC package.
a) Include
MTL3
dummy
filling
1) Variables,
Types
and
Declarations
b) Should
not include
NNII
(Nselect),
LV18 (Thickox),
ZNVT (Native), REST
2) Data
Structures:
list, tuple,
dictionary,
sequence,
set, References
(Nwel_res),
NVT_HVT
theybreak
are now drawn layers
3)
Flow controls:
if/then,since
for/while,
3) Functions
Verification:
4)
5) Regular
Expression pfm_1180sl64kx32_v1a1 as a QA database, remove
a) Use after-pregen
6)
File
I/O
the MTL3 dummy fill, then stream in
7) Modules
and
Packages
b) Pregen
should
be run before DRC/LVS (similar ESF340UMC, consult
8)
Classes
and
OOP
Khoe). DRC/LVS should be done on after-pregen DB
1.
Detect HV
and LV
nets
the following rules: (basically LV override HV)
9) Standard
Library:
os,as
subprocess
c) Run LVL
of thissys,
pfm_1180sl64kx32_v1a1
v.s. the DBs sent to eWave
a.
For aPDK
transistor:
Latest
was downloaded at
i.
If a net connected to only terminals of HV transistors, then it would have 2
/iplicense/cad/technology/esf1-180slt/opus61/designkit_r5.0.1/foundry
voltage levels: GND and HV -> it detect the net voltage depend on the mos
Voltage-Aware
type it connectDRC:
to ? HV metal/via spacing rules
ii. If a net connected to at least 1 terminal of LV transistors, then it would
have
voltage
levels:
GNDfoundry
and LV deck
Install2the
latest
DRC/LVS
b. For a resistor, if one end has LV level, then the other end will have 2
voltage
levels also: about
GND and
LV. Otherwise
of them
GND and HV
Update coversheet
pregen
differenceboth
between
r3.4have
and r5.0.1
c. For a cap, the voltage level is not propagated
Install new version of PDK (spice model + ruledeck) from foundry directory
Run LVS for the rest tapeout database by Interactive, no need command line a
Convert dtc_340u1024kx16_v3a2, dtc_340gf1024kx16_v0a0 to 40GFS
Generate array and Fix LVS check
Genrate ESF3-40UMC arrays
Review metal2 dummy missing in pfm_1180sl136kx8_v1a1
Create 3templates to generate ESF3-40GFS DTC arrays
Implement DRC/LVS deck
Install hspice models - esf345spicea2
Update pregen to support High-K Metal Gate Process
Update ESF3 to support HKMG array
Generate HKMG arrays and check LVS
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
Postponed
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
Quartz
SST.PDK
In.Planning
Low
Tri
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Khoe
PDK.Flow
NA
In.Planning
Normal
Khoe
PDK.Flow
Converted.Layout.Li In.Planning
Normal
Khoe
PDK.Flow
PDK.Flow
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Duong
PDK.Flow
PDK.QA
In.Planning
Normal
Thanh
DTC.Compiler
Layout.Compiler
In.Progress
Normal
Hoang
Pearl
DRC.Deck
Postponed
Normal
Thanh
Training
Programming
In.Planning
Normal
Hoang
Pearl
SST.PDK
In.Progress
Normal
Thanh
Quartz
DRC.Deck
Completed
Critical
Duong
Quartz
DRC.Deck
In.Progress
Critical
Duong
Quartz
DRC.Deck
In.Planning
Normal
Duong
Pearl
SST.PDK
In.Progress
Normal
Thanh
Pearl
SST.PDK
Completed
Normal
Thanh
Pearl
SST.PDK
Completed
Normal
Thanh
ESF3-40GFS
Converted.Schematic
Completed
Critical
Tri
ESF3-40GFS
Layout.Library
Completed
Critical
Khoe
Quartz
DRC.Deck
In.Planning
Normal
Tri
Pearl
SST.PDK
Completed
Normal
Thanh
Quartz
DRC.Deck
In.Progress
Normal
Tri
ESF3-40GFS
SST.PDK
Completed
Critical
Khoe
ESF3-40GFS
PDK.Install.Hspice
Completed
Critical
Khoe
ESF3-28UMC
Pregen.Deck
Completed
Critical
Khoe
PDK.Flow
Layout.Compiler
Completed
Critical
Khoe
ESF3-28UMC
Layout.Library
In.Progress
Critical
Khoe
Target
Actual
Comments
5/22/2015
5/15/2015
5/15/2015
5/15/2015
5/15/2015
5/29/2015
5/22/2015
5/18/2015
5/14/2015
5/20/2015
5/29/2015
5/18/2015
5/13/2015
5/13/2015
5/8/2015
5/8/2015
5/18/2015
5/14/2015
5/15/2015
5/12/2015
5/13/2015
5/13/2015
5/14/2015
5/15/2015
Task
pdk gen command
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Create DK r2.0
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement HV MOS pcells
Implement PDK compare_db command
Implement PDK port_db command
pdk create/update/install commands
Support drawing an array of VIAs in the ring
Update Python PDK development system
Use VSR router to route Array-->XDEC nets
Release DK r5.0.1 to DE teams
Python 2.7 Programming Language
Description
i. Implement HV LVS rule deck template.
ii. Implement python-based functions to parse Calibre rule desk files.
Implement HV + memory design rules
Install LV deck and implement HV LVS deck
Create
Techprimitive_devices
cells
i. Inputs:
pad cell, pad array info
(X*Y), test-line size, cell placement info
(each cell will have pin info), cell DR tables (i.e. a table from MOS compiler),
Using the
routing
infonew
(i.e.approach:
min metal width/spacing, if users do not enter then get
a) LV the
Cadence
library from foundry
from
tech file)
b)
HV Cadence library from SST
ii. Output:
Layout: cells are placed among the pads and routing is done between
pads and cell pins using Space-Base Router.
Supporting
pinaobjects
objects
defined
bycould
Cadence
Space-Base
Router,
Schematic:
simple (pin
schedule
(cell
symbol
be from
a fixed library,
should
generic term of Cadence)
such asbe
HVa library)
Layout/schematic
are clean LVS
Implement
HV MOS pcells
Compare two specified databases: SVS, LVL, LVS, cellname vs cellname
1.
Cadence aApplication
Infrastructure
1day
Implement
command-line
script to port
a database from a specified PDK to
a.
Cadence Library Structure
another
b.
Cadence the
Library
File
Implement
flowDefinition
of these commands
c. TechFile
PDK
QA command:
Support
drawing
anThanh/Khoe
array
VIAs (array
in the ring
d.
Ref:
0)
Install
Eclipse, python
2.7,ofPyDev
package.
1)
DRC: implement
missing
rules
rules etc.)
Support
unchecked
status.
1)
Variables,
Types
and Declarations
i.
/pkg/ic-6.1.6.500.9/doc/caiuser/caiuser.pdf
2)
Pregen:
add
pregen
step:
Generate
Test-patterns
forfilling
DRC
3)
controls:
if/then,
for/while,
break
2. Flow
Layout
Design:
- 1day
b) Should
not include
NNII (Nselect), LV18 (Thickox), ZNVT (Native), REST
4)
Functions
a.
Ref: /pkg/ic-6.1.6.500.9/doc/vxlhelp/vxlhelp.pdf
(Nwel_res),
NVT_HVT since they are now drawn layers
5) Regular Expression
b.
Lab:
/iplicense/tdcad/training/Virtuoso_Custom_IC_and_Sign3)
Verification:
6) File I/O
off_Flow/IC6.1_Front_to_Back_Overview
a) Use after-pregen
7) Modules
and Packagespfm_1180sl64kx32_v1a1 as a QA database, remove
3.
Schematic
1daystream in
the
MTL3
dummy
8) Classes and Design
OOP fill, then
a.
Ref:
/pkg/ic-6.1.6.500.9/doc/comphelp/comphelp.pdf
b) PregenLibrary:
shouldsys,
be os,
runsubprocess
before DRC/LVS (similar ESF340UMC, consult
9) Standard
b.
Lab: DRC/LVS
/iplicense/tdcad/training/Virtuoso_Custom_IC_and_SignKhoe).
should
be
done
after-pregen DB
To reduce the leakge of N+ DIFFon
resistors
off_Flow/IC6.1_Front_to_Back_Overview
c) Run LVL of this pfm_1180sl64kx32_v1a1 v.s. the DBs sent to eWave
Latest
PDK wasDescription
downloaded
at
4. Component
Format
1day
/iplicense/cad/technology/esf1-180slt/opus61/designkit_r5.0.1/foundry
a.
Ref: /pkg/ic-6.1.6.500.9/doc/cdfuser/cdfuser.pdf
5. Virtuoso Analog Design Environment 1day
a. Choosing the Design - Open the Schematic
b.
Displaying
the
Simulation
Window
Generate
array
and
Fix LVS check
c. Choosing a Simulator
Voltage-Aware
DRC: HV
metal/via spacing rules
d. Setting the Model
Path
e.
Setting
Environment
Options
Install
the Simulation
latest DRC/LVS
foundry deck
f. Setting Design Variables
Add
2 devices
nl11cap,
nl25cap into the library LibESF340UMC
g. Setting
Up your
Analysis
h.
Selecting
Datascript
to Save
and Plot
Update
antenna
to report
antenna gate area.
i. Running a Simulation
j. Plotting the Results
k. Ref: /pkg/ic-6.1.6.500.9/doc/adexl/adexl.pdf
6. Stream In/Out Verilog/Cdl/auCdl/hspiceD netlisting 1day
a. How the Netlister Works
b. How to run Verilog/Cdl/auCdl/hspiceD netlisters
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
Postponed
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
Quartz
SST.PDK
In.Planning
Low
Tri
PDK.Flow
Layout.Compiler
In.Progress
Normal
Khoe
PDK.Flow
Layout.Compiler
In.Progress
Normal
Khoe
PDK.Flow
Layout.Compiler
In.Reviewing Normal
Khoe
PDK.Flow
NA
In.Planning
Normal
Khoe
PDK.Flow
Converted.Layout.Li In.Planning
Normal
Khoe
PDK.Flow
PDK.Flow
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
Postponed
Normal
Duong
PDK.Flow
PDK.QA
In.Planning
Normal
Thanh
DTC.Compiler
Layout.Compiler
In.Progress
Normal
Hoang
Pearl
DRC.Deck
Postponed
Normal
Thanh
Training
Programming
In.Planning
Normal
Hoang
Pearl
Schematic.Library
Completed
Critical
Thanh
Pearl
SST.PDK
In.Planning
Normal
Thanh
Training
NA
Completed
Normal
Hoang
ESF3-28UMC
Layout.Library
Completed
Critical
Khoe
Quartz
DRC.Deck
In.Progress
Critical
Duong
Quartz
DRC.Deck
In.Planning
Normal
Duong
Quartz
DRC.Deck
Completed
Normal
Duong
Pearl
SST.PDK
Completed
Normal
Thanh
Pearl
SST.PDK
Completed
Normal
Thanh
Pearl
SST.PDK
In.Planning
Normal
Thanh
Pearl
SST.PDK
In.Planning
Normal
Thanh
Pearl
SST.PDK
In.Planning
Normal
Thanh
ESF3-40GFS
Converted.Schematic
In.Progress
Critical
Tri
ESF3-40GFS
Layout.Library
In.Progress
Critical
Khoe
Quartz
DRC.Deck
In.Planning
Normal
Duong
ESF3-28UMC
Pregen.Deck
Completed
Normal
Khoe
ESF3-40GFS
SST.PDK
Completed
Normal
Khoe
Target
Actual
Comments
5/22/2015
5/15/2015
5/15/2015
5/15/2015
5/15/2015
5/15/2015
5/29/2015
5/22/2015
4/23/2015
Low priority than updating the HV deck
5/7/2015
5/11/2015
5/15/2015
5/22/2015
5/5/2015
5/6/2015
5/7/2015
5/8/2015
5/13/2015
5/13/2015
5/8/2015
5/8/2015
5/15/2015
5/5/2015
5/5/2015
Task
pdk gen command
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Create DK r2.0
Develop a simple placement compiler
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement HV MOS pcells
Implement PDK compare_db command
Implement PDK port_db command
pdk create/update/install commands
Support drawing an array of VIAs in the ring
Update Python PDK development system
Update Schematic Convert tool to support SVS check
Use VSR router to route Array-->XDEC nets
Release DK r5.0.1 to DE teams
Update Semiconductor Training Program
Run tapeout flow for tc_1180sl64kx32_v1a2
Upgrade CONT_OPT_MOS compiler to support more requirements
Fundamentals of Electronic Design Automation
Python 2.7 Programming Language
Update device lib, DRC/LVS/PEX for the DK r3.4 and r5.x using the latest PDK
Fundamentals of Flash Memory Technology
Cadence Virtuoso Custom IC - IC6.1_Front_to_Back_Overview
Description
i. Implement HV LVS rule deck template.
ii. Implement python-based functions to parse Calibre rule desk files.
Implement HV + memory design rules
Install LV deck and implement HV LVS deck
Create Techprimitive_devices cells
thepad
new
approach:
i.Using
Inputs:
cell,
pad array info (X*Y), test-line size, cell placement info
a)
LV
Cadence
library
foundry
(each cell will have pinfrom
info),
cell DR tables (i.e. a table from MOS compiler),
b)
HV
Cadence
library
from
SST
routing
info
(i.e.
min
metal
width/spacing,
if users
not enter
then
To place many test lines together. This seems
to bedosimilar
to the
topget
from
the tech
file)array compiler now.
floorplan
of ESF3
ii. Output:
Layout: cells are placed among the pads and routing is done between
pads and cell pins using Space-Base Router.
Supporting
pinaobjects
objects
defined
bycould
Cadence
Space-Base
Router,
Schematic:
simple (pin
schedule
(cell
symbol
be from
a fixed library,
should
be
a
generic
term
of
Cadence)
such as HV library)
Layout/schematic
are clean LVS
Implement
HV MOS pcells
Compare two specified databases: SVS, LVL, LVS, cellname vs cellname
Implement a command-line script to port a database from a specified PDK to
another
Implement the flow of these commands
PDK
QA command:
Support
drawing anThanh/Khoe
array of VIAs in the ring
Support unchecked status.
Review and fix bugs if any
1)
DRC: implement
missing
Generate
Test-patterns
forrules
DRC (array rules etc.)
2)
addafter
pregen
step:
DoPregen:
SVS check
converting
a) Include MTL3 dummy filling
b) Should not include NNII (Nselect), LV18 (Thickox), ZNVT (Native), REST
(Nwel_res), NVT_HVT since they are now drawn layers
To be familiar with basic concepts in Electronic Design Automation:
3) Verification:
1. Logic design automation
a) Use
after-pregen
as a QA database, remove
0) Install
pythonpfm_1180sl64kx32_v1a1
2.7, PyDev package.
1.1.Eclipse,
Modeling
Update
Semiconductor
Training
Program
the
MTL3
dummy
fill,
then
stream
in
1) Variables,
Typesverification
and Declarations
1.2. Design
b) Pregen
should
betuple,
run before
DRC/LVS
(similar
2) Data
Structures:
list,
dictionary,
sequence,
set,ESF340UMC,
References consult
1.3.
Logic
synthesis
Run
tapeout
flow
for
tc_1180sl64kx32_v1a2
Khoe).
DRC/LVS
should
be
done
on
after-pregen
DB
3)
Flow
controls:
if/then,
for/while,
break
2. Physical design automation
4) Functions
c) Run
of this pfm_1180sl64kx32_v1a1 v.s. the DBs sent to eWave
2.1. LVL
Floorplanning
5)
Regular
Expression
2.2.CONT_OPT_MOS
Placement
Upgrade
compiler to support more requirements
6) File 2.3.
I/O Routing
7) Modules
and Packages
2.4. Physical
verification
8) Classes and OOP
9) Standard Library: sys, os, subprocess
a. Ref: /pkg/ic-6.1.6.500.9/doc/vxlhelp/vxlhelp.pdf
b. Lab: /iplicense/tdcad/training/Virtuoso_Custom_IC_and_Signoff_Flow/IC6.1_Front_to_Back_Overview
3. Schematic Design 1day
a. Ref: /pkg/ic-6.1.6.500.9/doc/comphelp/comphelp.pdf
Latest
PDK
was
downloaded
at
To Lab:
be familiar
with
basic concepts
in Flash Memory Technology:
b.
/iplicense/tdcad/training/Virtuoso_Custom_IC_and_Sign/iplicense/cad/technology/esf1-180slt/opus61/designkit_r5.0.1/foundry
1.
Floating
gate
transistor
off_Flow/IC6.1_Front_to_Back_Overview
2. Cross-point
memory array
4. Component
Description
Format 1day
3.
Flash
Cell
Basic
Operation:
Read/Erase/Program
a. Ref: /pkg/ic-6.1.6.500.9/doc/cdfuser/cdfuser.pdf
4. Flash Analog
MemoryDesign
Architecture
5. Virtuoso
Environment 1day
5.
Three
generations
of
Embedded
SuperFlash split gate cell
a. Choosing the Design - Open
the Schematic
b.
Displaying
the
Simulation
Window
Generate
array
and
Fix LVS check
c. Choosing a Simulator
Support
routing
offset
in X, fix bugs of creating routing cell
d. Setting
the Model
Path
e. Setting Simulation Environment Options
f. Setting Design Variables
g. Setting Up your Analysis
h. Selecting Data to Save and Plot
i. Running a Simulation
j. Plotting the Results
k. Ref: /pkg/ic-6.1.6.500.9/doc/adexl/adexl.pdf
6. Stream In/Out Verilog/Cdl/auCdl/hspiceD netlisting 1day
a. How the Netlister Works
b. How to run Verilog/Cdl/auCdl/hspiceD netlisters
c. Ref:
i. /pkg/ic-6.1.6.500.9/doc/ossref/ossref.pdf
ii. /pkg/ic-6.1.6.500.9/doc/transrefOA/transrefOA.pdf
Project.Code
PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
In.Progress
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
Quartz
SST.PDK
In.Planning
Low
Tri
PDK.Flow
Layout.Compiler
In.Planning
Low
Duong
PDK.Flow
Layout.Compiler
Postponed
Normal
Duong
PDK.Flow
Layout.Compiler
Postponed
Normal
Duong
PDK.Flow
Layout.Compiler
In.Reviewing Normal
Duong
PDK.Flow
NA
In.Planning
Normal
Khoe
PDK.Flow
Converted.Layout.Li In.Planning
Normal
Khoe
PDK.Flow
PDK.Flow
Postponed
Normal
Khoe
PDK.Flow
Layout.Compiler
In.Progress
Normal
Duong
PDK.Flow
PDK.QA
In.Planning
Normal
Thanh
PDK.Flow
Converted.Schematic
Completed
Normal
Tri
DTC.Compiler
Layout.Compiler
In.Planning
Normal
Hoang
Pearl
DRC.Deck
Postponed
Normal
Thanh
NA
NA
In.Planning
Normal
Thanh
Pearl
Tape.Out
Completed
Critical
Thanh
ESF3-28UMC
Layout.Compiler
Completed
Normal
Duong
Training
Semi.Tech
Completed
Normal
Hoang
Training
Programming
In.Planning
Normal
Hoang
Pearl
Schematic.Library
In.Progress
Critical
Thanh
Pearl
SPICE.Library
In.Planning
Normal
Thanh
Pearl
SST.PDK
In.Planning
Normal
Thanh
Training
NA
Completed
Normal
Hoang
Training
NA
In.Progress
Normal
Hoang
ESF3-28UMC
Layout.Library
In.Progress
Normal
Khoe
NA
Layout.Compiler
Completed
Normal
Khoe
Target
4/9/2015
5/4/2015
4/17/2015
4/17/2015
4/20/2015
4/13/2015
4/17/2015
4/17/2015
5/8/2015
4/22/2015
5/29/2015
5/15/2015
4/20/2015
4/17/2015
4/23/2015
4/22/2015
5/4/2015
4/23/2015
Actual
Comments
Task
Update DesignKit and coversheet document
Convert Schematic schdtc_328u256kx16_v2a0 from 40umc to 28umc
Install the new SPICE model
Update DK 3.4 to support Calibre DRC/LVS interactive, Fix CDL netlisting
Debug LVS problem
Build template for 28nm DTC array configuration
Linux Operating System
To be familiar with:
1. What Is Linux?
Description
2. Linux Directory Structure
3. Linux File Permissions
Update
DesignKit
coversheet
document
4. Wildcards
andand
Regular
Expressions
5. I/O Redirection
Convert
Schematic schdtc_328u256kx16_v2a0 from 40umc to 28umc
6. Environment Variables and Configuration: .cshrc, setenv
Install
the new
SPICE about:
model:
7. Basic
commands
uss/process/spice/splib/umc/ESF3-40/esf340spicea4
7.1 Command Handling: where, whereis, which, man, history, alias...
7.1 File Handling: mkdir, ls, cd, pwd, cp, mv, rm, find,vim,...
Update7.2
DKText
3.4Processing:
to supportcat,
Calibre
echo,DRC/LVS
grep, wc,interactive,
sort, sed... Fix CDL netlisting
7.3 System Administration: chmod, chown, su, passwd, who,...
Debug7.4
LVSProcess
problem
Management: ps, kill, top,...
7.5 Archival: tar, zip, unzip,...
Build template
for 28nm
DTC array configuration
7.6 Network:
ssh, scp,...
7.7 File Systems: du, df, quota,...
7.8 Version Management: cvs add/checkout/checkin/export/remove/import...
Project.Code
PDK.Component
Status
Priority
Owner
Quartz
DRC.Deck
Completed
Critical
Khoe
ESF3-28UMC
Converted.Schematic
Completed
Critical
Tri
Quartz
SPICE.Library
Completed
Critical
Duong
Pearl
SST.PDK
Completed
Critical
Khoe
Pearl
LVS.Deck
Completed
Critical
Thanh
ESF3-28UMC
Layout.Compiler
Completed
Critical
Duong
Training
Unix
Completed
Normal
Hoang
Target
4/13/2015
4/10/2015
4/9/2015
4/16/2015
4/16/2015
4/16/2015
4/18/2015
Actual
Comments
Task
Provide HV spines
Create Design Environment using PDK components from Foundry
Update PDK to follow current flow / structure (to transfer tapeout to design
team)
Create tapeout flow document so that design team can do tapeout
Update basic library for DIFF CD as per GFS requirement
pdk gen command
Add rule to check each chip pin has antenna diode
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Create DK r2.0
Develop a simple placement compiler
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement HV MOS pcells
Implement DK r1.0
Implement PDK compare_db command
Implement PDK port_db command
pdk create/update/install commands
Support drawing an array of VIAs in the ring
Update Python PDK development system
Update Schematic Convert tool to support SVS check
Use VSR router to route Array-->XDEC nets
VNDC new Req interview
Create LEF-able tech file
Description
Layout/schematic
are clean LVS
Implement
HV MOS pcells
ESF3-28UMC Full, the new approach
Compare two specified databases: SVS, LVL, LVS, cellname vs cellname
Implement a command-line script to port a database from a specified PDK to
another
Implement the flow of these commands
PDK
QA command:
Support
drawing anThanh/Khoe
array of VIAs in the ring
Support unchecked status.
Review and fix bugs if any
Generate Test-patterns for DRC
Do SVS check after converting
Use leftechgen.py.
3) Re-run
the tape-out
flow to after
generate
a newTo
GDS
formetal space violation,
Metal
dummy
space violation
IP merge:
avoid
pfm_1180sl64kx32_v1a1:
can SST make sure there is a 5um distance from the edge of IP merge ring? I
a) discussed
Copy /iplicense/users/bnguyen/proj/esf1have
this situation with our TDCAD team. It should not be a
180slt_eWave_bk/tapeout/03062015
to <your_wd>
problem to implement this spacing rule.
1) DRC:
implement
missing
rules
(array
rules etc.)
b) Edit run_pfm_1180sl64kx32_v1a1.csh
2)
Pregen:
add
c) at
Run
run_pfm_1180sl64kx32_v1a1.csh
to generate the after-pregen
Look
the
file:pregen step:
a)
Include
MTL3
dummy
filling
pfm_1180sl64kx32_v1a1.gds (located in
/iplicense/cad/technology/esf1b) Should not include NNII (Nselect), LV18 (Thickox), ZNVT (Native), REST
pfm_1180sl64kx32_v1a1/released/pfm_1180sl64kx32_v1a1_pregen_<date>
180slt/designkit_r3.4_calibredrcdeck_r1.1.0/esf1(Nwel_res),
NVT_HVT since they(check
are now
drawn
layers
.gds
180slt_sst_pregen_header.cal
layer
BULK_EXTENT_FILL)
3)
Verification:
4)
Run LVS between the new GDS in step #3 and the schematic DB
/iplicense/cad/technology/esf1a)
Use after-pregen pfm_1180sl64kx32_v1a1
asafter
a QAre-run
database,
remove
pfm_1180sl64kx32_v1a1
(included in your cds.lib
project
setup
180slt/designkit_r3.4_calibredrcdeck_r1.1.0/./esf1Implement
a
pregen
for
TC0
ATZ/Mikron
the
MTL3
dummy
fill,
then
stream
in
esf1-180slt DK r5.0.1) --> LVS should
clean using the standard LVS runset
180slt_sst_fill_cal_layer_ops.inc
(linesbe
354-357)
b)
Pregen
betorun
beforethe
DRC/LVS (similar ESF340UMC, consult
5)
Stream
in should
the GDS
replace
schpfm_355u256kx32_v1a0,schpfm_355u40kx36_v2a0
Khoe).
DRC/LVS should be done on after-pregen DB
$PDK_HOME/converted_lib/laypfm_1180sl64kx32_v1a1
Implement
a Skill
script
to size CONT, VIAx layers
and
drawsent
HVPB,
MOSN,
c)
Run LVL
ofwhole
this
pfm_1180sl64kx32_v1a1
v.s.
the
DBs
toofeWave
6)
Release
the
PDK
r5.0.1
again
to
Allen
(see
the
package
previous
MOSP, SBLK for 28umc layout database
release
/iplicense/cad/technology/esf1-180slt/release/20150308
Handle errors when no FG OPC
Implement HV DRC rule deck for 40umc
designkit_r3.4: Support running Calibre DRC/LVS deck in batch mode
Support extracting and comparing input and output GDS layer numbers
Support install spice model and extract device list from Mandan's excel file
Support running the latest DRC deck from pdkumc55eflash
Project.Code
PDK.Component
Status
Priority
Owner
ESF1-110LMC
NA
In.Planning
Normal
Nitya
Sapphire
SST.PDK
In.Planning
Critical
Nitya
Garnet
SST.PDK
In.Progress
Normal
Nitya
Garnet
Tape.Out
In.Progress
Normal
Nitya
Onyx
SST.PDK
In.Planning
Low
Nitya
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Pearl
DRC.Deck
In.Progress
Normal
Thanh
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
In.Planning
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
Quartz
SST.PDK
In.Planning
Low
Tri
PDK.Flow
Layout.Compiler
In.Planning
Low
Duong
PDK.Flow
Layout.Compiler
In.Progress
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Duong
PDK.Flow
Layout.Compiler
In.Progress
Normal
Duong
NA
SST.PDK
In.Progress
Critical
Khoe
PDK.Flow
NA
In.Planning
Normal
Khoe
PDK.Flow
Converted.Layout.Li In.Planning
Normal
Khoe
PDK.Flow
PDK.Flow
In.Progress
Normal
Khoe
PDK.Flow
Layout.Compiler
In.Planning
Normal
Khoe
PDK.Flow
PDK.QA
In.Planning
Normal
Thanh
PDK.Flow
Converted.Schematic
In.Progress
Critical
Tri
DTC.Compiler
Layout.Compiler
In.Progress
Normal
Khoe
NA
NA
Completed
Normal
Binh
Sapphire
LEF
In.Planning
Normal
Nitya
Pearl
SST.PDK
Completed
Normal
Thanh
Pearl
DRC.Deck
In.Planning
Normal
Thanh
Pearl
DRC.Deck
In.Planning
Normal
Thanh
Diamond
Pregen.Deck
Completed
Normal
Khoe
Quartz
Converted.Schematic
Completed
Normal
Tri
NA
Converted.Layout.Li In.Progress
Normal
Tri
NA
Layout.Compiler
Completed
Normal
Duong
Quartz
DRC.Deck
In.Progress
Normal
Duong
Pearl
DRC.Deck
Completed
Normal
Thanh
PDK.Flow
PDK.Flow
Completed
Normal
Khoe
PDK.Flow
PDK.Flow
Completed
Normal
Khoe
Jade
DRC.Deck
Completed
Normal
Khoe
Target
Actual
Comments
4/3/2015
4/5/2015
4/5/2015
4/5/2015
4/10/2015
4/10/2015
4/20/2015
4/3/2015
3/30/2015
4/15/2015
4/15/2015
4/3/2015
4/31/2015
4/3/2015
4/10/2015
3/31/2015
Khoe/Tri/Binh attended
4/30/2015
3/20/2015
4/20/2015
3/20/2015
3/23/2015
3/28/2015
3/25/2015
3/30/2015
3/26/2015
3/20/2015
3/27/2015
3/23/2015
Task
pdk gen command
Add rule to check each chip pin has antenna diode
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Create DK r2.0
Develop a simple placement compiler
Develop a test-line compiler
Develop XLS-based MOS transistor compiler
Implement HV MOS pcells
Implement DK r0.1
Implement DK r1.0
Implement DK r1.7.2
Implement DK r2.0
Implement LVS running script
Implement PDK compare_db command
Implement PDK port_db command
pdk create/update/install commands
Review the code
Support drawing an array of VIAs in the ring
Update Python PDK development system
Update Schematic Convert tool to support SVS check
Upgrade the tape-out flow to support QA checklist pass/fail report
Use VSR router to route Array-->XDEC nets
Add PTCH layer into the sample layout pfm_1180sl64kx32_v1a1
Description
i. Implement HV LVS rule deck template.
ii. Implement python-based functions to parse Calibre rule desk files.
Install LV deck and implement HV + memory design rules
Install LV deck and implement HV LVS deck
Create Techprimitive_devices cells
thepad
new
approach:
i.Using
Inputs:
cell,
pad array info (X*Y), test-line size, cell placement info
a)
LV
Cadence
library
foundry
(each cell will have pinfrom
info),
cell DR tables (i.e. a table from MOS compiler),
b)
HV
Cadence
library
from
SST
routing
info
(i.e.
min
metal
width/spacing,
if users
not enter
then
To place many test lines together. This seems
to bedosimilar
to the
topget
from
the tech
file)array compiler now.
floorplan
of ESF3
ii. Output:
Layout: cells are placed among the pads and routing is done between
pads and cell pins using Space-Base Router.
Supporting
pinaobjects
objects
defined
bycould
Cadence
Space-Base
Router,
Schematic:
simple (pin
schedule
(cell
symbol
be from
a fixed library,
should
be
a
generic
term
of
Cadence)
such as HV library)
Layout/schematic
are clean LVS
Implement
HV MOS pcells
ESF3-28UMC Front-End, the new approach
ESF3-40UMC
devices
ESF3-28UMC Full,
Full, 4pullback
the new approach
1) Use old approach, simple directory structure, customization DRC/LVS/PEX
2) Cadence lib: add 4 HV pull back devices: cellName = modelName =
{n,p}hpb{a,}sy. Update CDF
3) Update DRC/LVS
1) Use new approach, support PEX
4) Update SPICE per Mandana's email
2) Based on DK r1.8
Write a script to run LVS flow
Compare two specified databases: SVS, LVL, LVS, cellname vs cellname
Implement a command-line script to port a database from a specified PDK to
another
Implement the flow of these commands
1) Add PTCH to display, techfile, and layermap of DK r5.0.1
Hold a meeting to review the code
2)
Add
to the
2array
tape-out
layer
mapring
files and check in
PDK
QAPTCH
command:
Support
drawing
anThanh/Khoe
of VIAs
in the
/iplicense/cad/technology/esf1-180slt/designkit_r3.4_calibredrcdeck_r1.1.0/
Support unchecked status.
esf1-180slt_to_masklayers_ip_r3.4.2_opus61_2014-12-02.sout
Review and fix bugs if any
esf1-180slt_to_masklayers_tc_r3.4.2_opus61_2014-10-16.sout
Generate Test-patterns for DRC
Do SVS check after converting
3) Re-run the tape-out flow to generate a new GDS for
pfm_1180sl64kx32_v1a1:
Upgrade the tape-out flow to support QA checklist pass/fail report
a) Copy /iplicense/users/bnguyen/proj/esf1180slt_eWave_bk/tapeout/03062015 to <your_wd>
b) Edit run_pfm_1180sl64kx32_v1a1.csh
c) Run run_pfm_1180sl64kx32_v1a1.csh to generate the after-pregen
pfm_1180sl64kx32_v1a1.gds (located in
pfm_1180sl64kx32_v1a1/released/pfm_1180sl64kx32_v1a1_pregen_<date>
.gds
4) Run LVS between the new GDS in step #3 and the schematic DB
have discussed this situation with our TDCAD team. It should not be a
problem to implement this spacing rule.
1) DRC: implement missing rules (array rules etc.)
2)
Pregen:
Look
at theadd
file:pregen step:
a)
Include
MTL3 dummy filling
/iplicense/cad/technology/esf1b) Should not include NNII (Nselect), LV18 (Thickox), ZNVT (Native), REST
180slt/designkit_r3.4_calibredrcdeck_r1.1.0/esf1(Nwel_res),
NVT_HVT since they(check
are now
drawn
layers
180slt_sst_pregen_header.cal
layer
BULK_EXTENT_FILL)
3)
Verification:
/iplicense/cad/technology/esf1a)
Use after-pregen pfm_1180sl64kx32_v1a1 as a QA database, remove
180slt/designkit_r3.4_calibredrcdeck_r1.1.0/./esf1Implement
a pregen
TC0
ATZ/Mikron
the
MTL3 dummy
fill,for
then
stream
in 354-357)
180slt_sst_fill_cal_layer_ops.inc
(lines
b)
Pregen
should
be
run
before
DRC/LVS
(similar ESF340UMC, consult
schdtc_328u256kx16_v2a0,schdtc_328u256kx16_v1a0
Khoe). DRC/LVS should be done on after-pregen DB
schpfm_355u256kx32_v1a0,schpfm_355u40kx36_v2a0
c) Run LVL of this pfm_1180sl64kx32_v1a1 v.s. the DBs sent to eWave
Project.Code PDK.Component
Status
Priority
Owner
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Pearl
DRC.Deck
In.Planning
Normal
Khoe
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
In.Planning
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
Quartz
SST.PDK
In.Planning
Low
Tri
PDK.Flow
Layout.Compiler
In.Planning
Low
Duong
PDK.Flow
Layout.Compiler
In.Progress
Normal
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Duong
PDK.Flow
Layout.Compiler
In.Progress
Normal
Duong
NA
SST.PDK
Completed
Critical
Khoe
NA
SST.PDK
In.Progress
Critical
Khoe
Quartz
SST.PDK
Completed
Critical
Thanh
Quartz
SST.PDK
In.Planning
Normal
Khoe
Pearl
LVS.Deck
Completed
Critical
Khoe
PDK.Flow
NA
In.Planning
Normal
Khoe
PDK.Flow
Converted.Layout.Li In.Planning
Normal
Khoe
PDK.Flow
PDK.Flow
In.Progress
Normal
Khoe
PDK.Flow
PDK.Install.Hspice
Completed
Normal
Khoe
PDK.Flow
Layout.Compiler
In.Planning
Normal
Khoe
PDK.Flow
PDK.QA
In.Planning
Normal
Thanh
PDK.Flow
Converted.Schematic
In.Progress
Critical
Tri
PDK.Flow
Tape.Out
In.Planning
Normal
Khoe
DTC.Compiler Layout.Compiler
In.Progress
Normal
Khoe
Pearl
In.Progress
Normal
Thanh
SST.PDK
Pearl
DRC.Deck
In.Planning
Normal
Khoe
Pearl
DRC.Deck
In.Planning
Normal
Khoe
Diamond
Pregen.Deck
In.Planning
Normal
Khoe
NA
Converted.Schematic
Completed
Normal
Tri
Quartz
Converted.Schematic
In.Progress
Normal
Tri
Target
Actual
Comments
4/10/2015
4/5/2015
4/5/2015
4/5/2015
4/10/2015
4/10/2015
4/20/2015
3/27/2015
3/13/2015
3/17/2015
3/25/2015
3/16/2015
3/31/2015
3/16/2015
4/5/2015
4/5/2015
3/31/2015
3/16/2015
3/31/2015
3/20/2015
4/10/2015
3/31/2015
3/20/2015
3/20/2015
3/18/2015
3/20/2015
Task
Provide HV spines
Create Design Environment using PDK components from Foundry
Update basic library for DIFF CD as per GFS requirement
pdk gen command
Add rule to check each chip pin has antenna diode
Build DRC deck
Build LVS deck
Build the layout example to verify LVS deck
Check if stopLayer can be derived layer
Create DK r2.0
Develop a simple placement compiler
Develop XLS-based MOS transistor compiler
Implement DK r1.0
Implement DK r2.0
Implement PDK compare_db command
Install Foundry PDK
pdk create/update/install commands
Review the code
Support drawing an array of VIAs in the ring
Update Python PDK development system
Upgrade the tape-out flow to support QA checklist pass/fail report
Create LEF-able tech file
Add PTCH layer into the sample layout pfm_1180sl64kx32_v1a1
designkit_r3.4_calibredrcdeck_r1.1.0: Update DRC deck to ensure a 5um distan
Release DK r5.0.1 to DE teams
Description
Review
and
fix
bugs
if
any
can SST make sure there is a 5um
distance
from
thefor
edge of IP merge ring? I
pfm_1180sl64kx32_v1a1:
Generate
Test-patterns
for DRC
have
discussed
this
situation
with
our
TDCAD
team.
It
should
not be a
Upgrade
the/iplicense/users/bnguyen/proj/esf1tape-out flow to support QA checklist pass/fail
report
a)
Copy
1)
DRC: implement
missing
rules (array
problem
to implement
this spacing
rule.rules etc.)
180slt_eWave_bk/tapeout/03062015
to <your_wd>
Use
leftechgen.py.
2) Pregen:
add pregen step:
b)
Edit
run_pfm_1180sl64kx32_v1a1.csh
a) at
Include
MTL3 dummy filling
Look
the file:
c)
run_pfm_1180sl64kx32_v1a1.csh
generate ZNVT
the after-pregen
b) Run
Should
not include NNII (Nselect), LV18to(Thickox),
(Native), REST
/iplicense/cad/technology/esf1pfm_1180sl64kx32_v1a1.gds
(located
in
(Nwel_res),
NVT_HVT since they are now drawn layers
180slt/designkit_r3.4_calibredrcdeck_r1.1.0/esf1pfm_1180sl64kx32_v1a1/released/pfm_1180sl64kx32_v1a1_pregen_<date>
3)
Verification:
180slt_sst_pregen_header.cal
(check layer BULK_EXTENT_FILL)
.gds
a) Use after-pregen pfm_1180sl64kx32_v1a1 as a QA database, remove
/iplicense/cad/technology/esf14)
Run
LVSdummy
between
new
GDS in
the
MTL3
fill,the
then
stream
in step #3 and the schematic DB
180slt/designkit_r3.4_calibredrcdeck_r1.1.0/./esf1pfm_1180sl64kx32_v1a1
(included
in your cds.lib
re-run project
setup
b) Pregen should be run before(lines
DRC/LVS
(similarafter
ESF340UMC,
consult
180slt_sst_fill_cal_layer_ops.inc
354-357)
esf1-180slt
DK r5.0.1)
should
be clean using
Khoe). DRC/LVS
should-->
be LVS
done
on after-pregen
DB the standard LVS runset
5) c)
Stream
in the
GDSpfm_1180sl64kx32_v1a1
to replace the
Run LVL
of this
v.s. the DBs sent to eWave
$PDK_HOME/converted_lib/laypfm_1180sl64kx32_v1a1
6) Release the whole PDK r5.0.1 again to Allen (see the package of previous
release
/iplicense/cad/technology/esf1-180slt/release/20150308
Project.Code PDK.Component
Status
Priority
Owner
ESF1-110LMC NA
In.Planning
Normal
Nitya
Sapphire
SST.PDK
In.Planning
Critical
Nitya
Onyx
SST.PDK
In.Planning
Low
Nitya
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
Pearl
DRC.Deck
In.Planning
Normal
Khoe
Emerald
DRC.Deck
In.Planning
Normal
Tri
Emerald
LVS.Deck
In.Planning
Normal
Tri
Emerald
Layout.Library
In.Planning
Normal
Tri
PDK.Flow
PDK.Flow
In.Planning
Low
Khoe
Quartz
SST.PDK
In.Planning
Low
Tri
PDK.Flow
Layout.Compiler
In.Planning
Low
Duong
PDK.Flow
Layout.Compiler
In.Planning
Normal
Duong
NA
SST.PDK
In.Planning
Critical
Khoe
Quartz
SST.PDK
In.Planning
Normal
Khoe
PDK.Flow
NA
In.Planning
Normal
Khoe
NA
Foundry.PDK
In.Planning
Normal
Khoe
PDK.Flow
PDK.Flow
In.Planning
Normal
Khoe
PDK.Flow
PDK.Install.Hspice
In.Planning
Normal
Khoe
PDK.Flow
Layout.Compiler
In.Planning
Normal
Khoe
PDK.Flow
PDK.QA
In.Planning
Normal
Thanh
PDK.Flow
Tape.Out
In.Planning
Normal
Khoe
Sapphire
LEF
In.Planning
Normal
Nitya
Pearl
SST.PDK
In.Planning
Normal
Thanh
Pearl
DRC.Deck
In.Planning
Normal
Khoe
Pearl
DRC.Deck
In.Planning
Normal
Khoe
Target
Actual
Comments
4/10/2015
3/20/2015
3/20/2015
3/20/2015
4/10/2015
4/10/2015
3/13/2015
3/31/2015
3/20/2015
3/13/2015
3/31/2015
3/16/2015
3/31/2015
4/10/2015
4/30/2015
3/20/2015
Task
Review/update tape-out deliverables and flow
Description
Project.Code
NA
PDK.Component
NA
Status
In.Planning
Priority
Normal
Owner
Binh
Target
4/1/2015
Actual
Comments
Binh will work with TD/DE
Task
Provide Test Chip Pad ring layout
Provide SealRing layout
Debug and fix layout drawing problem
Install LV PEX deck
Update LVS deck to change RESM behavior
Introduce ESF3 layout compiler to TD team
Install a new version of probecell
Build DRC deck
Writing the user-guide and presentation document
Provide ESD layout
Build LVS deck
Build the layout example for each supported primitive device
Update DRC deck
Build unit-test and implement SPICE parser function
Implement scanner function for spice syntax
Create bond pad layout
Write the coversheet and designkit document
Debug and fix Schematic porting problem
Parse spice model files
Release the initial version
Update probepad to include MTL5
Tapeout KTM IPs
Help Vipin interview AE candidates
Convert WAT layout
Help Hieu to calculate DNWL area
Description
Project.Code
Coral
User can't create shapes in a layout view of a library with Coral
attached techfile
Coral
Support runset + customization file
Coral
RESM will only be used to mark MET4 resistor
Coral
Hold a meeting to introduce the ESF3 layout compiler
PDK.Flow
Import new layout GDS and change properties of probecell Coral
Implement HV + menory design rules
Diamond
Write ESF3 layout compiler user-guide document
PDK.Flow
Coral
Diamond
Diamond
Onyx
Build testcases and test parser function
PDK.Flow
PDK.Flow
Coral
Write a document to guide user
Diamond
Short circuit after porting 55GFS to pdkumc55eflash
PDK.Flow
Implement a parser function and return spice statements PDK.Flow
Wrap up data and send to Jack
Diamond
Sapphire
Garnet
NA
Pearl
Quartz
PDK.Component
Status
Layout.Library
Completed
Layout.Library
Completed
Device.Library
Completed
PEX.Deck
Completed
LVS.Deck
Completed
NA
Completed
Device.Library
Completed
DRC.Deck
Completed
Layout.Compiler
Completed
Layout.Library
Completed
LVS.Deck
Completed
Device.Library
Completed
DRC.Deck
Completed
PDK.Install.Hspice
Completed
PDK.Flow
Completed
Layout.Library
Completed
Cover.Sheet
Completed
Converted.Schematic.LCompleted
PDK.Install.Hspice
Completed
NA
Completed
Device.Library
Completed
Tape.Out
Completed
NA
Completed
Converted.Layout.Lib Completed
NA
Completed
Priority
Normal
Normal
Critical
Critical
Critical
Normal
Critical
Critical
Normal
Normal
Critical
Normal
Normal
Normal
Normal
Normal
Normal
Critical
Normal
Normal
Normal
Critical
Normal
Normal
Normal
Owner
Nitya
Nitya
Khoe
Khoe
Khoe
Duong
Khoe
Tri
Duong
Nitya
Tri
Tri
Nitya
Thanh
Thanh
Nitya
Tri
Tri
Thanh
Tri
Nitya
Nitya
Binh
Binh
Binh
Target
1/9/2015
1/15/2015
1/12/2015
1/9/2015
1/7/2015
1/7/2015
1/14/2015
1/7/2015
1/13/2015
1/14/2015
Actual
1/15/2015
1/15/2015
1/15/2015
1/15/2015
1/14/2015
1/12/2015
1/12/2015
1/11/2015
1/9/2015
1/7/2015
1/5/2015
1/7/2015
1/7/2015
1/14/2015
1/7/2015
1/15/2015
1/14/2015
1/15/2015
1/14/2015
1/14/2015
1/14/2015
1/14/2015
1/16/2015
1/16/2015
1/8/2015
Comments
Task
Build the layout example for each supported primitive device
Build LVS deck
Debug pcell problem in SHDC site
Update display.drf, techfile.tf, layermap files to add SST layers
Port schpfm_355gf512kx32_v1a0, schtc_355gf512kx32_v1a0
Build the layout example to verify LVS deck
Write the coversheet and designkit document
Implement HV rules
Build LVS deck
Implement HV DRC deck
Provide the list of parameters HV Pcells
Description
Project.Code
Diamond
Diamond
Amber
Emerald
Quartz
Emerald
Diamond
Diamond
Emerald
Emerald
PDK.Component
Status
Device.Library
Completed
LVS.Deck
Completed
Device.Library
Completed
Tech.Files
Completed
Converted.Schematic.LCompleted
Device.Library
In.Planning
Cover.Sheet
In.Planning
DRC.Deck
In.Progress
LVS.Deck
In.Progress
DRC.Deck
In.Planning
Priority
Normal
Critical
Normal
Normal
Normal
Normal
Normal
Normal
Normal
Normal
Owner
Tri
Tri
Tri
Tri
Tri
Tri
Tri
Tri
Tri
Tri
Tri
Target
1/7/2015
1/16/2015
1/13/2015
1/9/2015
1/16/2015
1/16/2015
Actual
1/7/2015
1/5/2015
12/25/2014
12/18/2014
12/11/2014
Comments
Customer
Tech
PM
Code
Prio
PDK
340 ND
GFS
SLT
UMC
355 YH
Garnet
1130 LC
1180 LC
340 ND
355 WY
Onyx
Pearl
Quartz
355PDK WY
328
Jade
i. Installed the New early
LF11A-PDK Release
(Version 0.0.15) Tri Le
LFR, LFA
3110 XL
ATZ
TI
390 JS
365 JH
Diamond
Zircon
Mikron
NationZ
SMIC
390 JS
KL
Emerald
Amber
Creating PDK [Tri Le
12/19]
Creating PDK [Tri Le
12/12]
XMC
355 XL
Coral
Sapphire
Opal
Ruby
Toshiba
Lapis
Renesas
365 YH
2130 LC
3110 YH
PDK QA
Sent the report for
verifying the
correctness of all
listed PDKs.
Wrote/Updated the
development
document
Generic
Flow
Misc
Color code
Critical
Normal
Low priority
In-progress
Done
MISCELLANEOUS
HR training for VN team (Khoe, Tri, Thanh, Duong)
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
Scripts
Tech files
Device lib
Updated
symbol library
to add new
cell
cell2t25_leti[
Nitya]
Reviewed
Discrepancy
between
umc55eflash/
N_12_LPNVT
and design
rule of
NT.W1 [Tri
11/28]
Gate lib
Create new PDK based on new approach {LV library from foundry
SST} [Nitya]
Sche Lib
Lay Lib
SPICE lib
Pregen deck
Update basic
library for
Update basic library for DIFF
DIFF CD as
CD as per GFS
per GFS
requirement[Nitya]
requirement[
Nitya]
DRC deck
Tri/Binh]
ng DRC/LVS/PEX runset
ii. Implementing a pregen to
automatically fix the
following DRC violations:
Thanh/Khoe [11/28]
LVS deck
PEX deck
Csdoc
Release
updated
coversheet
for TC2
Dkdoc
Tapeout
Converted Converted
layout
schematic
Foundry
PDK
Misc
Tapeout
Updated
Scaleochip[Ni
tya - 11/14 Done]
Tapeout
Updated
Scaleochip IPs
[Nitya 12/05]
Provide
DRC/LVS
environment
information[Ni
tya]
Tapeout
Testchip after
addition of CG
ring to the
array[Nitya]
Provide Ip
Merging
procedure to
Xian to be
sent to
XMC[Nitya]
Release
updated DK
document for
TC2[Nitya]
Re-Tapeout
TC1 WAT
databases[Nit
ya 11/07]
AI
Customer
Tech
PM
Code
Prio
340 ND
355 YH
Garnet
GFS
1130 LC
Onyx
SLT
1180 LC
Pearl
340 ND
355 WY
UMC
LFR, LFA
ATZ
TI
Mikron
NationZ
SMIC
355PDK WY
328
3110 XL
390 XL
365 JH
390 JS
KL
Quartz
Jade
Amber
Diamond
Zircon
Emerald
XMC
355 XL
Coral
Sapphire
Opal
Ruby
Toshiba
Lapis
Renesas
365 YH
2130 LC
3110 YH
Generic Flow
Color code
Critical
Normal
Low priority
In-progress
Done
MISCELLANEOUS
HR training for VN team (Khoe, Tri, Thanh, Duong)
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
PDK
Verified
netlisting/simulation/DRC
[Thanh/Duong/Khoe 11/06]
Create DK r.16: added 3
devices 2.5V overide to 3.3V
[Tri 11/06]
Created PDK r1.1 [Tri/Binh
11/07]
Completed phase 1
including 9 functions
[Thanh/Duong 10/31]
Applying PDK QA for 10
technologies [Thanh 11/31]
Scripts
Tech files
Device lib
Gate lib
Sche Lib
Lay Lib
SPICE lib
Pregen deck
DRC deck
LVS deck
PEX deck
Csdoc
Dkdoc
Tapeout
Converted layout
Converted schematic
Tapeout Updated
Scaleochip[Nitya - 11/14]
Foundry PDK
Misc
AI
Customer
Tech
PM
Code
Prio
340 ND
355 YH
Garnet
GFS
1130 LC
Onyx
SLT
1180 LC
Pearl
340 ND
355 WY
UMC
355PDK WY
328
LFR, LFA
ATZ
TI
Mikron
NationZ
SMIC
3110 XL
390 XL
365 JH
390 JS
KL
Quartz
Jade
Amber
Diamond
Zircon
Emerald
XMC
355 XL
Coral
Sapphire
Opal
Ruby
Toshiba
365 YH
2130 LC
3110 YH
Lapis
Renesas
Generic Flow
Color code
Critical
Normal
Low priority
In-progress
Done
MISCELLANEOUS
HR training for VN team (Khoe, Tri, Thanh, Duong)
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
PDK
Working on PDK QA
[Thanh/Duong 10/23]
Scripts
Tech files
Device lib
Gate lib
Sche Lib
Lay Lib
SPICE lib
Pregen deck
DRC deck
LVS deck
PEX deck
Csdoc
Dkdoc
Tapeout
Converted layout
Converted schematic
Foundry PDK
Misc
Communicate with GFS on how
to check missing DRC rule and
discuss about gaps in LVS
deck[Nitya - 10/20]
Send LVS deck discrepancies
summary and gds to GFS
[Nitya - 10/17]
Create new ESD VPP pad
package to send to
SilTerra[Nitya - 10/20]
AI
Customer
Tech
PM
Code
Prio
340 ND
355 YH
Garnet
GFS
1130 LC
Onyx
SLT
1180 LC
340 ND
Pearl
Quartz
355 WY
UMC
LFR, LFA
ATZ
TI
Mikron
NationZ
SMIC
XMC
355PDK WY
328
3110 XL
390 XL
365 JH
390 JS
KL
355 XL
Jade
Amber
Diamond
Zircon
Emerald
Coral
Sapphire
Opal
Ruby
Toshiba
Lapis
Renesas
365 YH
2130 LC
3110 YH
Generic Flow
Color code
Critical
Normal
Low priority
In-progress
Done
MISCELLANEOUS
Training for Duong (SKILL), Thanh (Python)
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
PDK
Working on PDK QA
[Thanh/Duong 10/23]
Scripts
Tech files
Device lib
Gate lib
Sche Lib
Lay Lib
SPICE lib
Pregen deck
DRC deck
LVS deck
PEX deck
Csdoc
Dkdoc
Tapeout
Re-Tapeout Bias and PFM
databases for 32kx72 [Nitya 09/29]
Converted layout
Converted schematic
Nitya - 10/01
Built a script to size gates
[Binh 10/03]
Foundry PDK
Misc
Send reports and testcases to
GFS to support analysis of
DRC/LVS deck issue[Nitya 10/09]
AI
Customer
Tech
PM
Code
Prio
340 ND
355 YH
Garnet
GFS
1130 LC
Onyx
SLT
1180 LC
Pearl
340 ND
355 WY
355PDK WY
UMC
LFR, LFA
ATZ
TI
Mikron
NationZ
Quartz
Jade
328
3110 XL
390 XL
365 JH
390 JS
Amber
Diamond
Zircon
Emerald
KL
SMIC
XMC
355 XL
Coral
Toshiba
365 YH
2130 LC
3110 YH
Lapis
Renesas
Sapphire
Opal
Ruby
Generic Flow
Color code
Critical
Normal
Low priority
In-progress
Done
MISCELLANEOUS
Training VNDC TD-CAD new hire
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
PDK
Scripts
Tech files
Device lib
Gate lib
Sche Lib
Nitya - TBD
Nitya -Done [09/21]
Lay Lib
SPICE lib
Pregen deck
DRC deck
LVS deck
PEX deck
Reviewed PSUB/HPWL
connection
Csdoc
Dkdoc
Tapeout
Tapeout Bias and PFM
databases for 32kx72 [Nitya 09/24]
Create IP Tapeout Layer map
file[Nitya - 08/27]
Converted layout
Converted schematic
Foundry PDK
Install GFS PDK [Tri 08/29]
Nitya - 09/26
Misc
AI
Customer
Tech
PM
Code
340 ND
355 YH
Garnet
GFS
1130 LC
Onyx
SLT
1180 LC
Pearl
340 ND
355 WY
355PDK WY
UMC
LFR, LFA
Quartz
Jade
328
3110 XL
Amber
ATZ
TI
390 XL
365 JH
Diamond
Zircon
Mikron
NationZ
SMIC
390 JS
KL
Emerald
Prio
XMC
355 XL
Coral
365 YH
Sapphire
Toshiba
Lapis
Renesas
2130 LC
3110 YH
Opal
Ruby
Generic Flow
Color code
Critical
Normal
Low priority
In-progress
Done
MISCELLANEOUS
Interviewed candidates for TD-CAD
Training VNDC TD-CAD new hire
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
Data organization
WAT generators (sch/lay)
Mini array generators
/iplicense/users/bnguyen/pdk_dev/tdcad/scripts/perl/replaceLayerInTechFile.pl --- update display file a
when hspiceD netlisting, the libraies are included in the output netlist automatically
required TechDevices_primitives in DRC/LVS development
EM flow
micro pad placement
Ask foundry to adop LVSS, STRP
PDK
Scripts
Tech files
Device lib
Upgraded callback
invocation [Tri Le
08/14]
Gate lib
Cleaning up the
PDK r1.0 to ship
to XMC [Khoe
08/08]
Deliver front end DK r1.0 to
XMC [Khoe 08/14]
Nitya - TBD
Nitya - TBD
Sche Lib
Lay Lib
SPICE lib
Pregen deck
Fixed
netlisting
issue of *mos
[Khoe 08/14]
Generating mini array
Verified
[Khoe/Tri 08/25]
verilog
netlisting for
diode [Khoe
08/14]
Nitya - TBD
Initial version
ready and used in
DRC verification
[ Nitya 08/15]
Demo to DE[Nitya - T
DRC deck
LVS deck
PEX deck
Csdoc
Create and
release doc for
Rev1.0 [Nitya 08/15]
Dkdoc
Tapeout
Converted
layout
Converted
schematic
Ported 2 TC
layout DBs
[Khoe Tran
08/14]
Ported 2 TC
schematic DBs
[Tri Le 08/14]
Nitya - TBD
Nitya - TBD
Foundry PDK
Misc
AI
Customer
Tech
PM
Code
Prio
PDK
Scripts
340 ND
GFS
355 YH
1130 LC
Garnet
Onyx
SLT
1180 LC
Pearl
340 ND
355 WY
355PDK WY
UMC
LFR, LFA
Quartz
Jade
328
3110 XL
Amber
ATZ
TI
390 XL
365 JH
Diamond
Zircon
Mikron
NationZ
SMIC
390 JS
KL
Emerald
XMC
355 XL
Toshiba
Lapis
Renesas
365 YH
2130 LC
3110 YH
Coral
Sapphire
Opal
Ruby
Cleaning up the
PDK r1.0 to ship
to XMC [Khoe
08/08]
Generic Flow
Color code
Critical
Normal
Low priority
In-progress
Done
MISCELLANEOUS
Interviewed candidates for TD-CAD
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
Tech files
Device lib
Gate lib
Sche Lib
Lay Lib
SPICE lib
Pregen deck
DRC deck
LVS deck
Debugging MC simulation
with Mandana [Binh 08/07]
Installed new SPICE lib [Tri
08/07]
Create Pregen
Create DRC Deck[Nitya
Deck[Nitya 08/08] 08/08]
Create LVS
Deck[Nitya 08/22]
Demo new
scripts of
pregen/DRC/LVS/
Tapeout to DE
team [Nitya
TBD]
PEX deck
Csdoc
Dkdoc
Tapeout
Update
procedure for
unix
system[Nitya]
Done PEX for LV
[Khoe/Binh 07/23]
Added PEX for HV
[Binh 08/08]
Converted
layout
Converted
schematic
Foundry PDK
Install GFS PDK [Tri
08/15]
Misc
AI
Customer
Tech
PM
Code
340 ND
GFS
355 YH
1130 LC
Garnet
Onyx
SLT
1180 LC
Pearl
340 ND
355 WY
355PDK WY
UMC
LFR, LFA
Quartz
Jade
328
3110 XL
Amber
ATZ
TI
390 XL
365 JH
Diamond
Zircon
Mikron
390 JS
Emerald
Prio
PDK
Scripts
NationZ
SMIC
KL
XMC
355 XL
Coral
Sapphire
Opal
Ruby
Cleaning up the
PDK r1.0 to ship
to XMC [Khoe
08/08]
Toshiba
Lapis
Renesas
365 YH
2130 LC
3110 YH
Generic Flow
Color code
Critical
Normal
Low priority
In-progress
Done
MISCELLANEOUS
Hired 1 VN TD-CAD
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
when hspiceD netlisting, the libraies are included in the output netlist automatically
required TechDevices_primitives in DRC/LVS development
EM flow
micro pad placement
Ask foundry to adop LVSS, STRP
Tech files
Device lib
Gate lib
Sche Lib
Lay Lib
Supported via
object [Khoe
07/17]
SPICE lib
Pregen deck
DRC deck
Install updated
spice library[Nitya07/15]
Rev up spicea3
[Binh 08/08]
Debugging MC
simulation with
Mandana [Binh
TBD]
LVS deck
Corrected
configuration per
Cornell's feedback
[Tri 07/30]
Create Pregen
Create DRC Deck[Nitya
Deck[Nitya 08/08] 08/08]
Create LVS
Deck[Nitya 08/22]
Demo new
scripts of
pdk gen: completed HV
pregen/DRC/LVS/
DRC template [Khoe
Tapeout to DE
07/30]
team [Nitya
TBD]
PEX deck
Csdoc
Dkdoc
Tapeout
Update
procedure for
unix
system[Nitya]
Update DK
Document[Nity
a - 07/11]
Released the tape-out data of
dtc4m_340u_v4a1,
dtc4m_340u_v5a1, and
dtc4m_340u_v6a1, verified with
LVL [Khoe]
Added HSPICE
flow [Tri 07/24]
Created and
released initial
version[Nitya 07/09]
Converted
layout
Converted
schematic
Foundry PDK
Removed
redudant user
param simM
[Khoe/Binh
078/01]
Implemented
"Rename
Reference
Library" [Khoe
07/24]
Misc
AI
Customer
Tech
PM
Prio
Scripts
340 ND
355 YH
GFS
1130 LC
SLT
1180 LC
340 ND
355 WY
355PDK
UMC
LFR, LFA
AST
TI
Mikron
NationZ
SMIC
328
3110 XL
390 XL
365 JH
390
KL
Tech files
XMC
Saphire
365
Generic Flow
Completed
"pdk map"
and update
"pdk add",
"pdk commit"
[Khoe 07/03]
Misc
Color code
Critical
Normal
Low priority
In-progress
Done
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Support LEF generation
markNet
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
Device lib
Gate lib
Sche Lib
Lay Lib
SPICE lib
Update spice
library based on a9
version from
Mandana[Nitya 06/13]
done by pregen
Created a
script to port
55GFS
schematic
DBs to
55XMC [Khoe
Pregen deck
DRC deck
LVS deck
PEX deck
Involved in
discussion between
DE Team and GFS
regarding LVS
verification of
DNWL(extra terminal
for DNWL) - [Nitya]
Update DRC deck[Nitya 07/11]
Add PEX, after
UMC40 tape-out
[Binh]
Create pregen
deck [Tri TDB]
Created pregen
decks for TC0 WAT
Create LVS deck that Create PEX deck, use
and DTC[Nitya Create DRC deck that invokes
invokes pre-gen
the share pre-gen
06/30]
pre-gen [Khoe 07/07]
[Khoe 07/14]
[Nitya - TBD]
Created pregen
deck [Khoe 07/02]
Batch mode
script to run
Pregen
Review with
Binh &
Henry[Nitya
06/19]
Demo to
DE[Nitya 07/11]
Csdoc
Dkdoc
Tapeout
Update DK
Document[Nity
a - 07/11]
Taped out TC1 [Khoe/Binh
06/30]
Converted Converted
layout
schematic
Binh 07/14
Foundry PDK
Misc
AI
Customer
Tech
PM
Prio
Scripts
340 ND
GFS
355 YH
1130 LC
SLT
1180 LC
340 ND
355 WY
355PDK
UMC
LFR, LFA
AST
TI
Mikron
NationZ
SMIC
328
3110 XL
390 XL
365 JH
390
KL
XMC
Saphire
365
Tech files
Generic Flow
Misc
Color code
Critical
Normal
Low priority
In-progress
Done
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Support LEF generation
markNet
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
Device lib
Gate lib
Sche Lib
Lay Lib
SPICE lib
Created GUI
utility to copy
symbol/verilog
views [Tri 06/26]
done by pregen
Pregen deck
DRC deck
LVS deck
PEX deck
Create pregen
deck [Khoe/Binh
07/07]
Csdoc
Dkdoc
Tapeout
Binh 06/30
Converted Converted
layout
schematic
Foundry PDK
Misc
AI
Customer
Tech
PM
Prio
Scripts
Tech files
340 ND
GFS
355 YH
1130 LC
SLT
1180 LC
340 ND
355 WY
UMC
LFR, LFA
AST
TI
Mikron
NationZ
SMIC
355PDK
3110 XL
390 XL
365 JH
390
KL
XMC
Copy from
Generic Flow
Misc
Color code
Critical
Normal
Low priority
In-progress
Done
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Support LEF generation
markNet
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
Device lib
Gate lib
Sche Lib
Lay Lib
SPICE lib
done by pregen
Pregen deck
DRC deck
LVS deck
PEX deck
Csdoc
Dkdoc
Tapeout
Converted Converted
layout
schematic
Convert TC
DB and mini
array [Tri
06/17]
Khoe/Binh
06/18
Binh 06/30
Foundry PDK
Misc
AI
Customer
Tech
PM
Prio
Scripts
Tech files
340 ND
GFS
355 YH
1130 LC
SLT
1180 LC
340 ND
355 WY
UMC
355PDK
LFR, LFA
AST
TI
Mikron
NationZ
SMIC
3110 XL
390 XL
365 JH
390
KL
XMC
Copy from
Generic Flow
Misc
Color code
Critical
Normal
Low priority
In-progress
Done
TD-CAD Methodology
Device lib
Gate lib
Sche Lib
Lay Lib
SPICE lib
done by pregen
Pregen deck
DRC deck
LVS deck
PEX deck
Csdoc
Dkdoc
Tapeout
Converted Converted
layout
schematic
Convert TC
DB and mini
array [Tri
06/17]
Binh 06/15
Binh 06/30
Foundry PDK
Misc
AI
Customer
Tech
PM
Prio
Scripts
Tech files
340 ND
GFS
355 YH
1130 LC
SLT
1180 LC
340 ND
355 WY
UMC
LFR, LFA
AST
TI
Mikron
NationZ
SMIC
355PDK
3110 XL
390 XL
365 JH
390
KL
XMC
Copy from
Script to
generate
layermap for
Calibredrv
[Khoe 06/09]
Generic Flow
Misc
Color code
Critical
Normal
Low priority
In-progress
Done
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Support LEF generation
markNet
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
Device lib
Gate lib
Sche Lib
Lay Lib
Re-generate 9 arrays to
updated base cells [Tri
06/11]
SPICE lib
done by pregen
Pregen deck
Updated layers
[Khoe 06/11]
DRC deck
LVS deck
PEX deck
Script to run
Pregen [Nitya
06/03]
Csdoc
Dkdoc
Tapeout
Converted Converted
layout
schematic
Convert TC
DB and mini
array [Tri
06/17]
Binh 06/15
Binh 06/30
Foundry PDK
Misc
AI
Customer
Tech
PM
Prio
Scripts
Tech files
340 ND
355 YH
GFS
SLT
1130 LC
1180 LC
340 ND
UMC
LFR, LFA
AST
TI
Mikron
NationZ
SMIC
XMC
Generic Flow
Misc
355 WY
3110 XL
390 XL
365 JH
390
KL
Copy from
Color code
Critical
Normal
Low priority
In-progress
Done
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Support LEF generation
markNet
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
Device lib
Gate lib
Sche Lib
Lay Lib
SPICE lib
Re-generate 6 arrays to
fix right WL termination
[Tri 06/06]
Added
RNPPO_LR_EF_UCF
N to DK r0.2 [Binh
06/04]
Added 2T cell
model and
sstlib.00 [Binh
05/22]
Update IP Merging Ring
according to Tiempo
request [Nitya]
done by pregen
Pregen deck
DRC deck
LVS deck
PEX deck
Check APMOM
extraction[Nitya
05/30]
[Khoe/Binh 06/04]
1) Dummy filling
2) Antenna
3) ESD
4) Die Seal
5) Pregen
6) Dryrun
Script to run
Pregen [Nitya
06/03]
Csdoc
Dkdoc
Tapeout
Update doc
[Binh]
Added UMC
manual set
[Binh 05/30]
Binh 06/15
Binh 06/30
Converted Converted
layout
schematic
Foundry PDK
Misc
Installed pdkumc55flash:
release test cases
DRC/LVS/PEX plus layout
DB conversion [tri/Binh
06/11]
Worked with Xian and Liz to approve Tiempo
proposal of merging ring modification [NityaDone 06/03]
a. Fab is not ready
b. Logic development begins in Q4 2014 may
involve us
AI
Customer
Tech
PM
Prio
Scripts
Tech files
Device lib
340 ND
GFS
SLT
355 YH
1130 LC
1180 LC
340 ND
UMC
LFR, LFA
355 WY
3110 XL
AST
TI
390 XL
365 JH
Mikron
NationZ
SMIC
390
KL
XMC
Copy fro
Generic Flow
Misc
Color code
Critical
Normal
Low priority
In-progress
Done
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Support LEF generation
markNet
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
Gate lib
Sche Lib
Lay Lib
SPICE lib
Pregen deck
Create pregen
deck [Khoe 06/15]
Script to run
Pregen [Nitya
06/03]
DRC deck
LVS deck
PEX deck
Csdoc
Check APMOM
extraction[Nitya
05/30]
[Khoe/Binh 06/04]
1) Dummy filling
2) Antenna
3) ESD
4) Die Seal
5) Pregen
Dkdoc
Tapeout
Converted Converted
layout
schematic
Foundry PDK
Update doc
[Binh]
Installed pdkumc55flash:
release test cases
DRC/LVS/PEX plus layout
DB conversion [tri/Binh
05/16]
Binh 06/30
Misc
AI
Customer
Tech
PM
Prio
Scripts
340 ND
GFS
SLT
355 YH
1130 LC
1180 LC
340 ND
UMC
LFR, LFA
355 WY
3110 XL
AST
TI
390 XL
365 JH
Mikron
NationZ
SMIC
390
KL
XMC
Generic Flow
Misc
Color code
Critical
Normal
Low priority
In-progress
Tech files
Device lib
Done
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Support LEF generation
markNet
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
Gate lib
Sche Lib
Lay Lib
SPICE lib
Pregen deck
Script to run
Pregen [Nitya]
DRC deck
LVS deck
PEX deck
[Khoe/Binh 05/21]
1) Dummy filling
2) Antenna
3) ESD
4) Die Seal
5) Pregen
Csdoc
Dkdoc
Tapeout
Converted Converted
layout
schematic
Foundry PDK
Update doc
[Binh]
Installed pdkumc55flash:
release test cases
DRC/LVS/PEX plus layout
DB conversion [tri/Binh
05/16]
Misc
AI
Customer
Tech
PM
Prio
Scripts
Tech files
340 ND
LEF Gen
[Khoe/Binh]
GFS
355 YH
1130 LC
SLT
1180 LC
340 ND
UMC
LFR, LFA
355 WY
3110 XL
AST
390 XL
TI
365 JH
Mikron
NationZ
SMIC
390
KL
XMC
Misc
Color code
DK 1.4 including
Klayout layer map,
markNet [Khoe
04/23]
Critical
Normal
Low priority
In-progress
Done
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Support LEF generation
markNet
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
Device lib
DK 1.4 including
sample device layout
and 2 resistor PCELL
Gate lib
Sche Lib
Lay Lib
Re-generate 6
arrays [Tri 05/13]
SPICE lib
Pregen deck
DRC deck
1st release
[Khoe 05/02]
LVS deck
Dkdoc
Update doc
[Binh]
Tapeout
Release pregen
deck and tapeout
flow to Design Team
[Nitya 04/2]
Taped out Linear
and Scaleo [Henry
04/21]
Converted Converted
layout
schematic
Foundry PDK
Installed pdkumc55flash:
release test cases
DRC/LVS/PEX plus layout
DB conversion [tri/Binh
05/16]
Installed V0.0.8 [Tri
04/15]
Misc
AI
a. Logic development
is on-going
b. Kick-off June
2014
c. TC0 T/O ~ July
d. TC1 T/O ~
November
Customer
Tech
PM
Prio
Scripts
Tech files
340 ND
LEF Gen
[Khoe/Binh]
GFS
SLT
355 YH
1130 LC
1180 LC
340 ND
UMC
LFR, LFA
355 WY
3110 XL
AST
390 XL
TI
365 JH
Mikron
NationZ
SMIC
390
KL
XMC
Misc
Color code
Critical
Normal
Low priority
In-progress
Done
DK 1.4 including
Klayout layer map,
markNet [Khoe
04/23]
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Support LEF generation
markNet
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
Device lib
DK 1.4 including
sample device layout
and 2 resistor PCELL
Gate lib
Sche Lib
Lay Lib
SPICE lib
Pregen deck
DRC deck
1st release
[Khoe 05/02]
LVS deck
Tapeout
Release pregen
deck and tapeout
flow to Design Team
[Nitya 04/2]
Taped out Linear
and Scaleo [Henry
04/21]
Converted Converted
layout
schematic
Foundry PDK
Installed pdkumc55flash,
converted 2 sche DBs for
simulation [tri/Binh
05/01]
Installed V0.0.8 [Tri
04/15]
Installed [Tri]
Misc
Install GFS Cadence lib [Nitya]
AI
Customer
Tech
PM
Prio
Scripts
Tech files
340 ND
LEF Gen
[Khoe/Binh]
355 YH
1130 LC
1180 LC
GFS
SLT
DK 1.4 including
Klayout layer map,
markNet [Khoe
04/23]
340 ND
UMC
355 WY
LFR, LFA
3110 XL
AST
390 XL
TI
365 JH
Mikron
NationZ
SMIC
390
KL
XMC
Misc
Color code
Critical
Normal
Low priority
In-progress
Done
TD-CAD Methodology
PDK flow: pregen first, then DRC [Khoe]
QA and Release flow [Khoe/Binh]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Support LEF generation [Binh to feedback Rodger/Pixy/Alice 12/27]
markNet
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
Device lib
DK 1.4 including
sample device layout
and 2 resistor PCELL
Gate lib
Sche Lib
Lay Lib
SPICE lib
Pregen deck
DRC deck
1st release
[Khoe 05/02]
LVS deck
Release DK r1.4 to
sync with FDK
ver.A11 [Khoe
Add NH1, NHZ1
04/23]
[Khoe 05/7]
Add MV rules [Nitya
05/09]
Tapeout
Release pregen
deck and tapeout
flow to Design Team
[Nitya 04/2]
Taped out Linear
and Scaleo [Henry
04/21]
Converted Converted
layout
schematic
Foundry PDK
Installed pdkumc55flash,
converted 2 sche DBs for
simulation [tri/Binh
05/01]
Installed V0.0.8 [Tri
04/15]
Installed [Tri]
Misc
Install GFS Cadence lib [Nitya]
AI
Customer
Tech
PM
Prio
Scripts
Tech files
340 ND
355 YH
GFS
1130 LC
SLT
1180 LC
340 ND
UMC
LFR, LFA
AST
TI
Mikron
NationZ
SMIC
355 WY
3110 XL
390 XL
365 JH
390
KL
XMC
Color code
Critical
Normal
Low priority
In-progress
Done
TD-CAD Methodology
PDK flow: pregen first, then DRC
QA and Release flow [Binh ?]
Device construction should be fixed, foundry interface should be done by pregen
Foundry PDK utilization
Support LEF generation [Binh to feedback Rodger/Pixy/Alice 12/27]
markNet
Calibre LVS setup: path to CDL file (Look at TI65)
PERC high voltage metal rule (Jinho's request)
Support SDL
Device lib
Gate lib
Opened gates
Sche Lib
Lay Lib
SPICE lib
Pregen deck
DRC deck
1) PEO
2) NEO
LVS deck
Tapeout
Converted Converted
layout
schematic
Foundry
PDK
Release pregen
deck and tapeout
flow to Design
Team [Nitya
03/28]
From ESF340GFS
[Binh]
[Tri/Binh]
Misc
Install GFS Cadence lib [Nitya]
AI
Progra
Prio
m
Manag rity
er
Tech
Customer
340 ND
355 YH
GFS
1130 LC
SLT
1180 LC
340 ND
UMC
LFR, LFA
355 WY
3110 XL
AST
390 XL
TI
365 JH
Mikron
NationZ
390
KL
Color code
Critical
Normal
Low priority
In-progress
Done
TD-CAD Methodology
PDK flow: pregen first, then DRC
markNet
Support SDL
Data organization
Scripts (project
setup, virt*)
Tech files
(map,dis,tech)
Device library
Gate library
[Binh 01/10]
Opened gates
[Henry/Binh 01/21]
[Henry/Binh 01/21]
Schematic
Library (example
array)
Layout Lib
(probe cell, IP
merging ring,
example array)
SPICE/HSIM lib
[Henry/Binh 01/21]
Pregen deck
DRC deck
LVS deck
Sample sche
Sample layout
Deck
Per Design team and
JinHo's input, Probecell
regconition not required in
DTC & current LVS deck
does not check for
probecell[Nitya]
[Henry/Binh 02/06]
[Binh 02/11 ]
[Henry ??]
PEX deck
Csdoc
Dkdoc
Tapeout
[Binh 12/31]
[Henry 11/17]
[Binh 02/05]
Converted layout
Converted
schematic
Misc
Modify TC1
Update
IP Merging
Databases[Nitya
- 01/31] Ring[Nitya]
In
Discussion
with LFA
markNet
[Binh 12/20]
Pregen
TC3
Provided
LFAWAT
with a
database[Nitya]
preliminary review of the
latest DRC Deck and
Pregen
andmeeting
Tapeoutto
TC3
scheduled
TC
database[Nitya]
discuss
the same[Nitya 01/10] - Done
Modify Example array
layout in LF PDK[Nitya
01/15-Done]
[Henry 02/06]
[Binh 01/15]
Add tsmcN90emf
to the system
AI
TD-CAD Tasklist
Last updated: 01/20/2014
Technology-specific tasks
Color code
Critical
Normal
Low priority
In-progress
Done
Tech
Customer
Program
Manager
Priori
ty
340 ND
GFS
355 YH
1130 LC
340 ND
UMC
LFR, LFA
AST
355 WY
3110 XL
390 XL
TI
Mikron
365 JH
390
NationZ
KL
TD-CAD Methodology
QA and Release flow [Binh ?]
Foundry PDK utilization
Support LEF generation [Binh to feedback Rodger/Pixy/Alice 12/27]
markNet
Calibre LVS setup: path to CDL file
PERC high voltage metal rule (Jinho's request)
Remove pregen out of GFS55
Support SDL
Scripts (project
setup, virt*)
Tech files
(map,dis,tech)
Device library
Gate library
Opened gates
[Binh 01/10]
[Henry 01/13]
Schematic
Library (example
array)
Layout Lib
(probe cell, IP
merging ring,
example array)
SPICE/HSIM lib
Pregen deck
DRC deck
LVS deck
Sample
sche
Logo rules
[Nitya 12/19]
Sample layout
5 samples [Binh
12/11]
Update
Deck
[Binh 01/17 ]
PEX deck
Csdoc
Dkdoc
Update
Tapeout
DRC/LVS/PEX
revisions for
tapeout [Nitya
01/07]
[Binh
12/31]
Install
Converted layout
[Binh 12/20]
[Binh 01/14]
Converted
schematic
Misc
markNet
[Binh 01/15]
AI
TD-CAD Tasklist
Last updated: 11/12/2014
Technology-specific tasks
Color code
Critical
Normal
Low priority
In-progress
Done
Tech
Customer
Program
Manager
Priori
ty
Scripts (project
setup, virt*)
Tech files
(map,dis,tech)
340 ND
GFS
355 YH
1130 LC
340 ND
UMC
LFR, LFA
355 WY
3110 XL
AST
390 XL
TI
365 JH
1 [Binh 01/10]
Mikron
NationZ
390
KL
TD-CAD Methodology
QA and Release flow [Binh ?]
Foundry PDK utilization
Support LEF generation [Binh to feedback Rodger/Pixy/Alice 12/27]
markNet
Calibre LVS setup: path to CDL file
PERC high voltage metal rule (Jinho's request)
Remove pregen out of GFS55
Support SDL
Device library
Gate library
SPICE/HSIM lib
DRC deck
Logo rules
[Nitya 12/19]
TRAN simulation can
not work well for
HSIM [Henry ?]
Opened gates
Integrate SPICE lib
for Synaptics tape
out by Jan/2014
[Nitya 12/20]
[Henry 01/13]
Pregen deck
LVS deck
Sample
sche
Sample layout
5 samples [Binh
12/11]
from Parviz's
update [Binh
01/07]
[Binh 01/17 ]
PEX deck
Deck
Csdoc
Dkdoc
Update
Tapeout
Converted layout
Converted
schematic
DRC/LVS/PEX
revisions for
tapeout [Nitya
01/07]
[Binh
12/31]
[Binh 12/20]
[Binh 01/14]
[Binh 01/15]
Misc
markNet
AI
TD-CAD Tasklist
Last updated: 11/12/2014
Technology-specific tasks
Color code
Critical
Normal
Low priority
Done
Tech
Customer
Program
Manager
Priori
ty
Scripts (project
setup, virt*)
Tech files
(map,dis,tech)
340 ND
GFS
355 YH
1130 LC
340 ND
UMC
LFR, LFA
AST
355 WY
3110 XL
390 XL
TI
Mikron
365 JH
390
NationZ
KL
TD-CAD Methodology
QA and Release flow [Binh ?]
Foundry PDK utilization
Support LEF generation [Binh to feedback Rodger/Pixy/Alice 12/27]
markNet
Calibre LVS setup: path to CDL file
PERC high voltage metal rule (Jinho's request)
Remove pregen out of GFS55
Support SDL
Device library
Gate library
SPICE/HSIM lib
Pregen deck
DRC deck
Logo rules
[Nitya 12/19]
TRAN simulation can
not work well for
HSIM [Henry ?]
Opened gates
Integrate SPICE lib
for Synaptics tape
out by Jan/2014
[Nitya 12/20]
Talking to TI for
K2 setup
[Henry/Binh
01/10]
LVS deck
Sample
sche
Sample layout
PEX deck
Deck
from Parviz's
update [Binh
01/07]
[Binh ?]
Csdoc
Dkdoc
Update
[Binh
12/31]
Tapeout
Converted layout
DRC/LVS/PEX
revisions for
tapeout [Nitya
01/07]
[Binh 12/20]
Converted
schematic
[Binh ?]
Misc
markNet
AI
TD-CAD Tasklist
Last updated: 12/3/2014
Technology-specific tasks
Color code
Critical
Normal
Low priority
Done
Tech
Customer
Program
Manager
Priori
ty
Tech files
(map,dis,tech)
340 ND
GFS
355 YH
1130 LC
340 ND
UMC
LFR, LFA
355 WY
3110 XL
AST
390 XL
TI
Mikron
365 JH
390
Device library
NationZ
KL
TD-CAD Methodology
QA and Release flow [Binh ?]
Foundry PDK utilization
Support LEF generation [Binh to feedback Rodger/Pixy/Alice 12/27]
markNet
Calibre LVS setup: path to CDL file
PERC high voltage metal rule (Jinho's request)
Remove pregen out of GFS55
Gate library
SPICE/HSIM lib
Pregen deck
DRC deck
LVS deck
Sample
sche
Logo rules
[Nitya 12/19]
TRAN simulation can
not work well for
HSIM [Henry ?]
Opened gates
Integrate SPICE lib
for Synaptics tape
out by Jan/2014
[Nitya ?]
Review Hercules
TI 45 [Henry ?]
LVS deck
Sample layout
PEX deck
Csdoc
Dkdoc
Tapeout
Deck
probecell
5 samples [Binh regconition
12/11]
[Nitya]
Update
from Parviz's
update [Binh
01/07]
[Binh ?]
[Binh
12/31]
DRC/LVS/PEX
revisions for
tapeout [Binh
12/23]
Converted
layout
Converted
schematic
Misc
AI
[Binh 12/20]
markNet
[Binh ?]
TD-CAD Tasklist
Last updated: 12/3/2014
Technology-specific tasks
Color code
Critical
Normal
Low priority
Done
Tech
Customer
Tech files
(map,dis,tech)
340
GFS
355
1130
UMC
LFR
AST
TI
Mikron
Device library
Gate library
365
390
NationZ
TD-CAD Methodology
Release flow
QA flow
Foundry PDK utilization
Antenna info
markNet
Calibre LVS setup: path to CDL file
PERC high voltage metal rule (Jinho's request)
Opened gates
SPICE/HSIM lib
Pregen deck
DRC deck
LVS deck
Sample
sche
+WAT deck
[Nitya 12/10]
Sample layout
5 samples [Binh
12/11]
[Binh 12/13]
VS deck
PEX deck
Csdoc
Dkdoc
Deck
probecell
regconition
[Nitya]
Update
Tapeout
Converted
layout
Converted
schematic
Misc
markNet