You are on page 1of 219

Informe de Vigilancia Tecnolgica.

Mtodos de Fabricacin de Nanotecnologa

Informe de Vigilancia Tecnolgica

Mtodos de Fabricacin
de

Nanotecnologa
Programa Nacional de Electrnica,
Telecomunicaciones e Informtica

Diego Zuluaga
Jenny Marcela Snchez
Alexis A. Aguilera
Javier Medina

Instituto Colombiano para el Desarrollo de la


Ciencia y la Tecnologa Francisco Jos de
Caldas

Informe de Vigilancia
Tecnolgica
Mtodos de Fabricacin de
Nanotecnologa

Bogot, D.C., Diciembre de 2007

INSTITUTO COLOMBIANO PARA EL


DESARROLLO DE LA CIENCIA Y LA TECNOLOGA
FRANCISCO JOS DE CALDAS
COLCIENCIAS

Informe de Vigilancia Tecnolgica. MTODOS DE FABRICACIN DE NANOTECNOLOGIA.


Autores:
Diego Fernando Zuluaga Vidal
Profesional Programa Nacional de Electrnica, Telecomunicaciones e Informatica
Jenny Marcela Snchez Torres
Profesora Asistente Universidad Nacional de Colombia
Alexis Andrs Aguilera Alvear
Viga Tecnolgico
Javier Medina Vsquez
Profesor Titular de la Universidad del Valle
Diseo Portada:
Mario Posada
ISBN 978-958-8290-25-6
Colciencias.
Bogot D.C. Colombia.
Carrera 7B Bis No 132 -28
Tel. (57) -1-6258480
www.colciencias.gov.co
Est publicacin ha sido realizada por Colciencias, entidad del Estado cuyo objetivo es impulsar el
desarrollo cientfico y tecnolgico de Colombia. Las aseveraciones y opiniones expresadas en este
documento son exclusiva responsabilidad de los autores y no necesariamente coinciden con las de
la organizacin Derechos reservados. Diciembre de 2007.
Impreso por:
Cargraphics
Impreso y hecho en Colombia.
Printed in Colombia

INSTITUTO COLOMBIANO PARA EL


DESARROLLO DE LA CIENCIA Y LA TECNOLOGA
FRANCISCO JOS DE CALDAS
COLCIENCIAS

Director General
Juan Francisco Miranda Miranda
Subdirector de Programas de Innovacin y Desarrollo Empresarial
Carlos Arroyave Posada
Profesor Titular Emrito Universidad de Antioquia
Subdirector de Programas Estratgicos
Alexis De Greiif Acevedo
Profesor Asociado Universidad Nacional de Colombia

Jefe Divisin de Planeacin Estratgica y Evaluacin


Mnica Salazar Acosta
Director Tcnico
Javier Medina Vsquez
Jefe Programa Nacional de Prospectiva Tecnolgica e Industrial
Profesor Titular de la Universidad del Valle
Asesora Tcnica
Diego Fernando Zuluaga Vidal
Profesional Programa Nacional de Electrnica, Telecomunicaciones e Informtica
Jenny Marcela Snchez Torres
Profesora Asistente Universidad Nacional de Colombia
Viga Tecnolgico
Alexis Andrs Aguilera Alvear

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Tabla de Contenido
Presentacin ...................................................................................................................................... 1
1

Introduccin .............................................................................................................................. 5

Resumen Ejecutivo y Conclusiones...................................................................................... 11


2.1
2.2

Resumen ejecutivo ........................................................................................................... 11


Conclusiones.................................................................................................................... 14

Nanotecnologa ....................................................................................................................... 25
3.1
Qu es nanotecnologa? ................................................................................................ 25
3.2
Importancia de la nanotecnologa en el mundo................................................................ 26
3.3
Ventajas y desventajas de la nanotecnologa................................................................... 27
3.4
Financiacin mundial de la nanotecnologa...................................................................... 28
3.5
Mtodos y tcnicas de nanofabricacin............................................................................ 30
3.5.1 Tcnicas de nanofabricacin........................................................................................ 32
Tendencias en Nanotecnologa.............................................................................................. 33
4.1
4.2
4.3

Tendencias de los mtodos de nanofabricacin............................................................... 33


Tendencias en productos, mercados y sectores nanotecnolgicos.................................. 36
Tendencias en educacin y formacin avanzada en nanotecnologa. ............................. 41

Panorama General de Nanotecnologa.................................................................................. 45


5.1
Infraestructura de nanotecnologa. mirada desde Estados Unidos y Europa ................... 45
5.1.1 Infraestructura en Estados Unidos ............................................................................... 46
5.1.2 Infraestructura en Europa............................................................................................. 47
5.2
Centros de investigacin .................................................................................................. 48
5.3
Redes de Investigacin. ................................................................................................... 49
5.4
Empresas especializadas en nanofabricacin.................................................................. 52
5.5
Comunidades virtuales ..................................................................................................... 53

Anlisis Cientfico. .................................................................................................................. 55


6.1
Dinmica de publicaciones cientficas en nanofabricacin............................................... 55
6.2
Actores lderes en nanotecnologa. .................................................................................. 56
6.2.1 Lderes tecnolgicos en nanofabricacin - pases. ...................................................... 56
6.2.2 Lideres acadmicos en nanofabricacin - instituciones ............................................... 61
6.2.3 Lideres acadmicos en nanofabricacin investigadores. .......................................... 61

Anlisis de Patentes. .............................................................................................................. 67


7.1
7.2
7.3
7.4
7.5
7.6

Titulares de patentes ........................................................................................................ 67


Perfiles y reas de desarrollo de los principales titulares. ................................................ 68
Inventores......................................................................................................................... 69
Citacin de patentes......................................................................................................... 69
reas temticas de las patentes....................................................................................... 70
Comparacin de la actividad de patentes y la actividad de publicaciones cientficas....... 70

Contenido

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Nanotecnologa en Latinoamrica......................................................................................... 73
8.1

Capacidades Colombianas en Nanotecnologa.................................................................... 79


9.1
9.2
9.3
9.4
9.5
9.6
9.7

10

Fortalecimiento de la nanotecnologa en Colombia.......................................................... 77


Centros e instituciones especializadas en nanotecnologa de Colombia.......................... 80
Infraestructura nanotecnolgica del pas.......................................................................... 80
Grupos de investigacin en nanotecnologa..................................................................... 81
Oferta educativa en Colombia. ......................................................................................... 86
Proyectos financiados por Colciencias ............................................................................. 89
Patentes colombianas en nanofabricacin ....................................................................... 91
Resumen de capacidades nacionales en nanotecnologa................................................ 92

Bibliografa. ............................................................................................................................. 95

Anexo N 1. Anexo Metodolgico................................................................................................... 99


Anexo N 2. Ecuaciones de Bsqueda......................................................................................... 107
Anexo N 3. Infraestructura........................................................................................................... 111
Anexo N 4. Empresas y Centros de Investigacin Internacionales ......................................... 169
Anexo N 5. Capacidades Colombianas en Nanotecnologa (Investigadores, Perfiles de
Centros y Proyectos Financiados y Fichas de Capacidades Colombianas) ............................ 179
Contenido

Anexo N 6. Informacin Cientfica de Scopus............................................................................ 193


Anexo N 7. Boletines de Vigilancia Tecnolgica. ...................................................................... 201
Anexo N 8. Ficha Tcnica de las Herramientas de Bsqueda y Procesamiento de Informacin
203

vi

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Grfico 1. Evolucin de la nanotecnologa a travs de las ciencias que la componen. ..................... 26


Grfico 2. Niveles globales del gasto pblico en nanotecnologa en el ao 2003.............................. 28
Grfico 3. Niveles de financiacin en pases europeos ..................................................................... 29
Grfico 4. Financiacin de pases con dinmica creciente en nanotecnologa .................................. 30
Grfico 5. Mtodos Top Down y Bottom Up....................................................................................... 31
Grfico 6. Tendencias de los mtodos de nanofabricacin................................................................ 35
Grfico 7. reas de aplicacin futuras de la nanotecnologa. ............................................................ 38
Grfico 8. Impactos sectoriales esperados de la nanotecnologia. ..................................................... 38
Grfico 9. Plazos de previsin de escasez de personal calificado en nanotecnologa....................... 42
Grfico 10. Cursos de pregrado de nanotecnologa europeos........................................................... 43
Grfico 11. Cursos de posgrado de nanotecnologa europeos .......................................................... 43
Grfico 12. Cursos tcnicos de nanotecnologa europeos................................................................. 44
Grfico 13. Actividades de los centros por rea de investigacin y desarrollo................................... 48
Grfico 14. Redes por regin............................................................................................................. 50
Grfico 15. mbitos de aplicacin de las redes de investigacin en nanotecnologia de Europa. ...... 51
Grfico 16. mbitos de aplicacin de las redes nacionales e internacionales de Europa.................. 52
Grfico 17. Actividad de patentes vs actividad de publicaciones cientficas. ..................................... 71
Grfico 18. Aos de creacin de los grupos en nanotecnologa........................................................ 82
Grfico 19. Instituciones a las que pertenecen los grupos de investigacin en nanotecnologa........ 83
Grfico 20. Distribucin geogrfica de los grupos de investigacin colombianos .............................. 83
Grfico 21. Distribucin de gnero..................................................................................................... 84
Grfico 22. Masa crtica en nanotecnologa....................................................................................... 85
Grfico 23. Niveles de formacin de los programas en nanotecnologa. ........................................... 87
Grfico 24. Distribucin departamental de los programas en nanotecnologa................................... 88
Grfico 25. reas del conocimiento de los programas en nanotecnologa. ....................................... 88
Grfico 26. Institucin ejecutora del proyecto .................................................................................... 89
Grfico 27. Proyectos por departamento ........................................................................................... 90
Grfico 28. Ao ejecucin de los proyectos....................................................................................... 90
Grfico 29. Programa nacional que ha financiado los proyectos ....................................................... 91
Grfico 30. Capacidades colombianas en nanotecnologia. ............................................................... 93
Grfico 31. Proceso de vigilancia tecnolgica.................................................................................. 100
Grfico 32. Ficha de definicin de necesidades para mtodos de fabricacin de nanotecnologa. . 101

vii

Contenido

ndice de Grficos

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

viii

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Tabla 1. Aspectos que pueden propiciar el desarrollo de la nanotecnologa. .................................... 21


Tabla 2. Principales tcnicas de nanofabricacin. ............................................................................. 32
Tabla 3. Etapas de desarrollo de la nanotecnologa .......................................................................... 34
Tabla 4. Principales campos de aplicacin de empresas nanotecnolgicas...................................... 53
Tabla 5. Nacionalidad de las empresas de nanotecnologa............................................................... 53
Tabla 6. Comparacin entre las dinmicas de publicacin cientfica en ISI WoS y Scopus. ............. 56
Tabla 7. Pases lderes en investigacin bsica de mtodos de nanofabricacin.............................. 57
Tabla 8. Dinmica regional en la publicacin cientfica. Mtodos de fabricacin de nanotecnologa 58
Tabla 9. Dinmica cientfica latinoamericana en mtodos de nanofabricacin .................................. 59
Tabla 10. Instituciones lderes en publicaciones sobre nanofabricacin............................................ 61
Tabla 11. Investigadores Destacados en Nanofabricacin. ............................................................... 62
Tabla 12. Perfiles de las redes de investigacin en nanofabricacin................................................. 62
Tabla 13. Titulares de patentes en mtodos de fabricacin de nanotecnologa. ............................... 67
Tabla 14. Perfiles de los titulares con mayor registro de patentes en nanofabricacin...................... 68
Tabla 15. Principales inventores........................................................................................................ 69
Tabla 16. La investigacin y el desarrollo en nanotecnologa en pases en desarrollo seleccionados
........................................................................................................................................................... 74
Tabla 17. Instituciones colombianas en nanotecnologa.................................................................... 80
Tabla 18. Tecnologas del CENM ...................................................................................................... 80
Tabla 19. Produccin de los Grupos de Investigacin Identificados .................................................. 85
Tabla 20. Produccin por tipo de producto registrado en ScienTI ..................................................... 85
Tabla 21. Programas de formacin en nanotecnologa. .................................................................... 86
Tabla 22. Datos iniciales para la bsqueda de patentes en oficinas internacionales......................... 91
Tabla 23. Resumen de capacidades colombianas en nanotecnologa. ............................................. 92
Tabla 24. Ecuacin de bsqueda de patentes en nanotecnologa................................................... 103
Tabla 25. Datos iniciales para la bsqueda de patentes en oficinas internacionales....................... 104
Tabla 26. Estrategia de bsqueda para Bottom up y Top Down...................................................... 107
Tabla 27. Estrategia de bsqueda en la base de datos ISI WoS. Artculos cientficos .................... 108
Tabla 28. Estrategia de bsqueda en la base de datos Scopus. Artculos cientficos ..................... 109
Tabla 29. Ecuaciones de bsqueda en Goldfire. Patentes .............................................................. 110
Tabla 30. Infraestructura en Estados Unidos................................................................................... 111
Tabla 31. Empresas nanotecnolgicas ............................................................................................ 176
Tabla 32. Investigadores con formacin avanzada.......................................................................... 179
Tabla 33. Perfil general de produccin de los grupos de investigacin. .......................................... 184
Tabla 34. Pases lderes en investigacin bsica de mtodos de nanofabricacin.......................... 193
Tabla 35. Dinmica regional en la publicacin cientfica.................................................................. 195
Tabla 36. Dinmica cientfica latinoamericana en mtodos de nanofabricacin .............................. 196
Tabla 37. Instituciones lderes en publicaciones sobre nanofabricacin.......................................... 196
Tabla 38. Investigadores destacados en nanofabricacin. .............................................................. 197
Tabla 39. Perfiles de las redes de investigacin en nanofabricacin............................................... 197
Tabla 40. Dinmica de publicacin cientfica Scopus. ..................................................................... 200
Tabla 41. Ficha tcnica de herramientas ......................................................................................... 203

ix

Contenido

ndice de Tablas

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Los principios de la fsica, como yo


lo veo, no hablan sobre la
posibilidad de maniobrar cosas
tomo por tomo. Esto no es un
intento de violar alguna ley; es
algo que en principio se puede
hacer; pero en la prctica, no se
ha
hecho
porque
somos
demasiado grandes." - Richard
Feynman. (1959) (Nbel de fsica).

xi

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

xii

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Presentacin

Esta coleccin se enmarca dentro del trabajo del Programa Nacional de Prospectiva, puesto en
marcha desde el ao 2003 gracias a la cooperacin y el financiamiento de Colciencias, el Servicio
Nacional de Aprendizaje (SENA), el Ministerio de Comercio, Industria y Turismo y la Corporacin
Andina de Fomento, con el propsito de desarrollar capacidades nacionales de prospectiva y
vigilancia tecnolgica.
Con este tipo de ejercicios es posible realizar un anlisis sistemtico y permanente con los objetivos
de: a) establecer el estado del arte de la tecnologa; b) buscar soluciones a problemas tecnolgicos;
c) identificar socios tecnolgicos, tendencias tecnolgicas y tecnologas adquiribles; d) elaborar
perfiles tecnolgicos; y, e) ayudar a los tomadores de decisiones de los Programas de Ciencia y
Tecnologa en la identificacin de sectores emergentes. Los ejercicios facilitan comprender los
movimientos del entorno para tomar mejores decisiones estratgicas, es decir, aquellas con altos
impactos, altos costos y efectos irreversibles a corto, mediano y largo plazo. De esta manera, se
pretende contribuir al reconocimiento de las tendencias internacionales y las capacidades nacionales
en campos estratgicos, con miras a la bsqueda de caminos a recorrer por Colombia para
aprovechar las oportunidades de la Sociedad de Conocimiento.
El trabajo colaborativo reflejado en los presentes informes, es un esfuerzo de cooperacin e
innovacin entre diversos actores para generar insumos calificados de informacin y conocimiento, a
partir de las disciplinas de la prospectiva y de la vigilancia tecnolgica e inteligencia competitiva.
Para alcanzar los objetivos de los ejercicios se estableci una estructura de trabajo conformada por
los Programas Nacionales de Ciencia y Tecnologa, el equipo del Programa Nacional de Prospectiva,
y los consultores internacionales.

Presentacin

El presente informe hace parte de la coleccin de ejercicios de Prospectiva, Vigilancia Tecnolgica e


Inteligencia Competitiva, especialmente diseados para perfilar las agendas de investigacin de los
Programas Nacionales de Ciencia y Tecnologa.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Los Programas Nacionales de Ciencia y Tecnologa se constituyen en el principal actor de


los ejercicios, tanto los Jefes de Programa como sus asesores y profesionales, definieron los
temas especficos de vigilancia, se encargaron de validar las ecuaciones de bsqueda y de
extraer el valor agregado de los anlisis previos entregados por los vigas y de sealar las
implicaciones estratgicas para el Sistema Nacional de Ciencia y Tecnologa.
Colciencias, a travs del Programa Nacional de Prospectiva Tecnolgica e Industrial, se
encarg de: a) definir la metodologa y alcance de cada uno de los ejercicios; b) facilitar la
formacin de alto nivel para el desarrollo de capacidades en prospectiva y vigilancia
tecnolgica a travs del Instituto PREST de la Universidad de Manchester, de Georgia Tech
y de la firma Triz xxi; c) proveer la formacin para la utilizacin de herramientas de software
especializadas en prospectiva y vigilancia tecnolgica, d) brindar la plataforma tecnolgica
necesaria para que los ejercicios pudieran utilizar las herramientas de software
especializadas a travs de la unidad de prospectiva y vigilancia tecnolgica; e) financiar la
presencia de los consultores internacionales; f) coordinar la interaccin entre los diferentes
actores; y g) realizar el seguimiento de los ejercicios.

Presentacin

El Grupo Consultor, garante de la calidad de los ejercicios conforme a estndares


internacionales, y soporte metodolgico en vigilancia tecnolgica e inteligencia competitiva,
est integrado por los Doctores Fernando Palop y Jos Miguel Vicente, investigadores
pertenecientes a Triz xxi y profesores asociados de la Universidad Politcnica de Valencia.
Con base en su experiencia de ms de quince aos en Espaa, Francia y Amrica Latina,
brindaron la tutora necesaria para que los equipos de trabajo de los Programas Nacionales
de Ciencia y Tecnologa desarrollaran los ejercicios.
La coordinacin general, la articulacin de cada uno de los actores de los ejercicios fue
ideada y puesta en marcha por el Dr. Javier Medina, Jefe del Programa Nacional de
Prospectiva, profesor titular de la Universidad del Valle. El apoyo y seguimiento
metodolgico para los ejercicios de vigilancia tecnolgica e inteligencia competitiva estuvo a
cargo de la Dra. Jenny Marcela Snchez, Asesora del Programa Nacional de Prospectiva,
profesora asistente de la Universidad Nacional de Colombia, con el apoyo de Alexis
Aguilera, Lina Marcela Landnez, Andrs Len, Mauricio Tobar y Rafael Rodrguez, quienes
participaron como vigas, o analistas de informacin, especializados en el manejo de las
herramientas.
Se agradece de manera especial a todas las personas e instituciones que han hecho posible la
realizacin de los ejercicios. A Colciencias, su Director General Francisco Miranda y sus exdirectores
Felipe Garca, Maria del Rosario Guerra y Margarita Garrido; a sus subdirectores Alexis De Greiff,
Carlos Arroyave y Hernando Snchez y sus exsubdirectores Fernando Garca, Rafael Gutierrez y
Alvaro Turriago; a la Divisin de Planeacin Estratgica y Evaluacin, su directora Mnica Salazar. A
los Jefes de los Programas Nacionales de Ciencia y Tecnologa Juan Plata, Martha Vives, Miguel
Tobar, Irma Baquero, Paula Rojas, Maria Cristina Duran, Gabriel Zamudio, Dionisio Araujo, Ricardo
Fierro, Clara Ins Vargas y exjefes Oscar Duarte, Gerardo Latorre, Sergio Castillo, Rosa Isabel
Patio y Fernando de la Hoz; a los asesores y profesionales de los Programas Nacionales Anglica
Barrantes, Roco Lozano, Claudia Tinjac, Nidia Piragauta, Yesid Ojeda, Diego Zuluaga, Sara

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Campos, Edison Surez, Camilo Crdenas, y Adriana Rosillo. A la Oficina de Sistemas, a todos los
funcionarios de Colciencias que facilitaron la labor logstica y administrativa, y en especial a Patricia
Len. Al SENA, su director Daro Montoya, y sus asesores Pablo Orozco y Vernica Gmez. A la
Universidad del Valle, a su Rector Ivn Ramos Caldern y a la Facultad de Ciencias de la
Administracin. A la Universidad Nacional de Colombia- Sede Bogot, a su Rector Moiss
Wasserman y a la Facultad de Ingeniera.

Presentacin

Tambin se agradece a los expertos internacionales Fernando Palop y Jos Miguel Vicente de Triz
XXI y la Universidad Politcnica de Valencia; Ian Miles y Rafael Popper de la Universidad de
Manchester; Alan Porter, Susan Cozzens y Web Myers de Georgia Tech, Antonio M. Gomes de
Castro, Suzana Valle de Lima de EMBRAPA, Adelaide Antunes de la Universidad Federal de Rio de
Janeiro; y a los expertos nacionales Gonzalo Ordoez de Georgia Tech, Beatriz Elena Molina de
BioGerencia Virtual Ltda, Henry Dueas (q.e.p.d.), Daro Fernando Lpez de Qubit Cluster, Jos
Andrs Daz del Instituto Humboldt y Fernando Pieros de Proexport quienes compartieron su
conocimiento y dedicacin para entrenar al equipo de trabajo y potenciar este esfuerzo colectivo.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

La dinmica de la competitividad global y la bsqueda de nuevas fronteras del conocimiento y de


innovacin han generado grandes y rpidos avances cientficos y tecnolgicos en campos de
contino crecimiento y transformacin. Es as como, se ha gestado la creacin una nueva corriente
de estudio y de desarrollo cientfico, tecnolgico y de innovacin denominada nanotecnologa1, en la
cual convergen ciencias como la fsica, la qumica y la biologa. Este campo ya se evidencia en las
investigaciones y en los productos comunes del mercado, su evolucin y desarrollo son altos y la
velocidad del cambio hace que sea un sector tanto acadmico como de investigacin e innovacin
altamente competitivo.
Estos avances generados por la nanotecnologa son comnmente, liderados por las grandes
empresas y los pases desarrollados, los cuales disponen de personal (equipos e investigadores)
imbuido de una cultura innovadora de superacin y reto contino con dominio de los conocimientos
de frontera que exige este nuevo campo y expertise en investigacin y gestin de proyectos de
Investigacin y Desarrollo (I+D); de presupuesto; de infraestructura; y de la tecnologa para
incursionar en este sector tecnolgico de cambios acelerados, todo como consecuencia de la
expansin del conocimiento cientfico, tcnico y tecnolgico.
En este panorama, los pases en vas de desarrollo como Colombia, pese a la complejidad que
representa incursionar en nanotecnologa, pueden aprovechar distintas oportunidades para ingresar
a investigar y competir en este estadio de desarrollo tecnolgico avanzado. Por tanto, dado el inters
del pas en este tema y los esfuerzos de entidades como el Centro de Excelencia en Nuevos
Materiales y Nanotecnologa CENM y el Centro de Ciencia y Tecnologa Nanoescalar de trabajar e
investigar en el tema, se ha configurado este ejercicio de vigilancia tecnolgica con el fin de conocer
1

El concepto de Nanotecnologia fue emitido por primera vez por Richard Feynman (Nobel de Fsica) en el ao de 1959. Una definicin
precisa de nanotecnologa la presenta www.nanovip.com: Nanotecnologa: es el desarrollo y la aplicacin prctica de estructuras y
sistemas en una escala nanomtrica (entre 1 y 100 nanmetros)

Introduccin

1 Introduccin

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

la situacin actual y tendencias de evolucin de este campo en el mbito internacional y a partir de


ello proponer lneas de desarrollo y accin para que Colombia logre penetrar en este campo, con
base en la identificacin de nuestras capacidades de formacin, investigacin bsica, investigacin
aplicada, infraestructura y conocimientos.
El Programa Nacional de Electrnica, Telecomunicaciones e Informtica PETI- en conjunto con el
Programa Nacional de ProspectivaPNP- han hecho el esfuerzo de presentar un panorama general
y aproximado del estado de la nanotecnologa a escala internacional y nacional con el fin ltimo de
que este documento se convierta en un insumo bsico para la toma de decisiones y contribuya a
orientar la poltica pblica para potenciar el desarrollo de la nanotecnologa en Colombia a largo
plazo.
Tema principal. Mtodos de Fabricacin de Nanotecnologa
mbito de aplicacin. Nanotecnologa y Electrnica
Objeto principal del informe.

Introduccin

Proponer lneas de accin para la incursin de Colombia en nanotecnologa con base en la


identificacin de tendencias en procesos de fabricacin de nanotecnologa y la determinacin de
capacidades nacionales.

Objetivos especficos del informe.


El informe de Vigilancia Tecnolgica sobre Mtodos de Fabricacin de Nanotecnologa debe
presentar resultados de acuerdo con los siguientes parmetros.







Identificar y caracterizar las tendencias principales en nanofabricacin y su posible evolucin a


escala mundial (a partir de revisin de artculos cientficos y patentes).
Estimar (o reconocer) los requisitos mnimos para que exista masa crtica en el pas que permita
incursionar en la fabricacin de nanotecnologa. Estos requisitos contemplan infraestructura y
capital humano (conocimientos).
Determinar las actuales capacidades nacionales en nanotecnologa (grupos de investigacin,
programas de formacin, centros e institutos, talento humano, infraestructura y proyectos
financiados por Colciencias).
Identificar los principales actores (pases, entidades, autores) y redes relevantes en la evolucin
de la nanotecnologa a escala global y regional (latinoamerica).
Presentar recomendaciones de poltica en nanotecnologa que tenga en cuenta las experiencias
y lecciones aprendidas de pases cercanos a Colombia y pases lderes en el campo.
Determinar las implicaciones del informe para los programas y actuaciones de Colciencias.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Metodologa2.
Para el desarrollo de este informe de vigilancia sobre mtodos de fabricacin de nanotecnologa se
utiliz el ciclo de vigilancia tecnolgica e inteligencia competitiva que ha sido adaptado para
Colciencias a travs de un protocolo establecido por consenso con los Jefes de los Programas de
Nacionales de Ciencia y Tecnologa, el cual tiene bsicamente, cuatro grandes fases: una de
definicin de la temtica, otra de recoleccin, anlisis y validacin de la informacin, otra fase de
elaboracin de conclusiones con base en los resultados y anlisis realizados y una ltima fase de
difusin.

El elemento central de la investigacin se precis sobre la realizacin de un estado del arte a partir
de la bsqueda de informacin cientfica en donde se utilizan bases de datos cientficas como lo son
Scopus y Web of Knowledge en el producto Web of Science - de aqu en adelante ISI WoS - y sobre
la bsqueda y anlisis de patentes. Para este ltimo, se han empleado bases de datos
internacionales sobre patentes tales como la base de datos estadounidense -USPTO-, la europea
ESPACENET, la internacional WIPO y los abstracts de la japonesa.- con el fin de conocer las
invenciones, los inventores, las empresas que patentan y la dinmica del tema.
Adems, este trabajo ha sido complementado con la bsqueda de informacin no estructurada
accesible en Internet, a travs de motores de bsqueda. Particular inters se ha puesto en identificar
trabajos realizados sobre el tema en otros pases con objetivos similares al presente informe.
La informacin obtenida se clasific de acuerdo con los objetivos del informe. La informacin
relevante obtenida de las bases de datos estructuradas fue incorporada a software especializados
para ser indexada, procesada y generar distintas representaciones grficas de tendencias de
evolucin, ranking de posicin, correlaciones entre palabras clave y entidades, etc. A partir de estos
datos elaborados, se estudia su significado e implicaciones y se extraen conclusiones que sirvan
como elemento de juicio para decisiones estratgicas a futuro del Programa Nacional de Electrnica,
Telecomunicaciones e Informtica de Colciencias.
Adems, se configur el panorama de capacidades nacionales en el tema mediante cuatro
elementos. Estos elementos son capacidad de investigacin; capacidad de formacin; capacidad de
innovacin mediante la ejecucin de proyectos; y la creacin de centros e institutos especializados
en nanotecnologa. Para obtener los grupos de investigacin se consult, principalmente, la

Para mayor detalle consulte el anexo metodolgico

Introduccin

La metodologa mencionada anteriormente se desarroll a travs del diligenciamiento de la ficha de


definicin de necesidades de vigilancia tecnolgica, la cual plantea la especificacin del tema
principal de vigilancia, los subtemas, los objetivos especficos y los trminos clave para las
bsquedas. As mismo, esta ficha describe las fuentes de consulta y los autores relevantes a tener
en cuenta para realizar las bsquedas.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Plataforma SCienTI3; para obtener los programas de formacin se consult la base de datos del
Sistema Nacional de Informacin de la Educacin Superior-SNIES; para determinar los proyectos
financiados por Colciencias en este campo se consult la base de datos del Sistema de Integral de
Gestin de Proyectos-SIGP; y para determinar los centros, institutos y empresas en nanotecnologa
se consulto a travs de diferentes documentos y bsquedas en Internet.
Es necesario tener en cuenta algunas aclaraciones. Los informes de vigilancia tecnolgica son una
'fotografa ' en un cierto momento de una lnea de trabajo que se ha desarrollado en el tiempo y que
los anlisis, resultados y tendencias mostrados corresponden a un estadio parcial y en constante
evolucin, por lo que no se considera ninguna obra ni libro, ni monografa del tema en cuestin.
Asimismo, en el caso de la produccin incluida Plataforma ScienTI y en Publindex4, slo se ha
revisado la declaracin de lneas de investigacin en los temas de inters para este estudio. Falta
an la revisin exhaustiva de los resultados de investigacin e innovacin reportados como parte de
la produccin de grupos e investigadores colombianos. Adicionalmente, aunque se podra considerar
que la revisin de la produccin nacional publicaciones- es primordial en un trabajo de este tipo, se
ha priorizado un enfoque internacional que busca situar la posicin de nuestro pas en el contexto
mundial de investigacin competitiva con el fin de obtener mejores prcticas y visibilidad
internacional. Esto no quiere decir que en posteriores anlisis no se recoja este tipo de informacin y
se incorpore a posteriores versiones de este informe.
Introduccin

Es importante sealar algunos obstculos presentados para el desarrollo del informe. Se destacan
diferencias entre los motores de bsqueda de informacin cientfica, toda vez que Scopus e ISI WoS
consultan fuentes y revistas distintas y los perodos de tiempo son limitados especialmente en la
base de datos de ISI WoS, debido a que la ventana de tiempo de consulta va desde el 2000 hasta el
2007, mientras que en Scopus es ms extendida desde 1960 hasta 2007.
Por su parte, la informacin obtenida del Sistema Integrado de Gestin de Proyectos-SIGP slo
pudo ser consultada en el perodo 2002 a noviembre de 2006, por lo que los proyectos financiados
que refleja la informacin obtenida slo son una parte representativa de lo que Colciencias apoya en
proyectos de investigacin.
Para orientar el contenido del informe se presenta una breve descripcin de cada apartado.
La primera parte del documento aborda aspectos relacionados con la importancia de la
nanotecnologa, las ventajas y desventajas de su uso, los montos y los recursos asignados para el
desarrollo de la nanotecnologa en pases avanzados en este campo. As mismo, se desarrolla una
explicacin sobre qu y cules son los mtodos y las tcnicas de nanofabricacin.

Red Internacional de Sistemas de Informacin en Ciencia y Tecnologa. Sistema de Informacin en el cual se registran los grupos y
los investigadores nacionales. Esta red es una iniciativa de varios pases latinoamericanos.
4 Sistema Nacional de Indexacin y Homologacin de Revistas Especializadas de CT+I

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

En segunda instancia, presenta las tendencias de los mtodos de nanofabricacin y de igual manera
las tendencias en productos, mercados y sectores de la nanotecnologa y las tendencias futuras en
educacin y formacin en nanotecnologa.
La tercera parte del documento muestra la informacin cientfica con el fin de presentar los actores
lderes en el campo de nanotecnologa pases, regiones, instituciones e investigadores y la
dinmica de publicaciones a lo largo del tiempo mtodos de nanofabricacin.
En cuarto lugar, se encuentra la infraestructura compuesta por las tecnologas utilizadas en la
nanofabricacin, los centros de investigacin y las redes de cooperacin y trabajo que se presentan
en el tema, principalmente, en Estados Unidos y Europa.
La quinta parte del documento presenta los anlisis de patentes sobre los procesos de
nanofabricacin y configura una comparacin entre la actividad de patentes y la actividad de
publicaciones cientficas.

El anexo N 1 presenta, detalladamente, los aspectos metodolgicos que orientaron el desarrollo del
informe de vigilancia en mtodos de fabricacin de nanotecnologa.
El anexo N 2 presenta las ecuaciones de bsqueda utilizadas en las bases de datos cientficas, de
patentes y en las distintas bases de datos de informacin no estructurada.
El anexo N 3 considera la infraestructura en nanotecnologa de Estados Unidos. La infraestructura
relacionada en este anexo hace referencia a las tecnologas y las herramientas que se utilizan en los
distintos centros e institutos de investigacin nanotecnolgica.
El anexo N 4 presenta las empresas de carcter privado y los centros de investigacin en el mbito
mundial en nanotecnologa. Este anexo relaciona el campo de actividad principal de cada centro y
empresa presentada.
El anexo N 5 presenta a los investigadores colombianos con formacin de alto nivel que pertenecen
a los grupos de investigacin en las lneas de trabajo declaradas, desarrollos e investigaciones en
nanotecnologa. De igual manera, se presentan los proyectos financiados por Colciencias en
nanotecnologa entre el 2002 y noviembre de 2006.
El anexo N 6 presenta la informacin cientfica de la base de datos Scopus. Esta informacin est
relacionada con los actores lderes en nanotecnologa (pases, regiones, instituciones e
investigadores) y la dinmica de evolucin de la temtica en el perodo 2001-2007.

Introduccin

Por ltimo, el informe presenta los esfuerzos que el pas ha realizado en materia de consolidacin de
la nanotecnologa y presenta el panorama general de capacidades nacionales con enfoque en
infraestructura, programas de formacin, proyectos financiados por Colciencias, centros de
investigacin y redes de trabajo.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

El anexo 7 presentan los boletines de vigilancia tecnolgica. Por ltimo, el anexo N 8 hace
referencia a las caractersticas especiales de las herramientas de bsqueda y procesamiento de la
informacin recopilada para realizar este informe de vigilancia.

Equipo de trabajo
Colciencias




Diego Zuluaga. Programa Nacional de Electrnica, Telecomunicaciones e Informtica


Jenny Marcela Snchez. Profesora Asistente Universidad Nacional
Alexis Andrs Aguilera Alvear

Triz XXI



Fernnado Palop
Jose Miguel Vicente

Introduccin

10

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

A continuacin se presenta el resumen ejecutivo y las conclusiones ms relevantes producto del


informe de vigilancia tecnolgica.

Descriptores: Nanotecnologa, Nanofabricacin, Bottom-up, Top-Down, Fabricacin molecular,


Vigilancia Tecnolgica, Inteligencia Competitiva, Gestin Tecnolgica.

2.1 Resumen ejecutivo


El informe de vigilancia tecnolgica sobre mtodos de fabricacin de nanotecnologa se concentra,
principalmente, en la bsqueda, procesamiento y anlisis de informacin que permita reunir
elementos para proponer lneas de accin para la incursin de Colombia en nanotecnologa con
base en la identificacin de tendencias en procesos de fabricacin de nanotecnologa y la
determinacin de capacidades nacionales.

La nanotecnologa ha tomado gran relevancia e importancia en el mundo actual, dado que los
desarrollos generados en este campo tecnolgico afecta a muchos sectores y mercados de la vida
econmica, empresarial y cientfica del mundo. Tales desarrollos se concentran, principalmente, en
aplicaciones sectores como los siguientes: qumica y materiales avanzados; defensa; aeroespacial,
tecnologas de la informacin y comunicacin; produccin y distribucin de energa; transporte,
construccin, agricultura, medicina, medio ambiente, farmacutica, entre otros.

11

Resumen Ejecutivo y Conclusiones

2 Resumen Ejecutivo y Conclusiones

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

La entrada de la nanotecnologa en sectores tan importantes, como los mencionados anteriormente,


permite el desarrollo de nuevos productos y el mejoramiento de productos existentes. Ropa
antimanchas; gafas y lentes imposibles de rayar; pinturas domesticas antimanchas, con control de
color y manejo de insectos; invernaderos que controlan la nutricin vegetal, riego y control de
parsitos mediante la eliminacin del aire, estn entre los productos a mencionar.

El desarrollo de la nanotecnologa ha estado liderado por los pases plenamente industrializados


entre los cuales sobresalen Estados Unidos, Japn, Alemania y Reino Unido, aunque se identifican
tambin, pases emergentes como China. Tales pases se consideran lderes en este campo por
varias razones entre las cuales vale mencionar la asignacin de presupuestos considerables (miles
Resumen Ejecutivo y Conclusiones

de millones de euros anuales en algunos casos) de los gobiernos para el fortalecimiento de la


investigacin y desarrollo (I+D), como tambin, por la creacin de laboratorios de alta tecnologa, la
conformacin de redes tanto nacionales como internacionales para el desarrollo de aplicaciones
nanotecnolgicas por medio de la movilidad de expertos e investigadores y la utilizacin compartida
de la infraestructura de los laboratorios y las tecnologas. As mismo, por la alta produccin cientfica
y considerable actividad inventiva

Estados Unidos es el pas lder en publicaciones cientficas, destacndose la Universidad de


California como la institucin con mayor nmero de publicaciones cientficas. Por su parte, Japn es
el lder en patentes, debido a que los cinco primeros titulares son japoneses, concentra as, el 21%
del total de patentes. La Agencia Japonesa de Ciencia y Tecnologa con 78 patentes es la institucin
con mayor nmero de patentes.

La nanotecnologa, por ser un campo en evolucin (evidenciado por la investigacin y el desarrollo


de nuevos procesos y productos para los mercados y sectores tecnolgicos y por el inters explcito
de las potencias mundiales en liderar tales desarrollos), presenta dinmicas crecientes, tanto en
publicaciones cientficas y patentes, como en la investigacin de nuevos mtodos de fabricacin
nanotecnolgica (fabricacin molecular) y en el mejoramiento y el perfeccionamiento de los mtodos
existentes (Bottom-Up y Top-Down).

12

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Entre los mtodos utilizados actualmente para fabricar componentes y estructuras nanotecnolgicas
se encuentran el Top-Down y el Bottom-Up. Se espera la evolucin de un tercer mtodo
revolucionario denominado fabricacin molecular. Las tendencias indican que la fabricacin por
medio del mtodo Top-Down ser cada vez ms reducida y menos utilizada en los laboratorios
nanotecnolgicos. Entre tanto, la fabricacin por medio del mtodo Bottom-Up seguir con el
desarrollo de componentes ms diminutos a partir de partculas, de tal manera que se emplee cada
vez ms por los laboratorios. Se espera un punto de encuentro entre los mtodos Top-Down y
Bottom-Up en el cual el mtodo Bottom-Up contine su desarrollo y el mtodo Top-Down se utilice
poco. Por su parte, la fabricacin molecular ser el estadio de desarrollo nanotecnolgico ms

que este mtodo se consolide hacia el ao 2020.

Otro de los hallazgos importantes del informe se relaciona con la escasez de personal especializado
en los laboratorios y la escasez de estudiantes en los programas de formacin de nanotecnologa.
Esto ha generado el cierre de laboratorios nanotecnolgicos y el cierre de programas de formacin
en este campo.

Ahora bien, las innovaciones, las mejoras y los productos generados por la nanotecnologa, han
convertido este campo en uno de los ms atractivos para incursionar en la investigacin y desarrollo
por parte de pases en desarrollo, como es el caso de los pases latinoamericanos, como se describe
a continuacin:

Mxico, Argentina, Costa Rica, Brasil Chile y Colombia lideran la incursin en nanotecnologa, dado
que han consolidado laboratorios, grupos de investigacin, centros de desarrollo nanotecnolgico y
los gobiernos respectivos han destinado recursos presupuestales para financiar programas y redes
de apoyo para la nanotecnologa.

En el caso especfico de Colombia, se han identificado dos centros de investigacin importantes en


Nanotecnologa: Centro de Excelencia en Nuevos Materiales (CENM) y el Centro de Ciencia y

13

Resumen Ejecutivo y Conclusiones

avanzado y ser el mtodo cuya fabricacin se realice a partir de tomos y molculas. Se espera

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Tecnologa Nanoescalar (Nanocitec). Asimismo, se ha conformado el Consejo de Nanociencias y


Nanotecnologas y la Red de Investigacin y el Desarrollo de Nanotecnociencias integrada por varias
universidades colombianas. De igual forma, se han identificado 20 grupos de investigacin que
declaran trabajar en nanotecnologa y un total de 48 programas de formacin en fsica. El pas no
cuenta con ningn programa de formacin, como tal, en nanotecnologa.
La intencin principal de este informe es la de mostrar el panorama mundial y nacional en
nanotecnologa con el fin de llegar a conclusiones pertinentes y presentar propuestas sobre las
lneas de desarrollo y de accin, para que Colombia inicie el fortalecimiento y consolidacin en
nanotecnologa y se logre reconocer como un pas competitivo en esta rea.

2.2 Conclusiones.
Resumen Ejecutivo y Conclusiones

A continuacin se presentan los resultados ms importantes, generados a partir de la consulta,


procesamiento y anlisis de la informacin recopilada. Las conclusiones se presentan en dos
apartados: conclusiones tcnicas e implicaciones para Colciencias y para el pas
Conclusiones tcnicas.


La nanotecnologa se caracteriza por ser un campo de estudio multidisciplinar que une


campos como la qumica, la fsica, la biologa, entre otros. Es por lo tanto, una tecnologa
convergente y de plataforma. Esto implica que para consolidar capacidades en nanotecnologa,
es necesario construir capacidades en las distintas disciplinas que la potencian.

Tendencias en Mtodos de Nanofabricacin.


Nanofabricacin.
 En la actualidad, la nanofabricacin se desarrolla a travs de dos mtodos, el Top-Down y el
Bottom-Up. Sin embargo, se prev la aparicin de un tercer mtodo de fabricacin denominado
Fabricacin Molecular. La intencin de estos tres mtodos es fabricar componentes,
herramientas y dispositivos a escalas cada vez ms pequeas. En este sentido, son varias las
tcnicas, como la nanolitografa, sol-gel, auto-ensamblado, entre otras, que se utilizan en los
laboratorios especializados en nanofabricacin. Tales tcnicas tendrn variaciones que van
desde la desaparicin de algunas, la modificacin y adaptacin de otras y la creacin de
nuevas formas de fabricacin nanotecnolgica.

14

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

El desarrollo de la nanofabricacin contempla cuatro etapas: Nanoestructuras pasivas,


Nanoestructuras Activas, Sistemas de nanosistemas y Sistemas moleculares. El mtodo TopDown tiende a ser cada vez menos utilizado durante los prximos aos. Mediante el mtodo
Bottom-Up se logran fabricar componentes cada vez ms diminutos. Estos dos mtodos
tendrn un perodo de coexistencia hasta que el Top-Down se deje de utilizar en nanotecnologa
y Bottom-Up contine su evolucin. Los expertos estiman que hacia el ao 2020 se prev que
llegarn al mercado las primeras aplicaciones fabricadas mediante mtodos moleculares.

Formacin
 El desarrollo de la nanotecnologa genera una alta demanda por personal especializado. Buen
nmero de expertos opinan que se podra presentar escasez de recurso humano a partir de

de formacin en nanotecnologa ofertados por instituciones europeas. Debido a lo anterior y a


los altos costos se han cerrado laboratorios.


Los gobiernos de los diferentes pases enfatizan en la necesidad de contar con profesionales
y expertos en nanotecnologa para el desarrollo econmico de los pases que incursionen en
este campo. Por lo tanto, y pese a lo mencionado antes, Europa fomenta la creacin de cursos
tcnicos, pregrados y posgrados en nanotecnologa.

Instituciones e Investigacin.
 Los pases lderes por produccin cientfica en nanotecnologa son, en su orden: Estados
Unidos, Japn, Alemania, China, Inglaterra, Francia, Corea del Sur, Taiwn, Italia y
Espaa. Tales pases concentran el 90% de las publicaciones cientficas sobre
nanofabricacin con un aumento progresivo y constante en publicaciones cientficas a partir del
ao 2001. Se puede esperar que est tendencia contine, toda vez que los desarrollos del
mtodo Bottom-Up y fabricacin molecular estn en crecimiento, tal como se presentan en el
desarrollo del informe.


Entre tanto, en un anlisis regional se detecta que la regin ms representativa en publicaciones


cientficas es Europa con un total del 41% del total de las publicaciones cientficas.
Amrica representa el 38% del total de publicaciones, sobresale Estados Unidos que
representa el 36% del total de publicaciones a escala mundial.

15

Resumen Ejecutivo y Conclusiones

2010, como quiera que se ha identificado una baja demanda de estudiantes para los programas

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Los pases mencionados anteriormente se destacan tanto en su nmero de publicaciones


cientficas como por las inversiones de sus respectivos gobiernos en el desarrollo de la
nanotecnologa y por la existencia, en al menos en varios de ellos, de realidades comerciales.

Los investigadores ms destacados por su nmero de publicaciones son, principalmente,


asiticos y norteamericanos.

Las instituciones lderes por el nmero de publicaciones cientficas son, en su orden:


Universidad de California (Estados Unidos - 119); la Academia China de Ciencias (China 103); el Instituto Max Planck (Alemania - 67); la Universidad Nacional de Singapur (Singapur
44) y el Instituto Nacional de Ciencias de los Materiales (Japn 43).

Las instituciones lderes son, en su mayor parte, instituciones de educacin superior. Por
su parte, se presenta un segundo grupo de instituciones lderes que son centros apoyados por

Resumen Ejecutivo y Conclusiones

los gobiernos de los pases involucrados en el desarrollo y consolidacin de la nanotecnologa.




Entre los pases latinoamericanos que se destacan por su nmero de publicaciones


cientficas en las bases de datos Scopus e ISI WoS, se encuentran Brasil y Mxico con 16
y 15 artculos respectivamente. Dichos pases son los lderes en el mbito latinoamericano.
Colombia slo ha publicado un artculo en este tema en el ao 2006, de lo anterior podra
decirse que el pas muestra un inters todava naciente sobre este tema (se aclara que las
publicaciones colombianas en este tema estn concentradas, principalmente, en nanotecnologa
y muy pocas en nanofabricacin).

Requisitos para incursionar en nanotecnologa (inversi


(inversin,
nversin, infraestructura y
redes)
edes)
 Los lderes en nanotecnologa, Unin Europea, Estados Unidos y Japn, invierten cada uno
entre 3.000 y 4.000 millones de anuales. En general, se trata de fondos pblicos
administrados por los gobiernos de estos pases. Tambin es importante resaltar que los niveles
de inversin y financiacin aumentan ao a ao, considerablemente, en los pases mencionados
anteriormente.


Los recursos econmicos asignados por los gobiernos de los pases anteriores son invertidos,
principalmente, en la dotacin de infraestructura, especialmente, en tecnologa para los
laboratorios nanotecnolgicos.

16

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

La formacin de redes se ha posibilitado especialmente entre los pases que conforman la Unin
Europea. Se han identificado 144 redes de investigacin y cooperacin entre los centros
de investigacin de los diferentes pases europeos, que permiten la movilidad de personal y
expertos, y de igual forma, compartir herramientas, tecnologas y trabajar en proyectos
conjuntos.

Se han detectado 267 centros de investigacin y laboratorios en nanotecnologa,


principalmente, en Europa (241 centros en 28 pases europeos) y algunos en Estados
Unidos, que ofrecen servicios entre los cuales se destaca la investigacin en mtodos y
tcnicas de fabricacin y la capacitacin y entrenamiento a estudiantes e investigadores; de
estos 267, se destacan dos laboratorios ubicados en Mxico y Costa Rica.
Se han detectado 87 empresas privadas encontradas en las bsquedas de informacin que se
concentran en desarrollos de productos especialmente en nanotubos de carbono,
fabricacin y empleo de biomateriales, nanopartculas, nanoestructuras y nanomateriales.
De estas 87 empresas, 73 son de Estados Unidos, cuatro de China y tres de Espaa

Se han identificado nueve comunidades virtuales en nanotecnologia, que concentran gran


cantidad de informacin con respecto a eventos, noticias, centros de investigacin, libros,
publicaciones, avances tecnolgicos, proyectos de investigacin, empresas, programas
educativos, entre otras informaciones

Actividad Inventiva a travs de Patentes.


Patentes.
 En cuanto a patentes, el pas lder es Japn, toda vez que los cinco primeros titulares con
mayor nmero de patentes son instituciones japonesas y representan el 21% del total de
patentes (225 patentes). Otros pases destacados, en menor medida, son China, Corea y
Estados Unidos


En nanofabricacin se detectaron 1037 patentes relacionadas con los procesos de


fabricacin.

Las mencionadas patentes estn asignadas a 308 titulares, entre los cuales se destacan la
Agencia Japonesa de Ciencia y Tecnologa (7% patentes); el Instituto Nacional de Ciencias de
los Materiales (4% patentes); el Instituto Nacional para el Avance de la Industria y la Tecnologa
(3% patentes); y las compaas Sony (3% patentes) y Canon (3% patentes)

17

Resumen Ejecutivo y Conclusiones

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

La actividad de patentes en este campo, se inici a partir del 2000 y entre este ao y el 2004 se
present una dinmica creciente; el 2004 es el ao con mayor nmero de patentes en el tema
con un total de 320 patentes. Para el 2005 se present un descenso en la actividad, seguido de
un repunte para el 2006. Hasta el mes de Mayo de 2007, se han publicado un total de 43
patentes

Nanotecnologa en Amrica Latina.


 En Amrica Latina, es posible determinar tres conjuntos de pases de acuerdo con el nmero
de publicaciones cientficas. El primer grupo es posible denominarlo pases lderes en
latinoamerica conformado por Brasil y Mxico con 15 y 16 publicaciones, respectivamente.
Un segundo grupo es posible denominarlo pases destacados y estn dentro del grupo
Puerto Rico y Argentina, con cinco y cuatro publicaciones cientficas respectivamente. Y por
Resumen Ejecutivo y Conclusiones

ltimo, el tercer grupo son los pases con inters naciente entre los cuales se encuentra
Colombia junto con Chile, Cuba, Per y Venezuela cada uno con un artculo cientfico en el
tema.


Con todo lo anterior en mente es de notar la baja produccin latinoamericana en relacin con
otras regiones del mundo como Europa, Asia y Norte Amrica, puesto que en esa regin los
artculos slo alcanza el 1% del total de publicaciones cientficas a partir del ao 2000. Con
respecto a la produccin cientfica de Europa, Amrica Latina slo alcanza un 3%; y en relacin
con Estados Unidos y los pases asiticos, la produccin de la regin representa un 4%. Ello
puede deberse, a que Colombia y muchos de sus vecinos todava no tienen el suficiente dominio
en estas tecnologas convergentes y a la poca disponibilidad de infraestructura, personal
especializado y demanda.

Especficamente para los pases latinoamericanos, la dinmica de publicaciones cientficas es


muy similar a la dinmica creciente mencionada anteriormente en los pases lderes. Pero esta
regin an no alcanza una elevada produccin de artculos cientficos.

En pases como Brasil se han creado programas de fomento y apoyo a la nanotecnologa.


Las inversiones en este campo han aumentado progresivamente pasand de 19 millones de
dlares en 2005 a 30 millones de dlares en 2006. Adems, se han establecido redes de
cooperacin con pases como India y Sur frica (Los Ministros de Ciencias de estos tres pases

18

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

han trabajado sobre la identificacin de reas de cooperacin trilateral en el campo de la


nanotecnologa).


Se ha identificado cierta dinmica de construccin de capacidades y de institucionalidad


alrededor de la nanotecnologa en algunos pases latinoamericanos. En Mxico y Chile han
creado grupos de investigacin en las diferentes universidades para desarrollar la
nanotecnologa. Costa Rica inaugur el Laboratorio en Nanotecnologa, el primero en Centro
Amrica. Argentina cre la Fundacin Argentina de Nanotecnologa con recursos del gobierno.

Capacidades Colombianas en Nanotecnologa.


Nanotecnologa.
 Colombia ha incursionado en este tema mediante la creacin de varias instituciones de
creacin de grupos de investigacin (20), de centros de investigacin (2), de un Consejo
Nacional, de una red de cooperacin y de una empresa de apoyo a la nanotecnologa en el pas.


En los planes de desarrollo del pas se considera la necesidad de iniciar y consolidar el


estudio y los avances en la nanotecnologa para que el pas pueda aprovechar el mercado
potencial que brinda este campo tecnolgico.

En el ao 2005 se conform el Consejo Nacional de Nanociencia y Nanotecnologa. De igual


forma, se cre la Red de Investigacin y el Desarrollo de Nanotecnociencias conformada por
varias universidades y centros de investigacin.

Adems, en el plano de investigacin aplicada se cre el Centro de Excelencia en Nuevos


Materiales-CENM que investiga sobre nanotecnologa y el Centro de Ciencia y Tecnologa
Nanoescalar-Nanocitec que investiga sobre la nanotecnologa aplicada al campo de la
medicina con nfasis especial en cncer.

Es de notar, que pese a los esfuerzos mencionados antes, hay ausencia de una poltica
nacional que fomente el desarrollo de la nanotecnologas.

Infraestructura con la que cuenta


cuenta el pas


Colombia cuenta con 20 grupos de investigacin que declaran en sus lneas de investigacin
investigar sobre nanotecnologa. Tales grupos representan el 6% del total de grupos registrados
en ScienTI para el Programa Nacional de Electrnica, Telecomunicaciones e Informtica (ETI).

19

Resumen Ejecutivo y Conclusiones

orden privado, pblico y acadmico. La incursin se ha dado, principalmente, a travs de la

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

La Universidad Nacional de Colombia es la institucin que cuenta con ms grupos de


investigacin en este tema.


Tales grupos de investigacin cuentan con 322 investigadores. que pueden ser considerados
como la comunidad en nanotecnologa. Ahora bien, la comunidad representa el 1% del total
de los 47197 investigadores registrados en SCienTI a septiembre de 2006 y un 8% del total de
los investigadores (3884) registrados en los 329 grupos del Programa ETI.

De estos 322 investigadores pertenecientes a los 20 grupos de investigacin, 158 pueden ser
considerados como la masa crtica del pas por tener ttulos en formacin avanzada
relacionada con las ciencias que aplican a nanotecnologa.

Colciencias ha financiado y apoyado 12 proyectos (entre el 2002 y noviembre de 2006)


relacionados con nanotecnologa. Tales proyectos se han desarrollado, principalmente, en el

Resumen Ejecutivo y Conclusiones

departamento de Antioquia en el 2006.




Colombia cuenta con programas de formacin de base para la nanotecnologa (principalmente,


fsica), pero hasta el momento no se cuenta con programas de formacin propiamente de
nanotecnologa. De acuerdo con las bsquedas, Colombia cuenta con un total de 48
programas de formacin en fsica para adaptarse a nuevas estructuras curriculares que
incorporen el estudio y la investigacin en nanotecnologia. Estos programas de formacin estn,
principalmente, en el nivel universitario (66%).

A continuacin se presentan los aspectos favorables y desfavorables del pas que pueden propiciar
el desarrollo de la nanotecnologa.

El desarrollo tecnolgico y la inversin tanto de recursos como de conocimiento en este campo


es muy alto, pases como Colombia tienen un gran desafo por delante, toda vez que es
indispensable para el desarrollo tecnolgico invertir en conocimiento sobre las actividades, los
procesos y las prcticas de los lideres mundiales en el tema y de est manera estructurar
estrategias de cooperacin, de inversin tecnolgica y de capacitacin en este campo.

20

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Tabla 1. Aspectos que pueden propiciar el desarrollo de la nanotecnologa.

Aspectos Favorables

Aspectos Desfavorables

Iniciativas de cooperacin y unin de trabajo La infraestructura (tecnologas) del pas es


(Consejo Nacional y Red de Nanotecnociencia). incipiente. Son pocas las tecnologas con las que
Iniciativas de investigacin (Centro Nanoescalar- cuenta el pas
Nanocitec y CENM). Iniciativas empresariales
(Nanocolombia)
Existen 20 grupos de investigacin que declaran No se cuenta con programas especializados de
formacin en el tema

trabajar en nanotecnologa

Colombia tiene 322 investigadores en los 20 Los investigadores (322) de los 20 grupos slo
grupos

de

investigacin

relacionados

con representan el 8% del total de investigadores

conforman la masa crtica en nanotecnologa por su masa


formacin

avanzada

(maestra,

doctorado

crtica

(investigadores

con

formacin

y avanzada maestra y doctorado) representa el

posdoctorado).

0.3% del total de investigadores colombianos


registrados en la plataforma ScienTI
Fuente: Colciencias, (2007)

Implicaciones para Colciencias y propuestas de desarrollo para Colombia.


Colombia.


La incursin en el campo de la nanotecnologa de manera decidida es difcil para pases en


desarrollo. Para hacerlo eficazmente se requiere construir una infraestructura tecnolgica
compleja, de un capital humano numeroso y especializado y de un alto grado de
coordinacin y capacitacin entre los actores involucrados. Esto es costoso, lleva tiempo y
no est exento de riesgos.

Sin embargo, a pesar de las dificultades previsibles, la posibilidad de construir capacidades


nanotecnolgicas que permitan incursionar en nuevos mercados, as como la importancia que se
prev tendr la nanotecnologa en la economa mundial, justifican que Colombia implante
estrategias orientadas a incursionar decididamente en el desarrollo de capacidades en
nanotecnologa con miras a establecer una verdadera industria nanotecnolgica nacional.

Para construir las capacidades anteriormente mencionadas y conformar una industria nacional
en nanotecnologa se deben disear estrategias de mediano y largo plazo que convoquen a
los distintos actores involucrados (Estado, academia, sectores productivos, entidades de

21

Resumen Ejecutivo y Conclusiones

nanotecnologa. De ellos 158 investigadores registrados en los grupos del Programa ETI. La

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

financiamiento, sociedad civil) para alcanzar una visin compartida de pas nanotecnolgico. A
continuacin se presentan algunos elementos a tener en cuenta en la formulacin de estas
estrategias:


Aunque las capacidades nacionales son an incipientes, no se parte de cero. En este informe
se ha identificado cierta capacidad cientfica y tecnolgica que puede servir de base para
el desarrollo de la nanotecnologa. Estas capacidades incluyen un centro de excelencia
especializado en nuevos materiales; un centro de investigacin especializado en el rea de la
salud, especficamente en cncer; varios grupos de investigacin; unos pocos centenares de
investigadores con formacin avanzada; programas de formacin base (fsica); adems de una
empresa de apoyo y fomento al tema.

Un aspecto fundamental para consolidar las capacidades consiste en la formacin de

Resumen Ejecutivo y Conclusiones

capital humano para la nanotecnologa. Existen oportunidades claras para lograr este
propsito. La posibilidad se hace visible, dado que la oferta de programas de formacin en
pregrado y en posgrado, especialmente en la Unin Europea, no tienen la demanda continental
que se supone deberan tener, dada la importancia de la nanotecnologa en el mundo. Esta baja
demanda por estos programas, constituyen una oportunidad para pases como Colombia,
toda vez que se abre la posibilidad de enviar estudiantes o investigadores con el objetivo
de que puedan formarse en nanotecnologa, y de esta manera aprovechar tanto los
laboratorios como los conocimientos en este campo. En este sentido, es posible fomentar la
movilidad de investigadores colombianos para que nuestros investigadores desarrollen
conocimientos, capacidades, capital relacional y competencias bsicas en nanotecnologa, y de
esta manera, aplicar los conocimientos al desarrollo integral de la nanotecnologa en el pas.


Para facilitar la movilidad de nuestros investigadores, es necesario propiciar el desarrollo de


convenios interinstitucionales con las universidades y centros de investigacin internacionales
que ofrecen programas de formacin en nanotecnologa.

Se han identificado varios centenares de redes y comunidades virtuales en el mbito de la


nanotecnologa. La vinculacin de los actores nacionales con redes relevantes es una
oportunidad que se deben aprovechar. La vinculacin con estas redes y comunidades
permitira a las instituciones e investigadores colombianos estar al tanto de los ltimos

22

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

desarrollos, participar en proyectos de alto impacto y participar en procesos de transferencia de


conocimiento.


Por su parte, es necesario activar y potenciar las redes entre los actores nacionales
involucrados en nanotecnologia. Tal condicin es clave para generar una agenda comn de
trabajo y una visin compartida segn la cual se establezcan metas y objetivos claros y comunes
de trabajo, con miras a la insercin de nuestra red colombiana en redes internacionales de
nanotecnologa.

En este contexto, Colciencias en su calidad de Secretaria Tcnica del Sistema Nacional de


Ciencia Tecnologa e Innovacin puede convertirse en el eje central de integracin entre
los actores nacionales involucrados (centros y grupos de investigacin, organismos de

comunes que propicien la integracin y la puesta en comn de ideas, propuestas y proyectos


que conduzcan al pas al aumento de sus capacidades en el tema y a propiciar el desarrollo de
polticas pblicas de fomento a la nanotecnologa.


El mbito de la nanotecnologa, a pesar de su relativa novedad, es muy amplio. Dada la


escasez de recursos es necesario concentrarlos segn criterios para definir prioridades con
el propsito de aumentar las capacidades nacionales actuales, desarrollar las tecnologas
prometedoras en concreto para los mercados en crecimiento. El grado de especificidad
requerido para tomar estas decisiones escapa al alcance de este informe. Por lo tanto, en esta
etapa es difcil realizar propuestas especficas. Es importante realizar o adquirir informes que
permitan tomar decisiones de poltica.

A pesar de lo mencionado en el prrafo anterior y de acuerdo con los resultados de las


bsquedas, podramos aventurar algunas directrices: En cuanto a mtodos de fabricacin en
nanotecnologa, deberan priorizarse los Bottom-Up y los mtodos moleculares sobre los
mtodos Top-Down. Lo anterior debido a que, segn se encontr, estos ltimos estn en
decadencia, mientras que los dos primeros sern dominantes en el mediano (Bottom-Up) y largo
plazo (moleculares). Sin embargo, existe alto grado de diversidad en tcnicas especficas. Por lo
tanto, es necesario hacer estudios ms profundos para definir aquellas tcnicas que sean
prioritarias.

23

Resumen Ejecutivo y Conclusiones

interfaz, Gobierno y sectores productivos). El rol de la entidad apunta a generar espacios

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

En principio, las capacidades nacionales parecen estar ms consolidadas en dos


mercados identificables: en medicina y farmacutica y en qumica y materiales
avanzados. Por lo tanto, sera razonable realizar esfuerzos para desarrollar las capacidades
orientadas hacia estos mercados. Sin embargo, vale la pena estudiar la dinmica de otros
mercados para consolidar las capacidades y de esta manera, explotar aquellos que resulten
como ms prometedores.

Existen dos formas principales de incursionar en mercados para aprovechar el potencial de la


nanotecnologa. La primera es incorporar desarrollos nanotecnolgicos en productos
existentes, de tal forma que estos productos adquieran nuevas funcionalidades o caractersticas
atractivas para el usuario final. Dado el carcter transversal de la nanotecnologa, existe una
amplia gama de posibilidades en este sentido, que agrega valor a productos tradicionales. Para

Resumen Ejecutivo y Conclusiones

pases en desarrollo como Colombia, se perfila la oportunidad de orientar la investigacin en


nanotecnologa a la agregacin de valor a la industria nacional, especialmente a aquellos
sectores con un impacto ms significativo en el PIB. De esta forma se podra ganar en
competitividad en los sectores con mayor impacto mediante el acoplamiento de desarrollos en
nanotecnologa a las capacidades nacionales. La segunda es llevar desarrollos
nanotecnolgicos al mercado a travs de desarrollo de productos novedosos. De esta forma
se orientaran los desarrollos a productos de alta complejidad tecnolgica y valor agregado,
capaces de abrir nuevos mercados o explotar mercados nacientes, con alto crecimiento y
retorno econmico. De tal suerte que se podra consolidar un posicionamiento de la industria
nacional en nuevos mercados con alto potencial, para contribuir a la transformacin de la
estructura productiva colombiana de modo que se introduzcan mayores conocimientos en los
productos.

24

Informe de Vigilanca Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

3 Nanotecnologa

En la literatura cientfica se presenta diferentes definiciones de nanotecnologa, para efectos del


informe hemos tomado la definicin que plantea el Ministerio de Ciencia y Tecnologa de Nueva
Zelanda (2006,3), por cuanto considera que la nanotecnologa es:
un campo de las ciencias aplicadas dedicado al control y manipulacin de la materia a una
escala menor que un micrmetro, es decir, a nivel de tomos y molculas. Lo ms habitual
es que tal manipulacin se produzca en un rango de entre uno y cien nanmetros. La
nanotecnologa se caracteriza por ser un campo esencialmente multidisciplinar, y
cohesionado exclusivamente por la escala de la materia con la que trabaja .
Ciencias involucradas en la nanotecnologa.
La nanotecnologa involucra a las ciencias qumica y bioqumica, biologa molecular y fsica y a las
tecnologas de la ingeniera electrnica y de protenas, microscopios y pruebas de proximidad,
imgenes electrnicas y posicionamiento molecular electrnico, materiales cientficos, qumica
supramolecular y qumica computacional.
De acuerdo con la investigacin de los profesores Alguacil y Pistone (2002) los desarrollos
tecnolgicos a escala nanomtrica se han gestado a travs de tres ciencias principales, como lo son
la fsica, la biologa y la qumica. Los desarrollos de ests ciencias y su evolucin han gestado la
creacin de la nanotecnologa, tal como se presenta en el Grfico 1.

El prefijo nano proviene del griego y significa enano, y en ciencia y tecnologa quiere decir 10-9, es decir, una milmillonsima
parte (= 0,000000001). Un nanmetro (nm) es una milmillonsima de un metro, es decir, decenas de miles de veces ms pequeo que
el dimetro de un cabello humano. Nanotecnologa se utilizar aqu como trmino colectivo que abarcar las distintas ramas de las
nanociencias y de las nanotecnologas.

25

Nanotecnologa

3.1 Qu es nanotecnologa5?

Informe de Vigilanca Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Grfico 1. Evolucin de la nanotecnologa a travs de las ciencias que la componen.

Fuente: Alguacil P. y Pistone S. (2002)

Nanotecnologa

La evolucin demuestra que las tres ciencias descritas anteriormente, han confluido en la
nanotecnologa, a travs de los desarrollos cada vez a escala ms pequea.
Los mtodos de fabricacin de nanotecnologa utilizan varias tcnicas. Algunas de las tcnicas son
las siguientes: Chemical Vapour Deposition CVD, sol-gel, self-assembled. Estas tcnicas son
utilizadas por los mtodos Bottom up y Top Down que son los mtodos ms comnmente usados
por los centros de desarrollo de nanotecnologa (Wood, 2003).

3.2 Importancia de la nanotecnologa en el mundo


La nanotecnologa se ha convertido en uno de los nuevos campos de la Investigacin y Desarrollo
(I+D) cuyas perspectivas prometedoras y de rpida expansin darn un gran impulso a la
consecucin de los objetivos de una economa dinmica basada en el conocimiento -en donde las
aplicaciones de las nanotecnologas son cada vez ms visibles y su impacto se dejar sentir pronto
en la vida cotidiana (Comisin Europea, 2004)En el mbito empresarial, las nanotecnologas ofrecen importantes perspectivas de innovacin, ya
sea incremental o radical. Al mismo tiempo, exponen a numerosas empresas al riesgo de que tarden
en percibir el potencial que ofrecen y pierdan de est forma su competitividad (Comisin Europea,
2004).

26

Informe de Vigilanca Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Segn Juma y Cheong (2005), la nanotecnologa es considerada como una nueva tecnologa6 o
tecnologa de plataforma cuyos impactos combinados conllevarn implicaciones profundas para la
transformacin econmica a largo plazo.
La importancia real de la nanotecnologa se observa en las aplicaciones actuales y los desarrollos e
impactos futuros tanto en los pases como en la vida cotidiana de las personas, lo que a su vez
contribuir a la solucin de problemas que enfrenta la sociedad en la actualidad (Comisin Europea,
2004).
Entre las aplicaciones se encuentran adelantos y desarrollos en medicina, tecnologas de la
informacin, produccin y almacenamiento de energa, fabricacin a nanoescala, avances
significativos en materiales, fabricacin de instrumentos, investigacin sobre alimentos y el
ambiente, y sistemas de seguridad (Invernizzi y Foladori, 2006).

3.3 Ventajas y desventajas de la nanotecnologa

De igual manera, el informe destaca que actualmente los sectores ms desarrollados son los de los
nanomateriales, especialmente nanopartculas, nanomembranas y catalizadores y un poco por
detrs la nanoelectrnica (nanochips, memorias.). Ya estn a la venta pinturas con componentes
nanotecnolgicos (pinturas inteligentes, autolimpiables, antigraffiti), materiales reforzados con
nanotubos de carbono e incluso protectores solares y cremas cosmticas con nanopartculas y
nanocpsulas.
Entre tanto, el mismo informe seala que la nanotecnologa tambin presenta una serie de
desventajas o riesgos inherentes al desarrollo y uso de la misma en la medida en que:
la nanotecnologa demuestra grandes riesgos evidentes, unos ms inmediatos, su toxicidad
intrnseca, y otros a un plazo ms largo ("la plaga gris"). Las clulas de los seres vivos no
detectan a los productos nanotecnolgicos como materia extraa por lo que no desarrollan
anticuerpos contra ellos, lo que en consecuencia da la posibilidad de que algunos materiales
pueden penetrar fcilmente en las clulas, incluso en las nerviosas, y acumularse en
determinados puntos. Los efectos desastrosos que pueden tener directamente o si se asocian
con algn patgeno o txico son evidentes. Uno de los riesgos ms temidos es el que se utiliza
para clasificar y controlar a las personas ya sea directa o indirectamente a travs de
nanosensores y nanochips, o ejerciendo el control sobre la naturaleza en conjunto, dando a la
ingeniera gentica herramientas de precisin.

Trmino utilizado para indicar el uso de tecnologas nacientes, como es el caso de las tecnologas de comunicacin e informacin, la
biotecnologa y la nanotecnologa y los nuevos materiales (Juma y Cheong, 2005)

27

Nanotecnologa

Segn el informe sobre nanotecnologia de la Universidad de Concepcin en Chile (2004) Una de las
principales ventajas que genera la nanotecnologa es el futuro econmico/industrial, ya que sta se
puede aplicar a muchos campos por ser una tecnologa multidisciplinara, que abarca desde la
industria aeroespacial hasta la medicina y la farmacia, adems del uso militar y policial.

Informe de Vigilanca Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

3.4 Financiacin mundial de la nanotecnologa.


De acuerdo con Invernizzi y Foladori (2006), las inversiones pblicas y privadas destinadas a
investigacin y desarrollo en nanociencia y nanotecnologa han aumentado considerablemente en
los ltimos aos y con ellas crece el entusiasmo sobre las potencialidades de est nueva tecnologa,
aplicables a los campos ms diversos. El mercado de nanoproductos ya es uno de los que presenta
mayor crecimiento y potencial.
Dado el potencial de las nanotecnologas, muchos pases llevan a cabo programas de I+D en este
campo, y cuentan con amplios fondos pblicos en rpido aumento. Tal y como lo ratifica el informe
presentado por la Comisin Europea (2004), que seala que a lo largo de la ltima dcada se ha
asistido a un rpido incremento del inters de la inversin pblica por este mbito de investigacin,
en el cual pasan de unos 400 millones de euros en el ao 1997 a ms de 3.000 millones de euros
para el 2004. El informe agrega, adems, que aunque es difcil estimar con precisin la contribucin
privada a las actividades de I+D en el campo de las nanotecnologas, se ha calculado que la cifra
podra acercarse a los 2.000 millones de euros, lo que implicara que el total de la inversin en
actividades de I+D de nanotecnologa estara en torno a los 5.000 millones de euros.
En el Grfico 2 y el Grfico 3 se presenta una estimacin sobre la financiacin pblica destinada a la
nanotecnologa para el ao 2003.

1000
Gasto Pblico (Millones de Euro)

Nanotecnologa

Grfico 2. Niveles globales del gasto pblico en nanotecnologa en el ao 2003.


1200

EE.UU
Federal

350

300

CE
800

600
Estados
Mienbros

400

800

EE.UU
Estados

810

770
511

200

0
Europa

Japn

EE.UU

Fuente: Comisin Europea (2004)

28

Otros

Informe de Vigilanca Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Con base en el Grfico 2 se observa que los pases ms fuertes en inversin son Japn y Estados
Unidos, los cuales destinan grandes cantidades de recursos para el desarrollo de laboratorios de
investigacin y desarrollo de productos, aplicaciones y procesos de nanotecnologa. Japn incluy la
nanotecnologa entre sus prioridades de investigacin en el ao 2001. Los niveles de financiacin
anunciados se han incrementado considerablemente y han superado el gasto federal de los EE.UU.,
al pasar de 400 millones de dlares en el ao 2001 a alrededor de 800 millones en el ao 2003. La
desagregacin de la inversin de los pases europeos se observa en el Grfico 3.
Grfico 3. Niveles de financiacin en pases europeos
400

300
250
250
180

200

130

150
100

60
50

50

43
22

15

15

14

1,5

1,2

0,5

C
E
le
m
an
i
Fr a
an
R
ei
no cia
U
ni
do
Pa
I
s tali
Pa
es
a
s
es
B
a
jo
as
oc s
ia
do
s
Irl
an
da
Su
ec
i
B a
lg
Fi ica
nl
an
Pa
di
s
Au a
es
ad str
ia
he
re
n
D
in tes
am
ar
c
Es a
pa
a
G
re
c
Po ia
rt
ug
al

Fuente: Comisin Europea (2004)

El informe resea que Alemania es el pas que invierte la mayor cantidad de recursos econmicos
para el desarrollo de la nanotecnologa, seguido de Francia y el Reino Unido. Sin embargo, las
inversiones de estos tres pases son una tercera o cuarta parte de la inversin de Estados Unidos o
Japn.
De acuerdo con el mismo informe, en el Grfico 4, es posible observar que la nanotecnologa se
inicia como un rea apuesta de pases como Corea del Sur, Taiwn y China (Comisin Europea,
2004). Tales pases presentan crecimientos significativos en el campo nanotecnolgico. Corea del
Sur se ha embarcado en un ambicioso programa decenal dotado con aproximadamente 2.000
millones de dlares de financiacin pblica mientras que Taiwn ha comprometido aproximadamente
600 millones de dlares de fondos pblicos para un programa de seis aos. Esto se comprueba en
el desarrollo de los pases lderes en nanotecnologa en investigacin cientfica (resultados que se

29

Nanotecnologa

Gasto Pblico (Millones de euros)

350
350

Informe de Vigilanca Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

presentan en el apartado seis de este informe). Muchos otros pases prestan cada vez mayor
atencin a la nanotecnologa, entre los que podemos sealar: Australia, Canad, India, Israel,
Malasia, Nueva Zelanda, Filipinas, Singapur, Sudfrica y Tailandia.
Grfico 4. Financiacin de pases con dinmica creciente en nanotecnologa

Gasto Pblico (Millones de dlares)

250

200
200

150

100

100

100

50
50
30
8

4
In
di
a

Ta
ila
nd
ia

M
al
as
ia

Si
ng
ap
N
ur
ue
va
Ze
la
nd
a

an
ad

al
ia
A
us
tr

C
hi
na

n
Ta
iw

de
lS
ur

C
or
ea

Nanotecnologa

Fuente: Comisin Europea (2004)

3.5 Mtodos y tcnicas de nanofabricacin.


La nanofabricacin se puede definir como transformar las materias primas en productos con las
caractersticas y los funcionamientos deseados (Wolfgang, 2004).
Segn Keenan (2005), la nanofabricacin tiene como objetivo las estructuras, los componentes, los
dispositivos, las maquinas y los sistemas del material de construccin con las caractersticas de
nanoescala en una, dos o tres dimensiones, en el cual se hace uso de mtodos especiales para
realizar la estructuracin nanotecnolgica de componentes. Dado que la nanotecnologa implica la
fabricacin o construccin de partculas de escala muy pequea, son varios los mtodos actuales
que permiten fabricar este tipo de componente de escala nano. Los mtodos Bottom Up y TopDown son utilizados comnmente y cada uno de estos mtodos tiene a su vez, una serie de
tcnicas especficas. Adems, una nueva corriente se desarrolla en el ltimo tiempo, y es llamada
fabricacin molecular, la cual se vislumbra como la gran tendencia en este campo (Keenan, 2005).
Los mtodos anteriores se explicarn a continuacin.

30

Informe de Vigilanca Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

A) Top-down: Reduccin de tamao. Literalmente desde arriba (mayor) hasta abajo (menor). Los
mecanismos y las estructuras se miniaturizan a escala nanomtrica. Este tipo de nanotecnologa ha
sido el ms frecuente hasta la fecha, ms concretamente en el mbito de la electrnica donde
predomina la miniaturizacin (Keenan,2005). La tcnica ms utilizada en este mtodo es la
nanolitografa y consiste en usar rayos de electrones para producir diseos en qumicos fotosensitivos, los cuales son despus suprimidos para exponer la nueva superficie. Est tcnica es una
de las principales en la fabricacin de circuitos integrados. (Wolfgang,2004).
B) Bottom-Up: Literalmente desde abajo (menor) hasta arriba (mayor). Se comienza con una
estructura nanomtrica como una molcula y mediante un proceso de montaje o auto ensamblado,
se crea un mecanismo mayor que el componente con el que se inici. Este enfoque, que algunos
consideran como el nico y "verdadero" enfoque nanotecnolgico, ha de permitir que la materia
pueda controlarse de manera extremadamente precisa. De est forma podremos liberarnos de las
limitaciones de la miniaturizacin, muy presentes en el campo de la electrnica (Keenan,2005).

C) Fabricacin Molecular (Molecular Manufacturing): El ltimo paso para la nanotecnologa de


auto montaje de adentro hacia afuera se denomina "nanotecnologa molecular" o "fabricacin
molecular", y ha sido desarrollada por el investigador K. Eric Drexler. Este mtodo consiste en la
capacidad de construir los dispositivos, las mquinas, y eventualmente los productos enteros con
cada tomo en su lugar especificado (Keenan, 2005).
Se prev que las fbricas moleculares reales sean capaces de crear cualquier material mediante
procesos de montaje exponencial de tomos y molculas, controlados con precisin. Cuando alguien
se da cuenta de que la totalidad de nuestro entorno perceptivo est construida mediante un limitado
alfabeto de diferentes constituyentes (tomos) y que este alfabeto da lugar a creaciones tan diversas
como el agua, los diamantes o los huesos, es fcil imaginar el potencial casi ilimitado que ofrece el
montaje molecular. (Keenan, 2005).
Grfico 5. Mtodos Top Down y Bottom Up

Fuente: Luther

31

Nanotecnologa

La tcnica ms utilizada en el mtodo Bottom up es el Self Assembly. El auto ensamblado


consiste en secuencias arbitrarias del ADN sinttico que se producen en cantidad, las cuales pueden
ser organizadas en protenas nicas o en aminocidos que pueden ser vinculados a otros lienzos de
ADN y de los cuales se pueden crear estructuras simples (Wolfgang, 2004).

Informe de Vigilanca Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

3.5.1 Tcnicas de nanofabricacin.


De acuerdo con Wolfgang (2004) son varias las tcnicas utilizadas actualmente para fabricar
nanomateriales, nanoherramientas y nanopartculas. A continuacin se presenta una breve
descripcin de cada una de las tcnicas de nanofabricacin.
Tabla 2. Principales tcnicas de nanofabricacin.

Tcnica

Proceso
Es un proceso industrial establecido desde hace mucho tiempo para la
generacin de nanoprticulas coloidales a partir de la fase lquida, para la
produccin de materiales nanotecnolgicos.
Aerosol
Los procesos basados en Aerosol son una tcnica comn para la produccin
industrial de nanopartculas. Los aerosoles se pueden definir como
partculas slidas o lquidas en una fase de gas, donde las partculas pueden
extenderse de las molculas hasta el 100 m de tamao.
Deposicin de vapor Consiste en activar una reaccin qumica entre la superficie del substrato y
qumico
(Chemical un precursor gaseoso.
Vapour Deposition
CVD)
Condensacin atmica Esta tcnica se utiliza, principalmente, para el metal que contiene
o molecular (Atomic or nanopartculas.
Molecular
Condensation)
Auto ensamblado (Self Compuestos orgnicos, biolgicos, xidos, metales y semiconductores
Assembled)
inorgnicos se pueden procesar mediante la utilizacin de est tcnica. Se
utiliza para ensamblar materiales de acuerdo con un orden especfico.
Nanolitografa
Consiste en usar rayos de electrones para producir diseos en qumicos
(nanolithography)
foto-sensitivos, los cuales son despus suprimidos para exponer la nueva
superficie. Est tcnica es una de las principales en la fabricacin de
circuitos integrados
Electron Beam (e- Se emplea para hacer los componentes ms pequeos en los substratos del
beam) Lithography
silicio y es la tcnica ms eficaz de crear patrones en los substratos tales
como mscaras de foto y mscaras de radiografa.
Sol gel

Nanotecnologa

Fuente: Wolfgang (2004)

32

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

4 Tendencias en Nanotecnologa

De acuerdo con el informe presentado por David Keenan (2005), se est gestando un nuevo mtodo
de fabricacin de nanotecnologa. Este se puede denominar como fabricacin molecular en el cual
se desarrolla una integracin jerrquica con los sistemas de una escala ms grande.
El mismo informe presenta una serie de etapas o estados evolutivos de los mtodos. A continuacin
se relaciona un resumen sobre la evolucin de la nanofabricacin.
Etapas de desarrollo de la nanofabricacin.
El desarrollo de la nanotecnologia ha sido a travs de diferentes etapas, conforme se presenta en la
Tabla 3.
Tendencias evidentes para los mtodos de nanofabricacin
Segn Keenan (2005) acorde con la evolucin y el desarrollo de cada una de las etapas se
presentan las posibles evoluciones de cada uno de los mtodos de nanofabricacin.
En las cuatro etapas se involucran los mtodos y tcnicas de nanofabricacin y considera los
desarrollos futuros en este campo, desde el punto de vista de los avances y la evolucin de la
fabricacin de nanotecnologa.

33

Tendencias

4.1 Tendencias de los mtodos de nanofabricacin.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Tabla 3. Etapas de desarrollo de la nanotecnologa

Etapa
Etapa
Nanoestructuras
Pasivas.

1:

Etapa
Nanoestructuras
Activas.

2:

Etapa 3: Sistemas de
Nanosistemas.
Etapa 4: Sistemas
Moleculares
(fabricacin
molecular).

Descripcin
Es la etapa ms simple, en la cual se usan partculas de nanoescala. Se usan para
obtener propiedades inusuales o imposibles por otros medios por ejemplo, en
productos como pelotas de tenis, gafas de sol, etc., Las tcnicas de fabricacin de
este primer estado evolutivo incluyen la fragmentacin modelar, la reestructuracin
de materiales a granel, o la litografa.
Est segunda etapa de nanofabricacin implica desarrollar los componentes a
escala nano para otros componentes en los que se requiere de control y lmite,
integracin de los componentes, entendimiento de las interfases de fabricacin para
que la escala nano se mantenga en los lmites. La tcnica ms usada en est etapa
es el self-aseembly y el diseo de nuevas molculas.
La tercera etapa implica la combinacin de nanoestructuras activas y pasivas en
dispositivos o maquinas simples. Esta etapa est por desarrollarse y deber
establecer nuevas tcnicas de ingeniera de sistemas, arquitectura de dispositivos y
mtodos self-asembly multi-escala.
La etapa de los sistemas moleculares requiere la comprensin de los nanosistemas
biolgicos, como tambin de sistemas emergentes como la integracin jerrquica,
de molculas como dispositivos y control quntico.

Fuente: Keenan (2005)


Tendencias

Es importante destacar que la diferencia evolutiva de la etapa 1 y 2, toda vez que, la etapa 1 se
puede asociar con los mtodos Top-Down y este mtodo tiende a ser poco utilizado en los prximos
aos, dado que la reduccin de los componentes tiene un lmite. Por su parte, la etapa 2 es posible
asociarla con el mtodo Bottom-Up y la perspectiva futura es que este mtodo contine su evolucin
y desarrollo a tal punto que, se llegue a fusionar o combinar con el Top-Down y se transforme ms
adelante en fabricacin molecular. Es importante considerar que la etapa 3 est por venir en el
mediano plazo. La etapa 4 es el estado ms avanzado que se puede avizorar siendo la etapa de
evolucin futura y esperada por los cientficos. Incluso, se ha llegado a aseverar que la
nanotecnologa generar otra revolucin industrial.
El Grfico 6 presenta, de manera resumida, las tendencias futuras sobre los mtodos de
nanofabricacin.
De acuerdo con el Grfico 6 y las perspectivas presentadas anteriormente, el desarrollo y evolucin
de la nanotecnologa en este contexto, se dar en un perodo de 20 aos, perodo que se inici en el
2000 y que presenta una evolucin rpida, pero no determinante para los mtodos de
nanofabricacin, que se esperan para el ao 2020.

En las dcadas comprendidas entre el ao 2000 y el 2020, se presentar la evolucin de las cuatro
etapas y de los mtodos asociados a estas etapas, por lo que se puede esperar un rpido cambio
tecnolgico en los prximos aos a consecuencia de la gran velocidad de evolucin y desarrollo de
este campo.

34

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Grfico 6. Tendencias de los mtodos de nanofabricacin.

Fuente: Keenan (2005)

El mismo autor, Keenan, considera que el mtodo Top-Down es un mtodo de fabricacin adaptado
de la microtecnologa y aplicable en este momento, a los desarrollo nanotecnolgicos. Sin embargo,
este mtodo que, por su naturaleza de reducir el componente, tender a bajar hasta llegar a un
punto inflexible en el cual no se podr reducir el tamao de la estructura nanotecnolgica. Esto
generar que a partir de este punto, se fusione con las tcnicas utilizadas en el mtodo Bottom-Up,
en el cual se hace realidad la tercera etapa evolutiva del desarrollo en nanotecnologa.
En el 2010 posiblemente se podr ver este cambio. Luego de este perodo, la tcnica Top-Down
ser utilizada muy poco hasta llegar a un punto de no utilizacin para fabricar componente
nanotecnolgicos, debido a las limitaciones y restricciones naturales del mtodo y la consolidacin
del mtodo Bottom-up y el afloramiento del mtodo de fabricacin molecular.
Tendencias Bottom-Up
Keenan (2005) seala que a partir del 2010 y hasta el 2040 se producir un desarrollo progresivo del
enfoque de bottom-up hasta que culmine en la fabricacin molecular. Esto se comprueba en la
evolucin futura que muestra el Grfico 6 en el cual se observa el crecimiento que tendr este
mtodo; incluso estos desarrollos llegarn al ao 2060, ao en el que todava se podrn realizar
desarrollos por medio de Bottom-up.

35

Tendencias

Tendencia Top-Down

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Tendencia intermedia. Fusin o combinacin Bottom-Up y Top-Down.


Se espera que hacia el ao 2010 se presente una fusin entre los mtodos Bottom-Up y Top-Down,
debido a los lmites naturales del mtodo Top-Down y el perfeccionamiento evolutivo de los mtodos
Bottom-Up.
En este contexto, se har realidad la tercera etapa de desarrollo de la nanotecnologa en la cual las
nanoestructuras pasivas y activas se fusionen. Este estado evolutivo es temporal y se espera llegar
al momento en el cual el mtodo Top-Down se utilice muy poco y el mtodo Bottom-Up contine su
desarrollo. (Keenan, 2005)
Tendencia Molecular Manufacturing (MM)
El desarrollo y el futuro de la fabricacin molecular (MM) se concibe en un perodo de incubacin,
desarrollo y aplicacin desde el 2010 al 2040 (Keenan, 2005). Para el Centro de Responsabilidad de
la Nanotecnologa de Estados Unidos (CNR), cuyo inters principal se centra en el desarrollo y los
desafos de la fabricacin molecular, la visin principal se enfoca en un mundo en el cual la
fabricacin molecular es ampliamente utilizada para los propsitos productivos y beneficiosos, y
donde estn limitadas las aplicaciones peligrosas(CNR, 2007).
Tendencias

Para el CNR es casi inevitable llegar a este punto, toda vez que los mtodos actuales presentan
limitaciones y peligros en la fabricacin y la probabilidad de que est mtodo este desarrollado y se
inicie su aplicacin hacia el ao 2020. De est manera, se crearn entes autnomos o fabricas
personales que diseen productos de escala molecular cuyo costo sea eficiente.
Es probable que en menos de un ao de estar en funcionamiento est tecnologa se multipliquen en
el mercado con componentes y productos nanotecnolgicos producidos con tecnologa molecular. El
reto estar en construir productos complejos con cada tomo en el lugar apropiado, lo cual implica la
construccin de estructurar moleculares interrelacionadas para luego ser incorporadas en un
producto nanotecnolgico.

4.2 Tendencias
en
nanotecnolgicos.

productos,

mercados

sectores

Sectores, reas y campos de aplicacin.


Las aplicaciones derivadas de la nanotecnologa y los sectores beneficiados por los desarrollos son
numerosas. Las aplicaciones ms destacadas a futuro, segn un informe del Ministerio de Ciencia y
Tecnologa de Nueva Zelanda (2006) son:





Almacenamiento, produccin y conversin de energa


Construccin
Deteccin y control de plagas
Diagnstico de enfermedades

36

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa









Informtica y wireless
Monitorizacin de la salud
Procesamiento de alimentos
Produccin agrcola
Remediacin de la contaminacin atmosfrica
Sistemas de administracin de frmacos
Tratamiento y remediacin de aguas

Materiales:
Nuevos materiales, ms duros, ms duraderos y resistentes, ms ligeros y ms baratos.

Electrnica:
Los componentes electrnicos sern cada vez ms y ms pequeos, lo que facilitar el diseo
de ordenadores mucho ms potentes.

Energa:
Se prev un gran aumento de las posibilidades de generacin de energa solar.

Salud y Nanobiotecnologa:
Hay grandes expectativas en las reas de prevencin, diagnstico y tratamiento de
enfermedades.
Estas son algunas de las posibles aplicaciones, podrn colocarse sondas nanoscpicas en un
lugar para medir nuestro estado de salud las veinticuatro horas del da, se desarrollarn nuevas
herramientas para luchar contra las enfermedades hereditarias mediante el anlisis gentico y
se podrn crear indicadores que detecten y destruyan, una a una, clulas cancergenas.

Los avances en estos campos tendrn repercusin en una amplia gama de industrias como la
industria de los cosmticos, la industria farmacutica, la industria de los electrodomsticos, la
industria higinica, el sector de la construccin, el sector de las comunicaciones, la industria de
seguridad y defensa y la industria de la exploracin espacial.
Nuestro entorno tambin se beneficiar, en tanto que la produccin de energa ser ms econmica
y limpia y se utilizarn materiales ms ecolgicos.
Segn el mencionado informe del Ministerio de Ciencia y Tecnologa de Nueva Zelanda (2006, 15),
los sectores y reas de aplicacin para los prximos aos se presentan en el Grfico 7.

37

Tendencias

Por su parte, estos campos tambin son sustentados por el informe de Evertsen (2005), quien
destaca como los principales campos de aplicacin de la nanotecnologa los siguientes:

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Grfico 7. reas de aplicacin futuras de la nanotecnologa.

Tendencias

Fuente: Ministerio de Ciencia y Tecnologa de Nueva Zelanda (2006)

El impacto esperado que se prev en los diferentes sectores econmicos mundiales, se recoge en el
Grfico 8.
Grfico 8. Impactos sectoriales esperados de la nanotecnologia.
Transporte 6%
Construccin

22%

9%

26%

Productos de consumo

20%

Equipos de ingeniera

20%

Medio ambiente
Energa
Seguridad y defensa
Salud

40%

32%

20%

35%

30%

35%

43%

20%

11% 4%

40%

8%

30%

10% 5%
10%
14%

60%

3%

5% 1%

7% 3% 0%

30%

40%

2%

9% 6% 0%

30%

65%

Qumica y materiales

2%

30%

37%

60%

Biotecnologa

11%

22%

55%

7%

18%
37%

35%

18%

9%

30%

30%

TIC

0%

33%

30%

4% 1%

80%

100%

Fuente: Evertsen (2005)

38

Impacto Mayor
Impacto
Considerable
Impacto Moderado
Impacto Leve
Sin Impacto

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

El Grfico 8 muestra que los sectores con mayores impactos de la nanotecnologa sern el sector
qumico y de materiales (>60%); la biotecnologa (60%); el sector de TIC`s (50%); salud (>40%); y la
seguridad y la defensa (30%) respectivamente.
Se destaca que la tendencia evolutiva de la nanotecnologa se muestra en todos los sectores con
impactos significativos y en muy pocos casos se espera que la nanotecnologia no llegue a penetrar
los mercados, como en el caso del transporte, la construccin y la energa.
Mercados para desarrollo nanotecnolgico.
Por otra parte, segn la publicacin de CPM Cientfica (2002), las oportunidades de mercado ms
atractivas para los desarrollos nanotecnolgicos en los prximos aos son los siguientes.









Materiales Estructurales
Capas
Combustibles
Sistemas Electromecnicos
Armas
Vigilancia
Uniforme elegantes
Soporte Ambiental

Qumica y Materiales Avanzados










Tecnologas de la Informacin y
Comunicacin







Fotolitografa

Impresin

Componentes electrnicos y 

optoelectrnicos
Computacin Quntica

Telecomunicaciones


Catalizadores
Absorbentes y Adhesivos
Membranas y Separadores
Sellantes, Capas y Pinturas
Limpiadores
Abrasivos
Lubricantes
Compuestos
Produccin y Distribucin de
Energa

Clulas de Combustible
Energa Solar
Bateras Recargables
Transmisin de Energa
Iluminacin
Ahorro de Energa

Transporte y Automviles







Materiales Estructurales
y Capas
Sensores
Convertores y Filtros
Catalticos
Energa

Otros Mercados









Construccin
Textiles
Agricultura
Productos de Consumo
Medio Ambiente
Industria
Minera y Recursos
Naturales

Medicina y Farmacutica





Deteccin y Anlisis
Drogas
Antibiticos y Ungentos

Productos nanotecnolgicos del presente y del futuro.


En breve, muchas reas de nuestra vida diaria se vern afectadas de una manera u otra por el
avance de la nanotecnologa. La nanotecnologa nos permitir hacerlo todo mejor y con menos
esfuerzo. Algunos de los productos ms destacados se presentan a continuacin.
Los productos nanotecnolgicos que en el presente ya se dieron a luz y que actualmente se
comercializan, de acuerdo con el Ministerio de Ciencia y Tecnologa de Nueva Zelanda (2006), son
los siguientes.

39

Tendencias

Defensa y Aeroespacial

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Tendencias

Productos Actuales.
 Convertidores catalticos del automvil
 Complementos de camionetas
 Cremas de proteccin solar con nanopartculas que absorben los rayos UV
 Envolturas "inteligentes" para el mercado de alimentos, que dan a los productos una apariencia
de alimento fresco y de calidad
 Gafas y lentes con capas totalmente resistentes e imposibles de rayar
 Herramientas para corte de metal
 Materiales ms ligeros y ms fuertes para la defensa, las industrias aeronutica y automvil y
aplicaciones mdicas
 Mejores tcnicas fotovoltaicas para fuentes de energa renovable
 Nuevos sensores para aplicaciones en la medicina, en el control medioambiental y en la
fabricacin de productos qumicos y farmacuticos
 Pelotas de tenis ms duraderas
 Pinturas y capas a proteger contra la corrosin, rasguos y la radiacin
 Raquetas ms fuertes y ligeras para jugar al tenis
 Ropa y colchones anti-manchas
 Sunscreens y cosmticos
 Tcnicas de diagnstico "Lab-on-a-chip" (literalmente "Laboratorio-en-un-micro(nano)chip"
 Tecnologas visuales que permiten pantallas mejores, ms ligeras, finas y flexibles
 Tinta
 Topes en los coches
 Vendas para quemaduras y heridas
 Y, aparatos tan diversos y comunes como impresoras, tocadores de CDs, airbags etc., cuya
versiones ms modernas contienen componentes logrados a travs de la nanotecnologa
Por otra parte, los productos que se vern a mediano y largo plazo sern los siguientes:








Energas alternativas, energa del hidrgeno, pilas (clulas) de combustible, dispositivos de


ahorro energtico.
Administracin de medicamentos, especialmente para combatir el cncer y otras
enfermedades.
Computacin cuntica, semiconductores, nuevos chips.
Seguridad. Microsensores de altas prestaciones. Industria militar.
Aplicaciones industriales muy diversas: tejidos, deportes, materiales, automviles,
cosmticos, pinturas, construccin, envasados alimentos, pantallas planas...
Reductores de contaminacin medioambiental.
Prestaciones aeroespaciales: nuevos materiales, etc.

40

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

4.3 Tendencias en
nanotecnologa.
anotecnologa.

educacin

formacin

avanzada
vanzada

en

Dada la dinmica y el desarrollo acelerado que presenta actualmente el campo de la


nanotecnologa, se hace indispensable generar nuevos conocimientos, habilidades y competencias
para generar las innovaciones y los desarrollos esperados y que de est manera, se consolide este
sector tecnolgico a escala mundial.
La multidisciplinariedad de la nanotecnologa hace necesario actualizar y reformular las estructuras
curriculares y los contenidos metodolgicos y acadmicos de los programas de formacin que tienen
enfoque nanotecnolgico.
Es de especial inters este punto para Colciencias y para el pas, debido a que uno de los pilares
fundamentales en la formulacin de polticas pblicas para la incursin del pas en este tema, debe
sustentarse en la formacin de investigadores y empresarios capaces de desarrollar procesos y
productos innovadores del corte nanotecnolgico.

Nuestra capacidad de generar conocimientos depende de una educacin actualizada y de la


formacin y el aprendizaje permanentes de investigadores, ingenieros y otro personal cualificado. La
I+D interdisciplinario en el mbito de las Nanotecnologas+Nanociencias (N+N) va ms all de los
conceptos tradicionales e implica una mayor sensibilizacin entre esos grupos respecto a las
cuestiones relacionadas con el espritu empresarial, la tica, la salud, la seguridad (incluida en el
lugar de trabajo), el medio ambiente y la sociedad. Al mismo tiempo, la movilidad entre pases y
disciplinas y entre universidades e industria mejora la calidad de la educacin y de la formacin,
sobre todo en el mbito de las N+N, donde los progresos son rpidos y la interdisciplinariedad
desempea un papel determinante.
As mismo, Nanoforum (2005b) en uno de sus informes considera que la nanotecnologia, por su
naturaleza, es multidisciplinaria y mientras se contine el desarrollo de la educacin terciaria, se
tender a compatibilizar y unir disciplinas como la qumica, la ingeniera, la fsica y la biologa.
Sin embargo, el mencionado informe indica una reduccin del nmero de estudiantes interesados en
las reas de qumica y fsica, ciencias bases de la nanotecnologa. Este factor sumado a los costos
altos de establecer laboratorios ha generado el cierre de instituciones dedicadas a la enseanza
bsica y aplicada de nanotecnologia, en especial en el Reino Unido.

41

Tendencias

El mundo ya presenta indicios de la importancia de educar en nanotecnologa, tal como lo expresa la


Comisin Europea (2005), en el siguiente apartado.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Grfico 9. Plazos de previsin de escasez de personal calificado en nanotecnologa.

Fuente: Evertsen (2005)

Tendencias

Lo anterior se constata con las afirmaciones presentadas por Evertsen (2005), quien prev la
escasez de personal calificado y entrenado en nanotecnologa. Segn, los expertos consultados, el
44% opina que habr escasez de nanopersonal en los prximos cinco aos, mientras el 24% de los
expertos vaticina que est escasez se presentar en los prximos diez aos (2014). Sin embargo, ya
se presentan algunos esfuerzos para evitar que la tendencia de escasez de personal y pocos
estudiantes en reas multidisciplinarias que involucren nanotecnologa, se pueda revertir y este
campo tecnolgico no presente estancamiento.
Las universidades e institutos europeos promocionan diferntes programas de pregrado, posgrado y
cursos tcnicos que tienen como objetivo fortalecer la formacin de capacidades, habilidades,
conocimientos y competencias en nanotecnologa. El Grfico 10, el Grfico 11 y el Grfico 12
presentan el nmero de programas de formacin de pases europeos en los niveles acadmicos de
pregrado, posgrado y cursos tcnicos.
En la oferta de programas de pregrado se destacan Reino Unido, Francia y Alemania como los
pases con mayor nmero de programas. De igual manera, en la oferta de posgrados se destaca el
Reino Unido y Alemania. Mientras que para los cursos tcnicos, Dinamarca y Alemania son los
pases con mayor oferta.
La tendencia de escasez de personal, se observa no slo para el campo de la nanotecnologa, tal
como lo indican Juma y Cheong (2005) sino tambin para otras reas cientficas y de ingeniera.
Para suplir tal escasez de los pases industrializados contratan profesionales provenientes de pases
en desarrollo. Con tal panorama, las oportunidades visibles para Colombia se enfocan en la
formacin de profesionales especializados en campos como la nanotecnologa y a su vez, en la
atraccin de empresas extranjeras para invertir en ciencia, tecnologa e innovacin debido a que el
pas puede contar con recursos humanos altamente calificados en este tipo de tecnologas
nacientes. Ahora bien, otras oportunidades que se visualizan es la movilidad internacional de
personas calificadas, que constituye uno de los mecanismos clave para la transicin de la capacidad
tecnolgica de un pas a otro. Sin embargo, existe el riesgo de promover la fuga de cerebros, lo
que sera contraproducente con miras a elevar la capacidad del pas en nanotecnologa.

42

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Grfico 10. Cursos de pregrado de nanotecnologa europeos


20

Reino Unido
Turkia

Suiza

Suecia

1
2

Eslovenia

Polonia

Noruega
2

Holanda

Italia
Israel

Hungria

Grecia

1
17

Alemania
Francia

18

Dinamarca

Republica Checa

Bulgaria

Belgica

Austria

2
0

10

15

25

20

Tendencias

Fuente: nanoforum (2005b)


Grfico 11. Cursos de posgrado de nanotecnologa europeos
10

Reino Unido

Suiza

Suecia

Polonia

Irlanda

Alemania

Dinamarca

Republica Checa
0

Fuente: nanoforum (2005b)

43

10

12

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Grfico 12. Cursos tcnicos de nanotecnologa europeos

Reino Unido

Espaa

Italia

Alemania

Francia

Dinamarca

12

Tendencias

Fuente: nanoforum (2005b)

44

10

12

14

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Luego de presentar el contexto de la nanotecnologa en el mundo y de presentar las principales


tendencias para cada uno de los mtodos de nanofabricacin, a continuacin se describe un
panorama general sobre la infraestructura, las redes de cooperacin, las empresas en
nanotecnologa y las emergentes comunidades virtuales que se encuentran en Internet sobre este
tema.

5.1 Infra
Infraestructura
estructura de nanotecnologa. mirada desde Estados Unidos
y Europa
Segn la Comisin Europea (2004), por infraestructura se entiende el conjunto de recursos e
instalaciones que suministran servicios fundamentales a la comunidad investigadora. Puede tratarse
de una infraestructura centralizada (en un emplazamiento concreto), descentralizada (una red de
recursos descentralizados) o virtual (a travs de servicios suministrados por va electrnica). El
empleo de equipos e instrumentacin punteros es cada vez ms importante para el desarrollo de las
nanotecnologas y se hace tambin necesario para demostrar que las actividades de I+D pueden
traducirse en productos y procesos generadores de riqueza.
Para acelerar el desarrollo de las nanociencias y las nanotecnologas es fundamental invertir en una
amplia gama de instalaciones, instrumentos y equipos avanzados.
Para determinar la infraestructura necesaria para que Colombia logre incursionar en temas de
nanotecnologa, se hace indispensable hacer una revisin de cul es la estructura, organizacin y
redes de los lderes tecnolgicos en este campo, a saber, Estados Unidos y pases europeos.

45

Panorama de la nanotecnologa

5 Panorama General de Nanotecnologa

Informe de Vigilancia Tecnolica. Mtodos de Fabricacin de Nanotecnologa

5.1.1 Infraestructura en Estados Unidos


Con base en la informacin de la Red Nacional de Infraestructura para Nanotecnologa de Estados
Unidos - National Nanotechnology Infraestructure Network-NNIN (2005) y el informe de Nanoforum
(2005a) es posible estructurar un panorama general sobre cmo Colombia puede incursionar en este
campo tecnolgico, y conocer la tecnologa necesaria, la infraestructura, las redes, y los recursos
necesarios para impulsar el desarrollo de la nanotecnologa en el pas.
Segn el NNIN (2005), la infraestructura necesaria para fabricar nanotecnologa por medio de los
mtodos Bottom Up y Top Down se compone de ms de 700 herramientas.
El listado de las herramientas y tecnologas para desarrollar nanotecnologa se encuentra en el
anexo N 3.
Estas herramientas se utilizan para desarrollar productos con base en los siguientes mtodos:
Panorama de la nanotecnologa













Litografa y modelamiento directo.


Deposicin y crecimiento de pelculas delgadas.
Lavado y limpieza de pistas.
Modificacin de pelculas finas.
Partculas tecnolgicas
Fabricacin y sntesis molecular
Procesos y fabricacin molecular.
Empacado.
Caracterizacin de materiales.
Diseo y caracterizacin de estructuras.
Simulacin y computacin.

Es de notar, que en Estados Unidos existe una organizacin de carcter nacional encargada de
reunir a todos los centros de investigacin de las universidades norteamericanas que trabajan en
temas de nanotecnologa.
Est organizacin rene todas las tecnologas, herramientas y procesos disponibles en cada uno de
los centros para conformar una base de datos de acuerdo con la utilidad de cada herramienta o
tecnologa y de est manera, dar a conocer toda la gama de herramientas y de instituciones que
conforman toda la infraestructura de Estados Unidos para desarrollar nanotecnologa e innovar en
procesos y productos.
La importancia de dar a conocer una base de datos con informacin de herramientas e instituciones
especializadas en nanotecnologa radica en que es posible crear redes de conocimiento y trabajo
para desarrollar innovaciones este campo.

46

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Con lo anterior se posibilita el trabajo en red y la creacin de redes y acuerdos de cooperacin entre
instituciones y de esta forma que varios centros de investigacin puedan aprovechar las tecnologas
que posee una organizacin de carcter nacional como lo es la NNIN.

1.
2.
3.
4.
5.
6.
7.
8.
9.
10.
11.
12.
13.

Georgia Tech
U. Minnesota
U. Texas Austin
Cornell
Harvard U.
Howard U.
NCSU
Penn State U.
Stanford
U. C. Santa Barbara
U. Michigan
U. New Mxico
U. Washington

5.1.2 Infraestructura en Europa7


El propsito del informe publicado por Nanoforum (2005a) es el de definir y condensar la
infraestructura (centros de investigacin y redes) de 28 pases europeos con respecto a la
nanotecnologia.
Este informe presenta que, para los 28 pases analizados, se detectaron 241 centros de
investigacin relacionados con la fabricacin y desarrollo de la nanotecnologa y la nanociencia. La
actividad de la mayora de los centros se concentra en las siguientes actividades de innovacin:








Nanomateriales.
Electrnica y Sistemas.
Investigacin Fundamental.
Anlisis y Diagnostico.
Ingeniera y Fabricacin.
Nanobiotecnologa.
Energa.

7 Para conocer el listado completo de los centros de investigacin y las redes que conforman la infraestructura en nanotecnologa de
Europa, consulte el Anexo N 3. Los 241 centros de investigacin por pas pueden ser consultados en el siguiente documento anexo al
informe: European Nanotechnology Infrastructures and Networks July 2005

47

Panorama de la nanotecnologa

A la NNIN estn adscritas las siguientes universidades:

Informe de Vigilancia Tecnolica. Mtodos de Fabricacin de Nanotecnologa

Grfico 13. Actividades de los centros por rea de investigacin y desarrollo.


100
90
88
80
70
68
60
50
40
39

35

30

39

26

20
19

Panorama de la nanotecnologa

10
7
0
Todas

NM

E&S

FR

A&D

E&F

NB

Fuente: nanoforum (2005a)


NM Nanomateriales; E&S Electrnica y Sistemas; FR Investigacin Fundamental; A&D Anlisis y Diagnostico; E&F
Ingeniera y Fabricacin; NB Nanobiotecnologa; E Energa.

5.2 Centros de investigacin


De los 241 centros de investigacin sealados por Nanoforum (2005a), 16 son clasificados como los
ms importantes de acuerdo con las siguientes variables. Por su infraestructura de investigacin en
nanotecnologa (por ser constituido por varios centros de investigacin); por la dimensin de las
instalaciones y equipos tecnolgicos y por los servicios de entrenamiento, educacin e investigacin
que ofrece cada uno de los centros de mayor nivel; y por el alto presupuesto invertido. Estos 16
centros de alto nivel son los siguientes.














CEA Leti
MINAS
LPN
IEF
IEMN
CFN
CNT
Institute form New Materials
CRANN
Tyndall
CERN
CSEM
Rutherford Applenton Laboratory

48

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa




Daresbury Laboratory CCLRC


London Centre for Nanotechnology

Estos centros de investigacin se caracterizan, principalmente, por elementos comunes que hacen
que se puedan clasificar como un modelo bsico de infraestructura en nanotecnologa. Tales
elementos son los siguientes.

Presupuesto: Habida cuenta que el campo tecnolgico en el que se desarrolla la nanotecnologa


requiere de herramientas y equipos de alto costo, la inversin y el presupuesto necesario para
establecer un centro de desarrollo nanotecnolgico vara de acuerdo con los puntos focales de cada
centro, es decir del campo de especialidad de cada centro o instituto. Un centro de alto nivel requiere
entre 150 y 200 millones de euros anuales mientras que un centro focalizado en un campo
especfico requiere entre 20 y 80 millones de euros anuales.
Miembros del centro: Los integrantes promedio de un centro de investigacin de nanotecnologa
varan de acuerdo con el tamao de cada centro. Algunos centros del alto nivel tienen entre 1200
investigadores y 4300 miembros o investigadores asociados que desarrollan proyectos en
nanotecnologa. Por otra parte, la mayora de centros tienen entre 900 y 200 investigadores.
Redes: De acuerdo con el panorama de inversin, investigadores especializados y equipos de alta
tecnologa requeridos para entrar en el mundo de la nanotecnologa, se hace indispensable
conformar redes de investigacin entre los centros que posibiliten el intercambio de investigadores,
conocimientos, equipos de alta tecnologa y se puedan realizar inversiones compartidas.
Estas redes pueden ser tanto nacionales, entre centros de un mismo pas o internacionales entre
redes de varias naciones o entre centros de mltiples pases.

5.3 Redes de Investigacin.


Investigacin.
En cuanto a redes, el informe mencionado anteriormente (Nanoforum, 2005a) identifica 144 redes a
travs de las cuales se intercambia informacin y conocimiento entre los miembros de las mismas;
dichas redes son conformadas por 23 de los 28 pases analizados.
De las 144 redes de investigacin en nanotecnologa, 80 de ellas son redes de investigacin y
cooperacin nacionales y 64 son redes de cooperacin con carcter internacional.
Entre las redes nacionales ms destacadas se encuentra Alemania con 22 redes; Reino Unido con 9
redes; Francia, Finlandia, Suecia, Polonia y Holanda cada uno con 4 redes nacionales.

49

Panorama de la nanotecnologa

Herramientas: Todos los centros de investigacin cuentan con herramientas y equipos especiales
para fabricar nanotecnologa. Ahora bien, dada la cantidad de equipos necesarios, se han
configurado redes de cooperacin que permiten intercambiar y compartir tanto conocimiento como
herramientas para los nuevos desarrollos que se gestan en cada centro de investigacin. Debido a
esto, se han conformado redes de investigacin y cooperacin entre los centros de nanotecnologa.

Informe de Vigilancia Tecnolica. Mtodos de Fabricacin de Nanotecnologa

Conforme al informe, las redes de investigacin en nanotecnologa desarrollan las siguientes


actividades.
 Todas las disciplinas.
 Qumica y Materiales.
 Nanobiotecnologa.
 Electrnica.
 Fsica.
 Anlisis y Diagnostico de Herramientas.
 Ingeniera y Fabricacin.
 Energa.
Grfico 14. Redes por regin
70
64
60

40

30
22
20

10
3 3

4
1

2 1

4 3

0
Int
AT
BE
GB
HR
CY
CZ
DK
EE
FI
FR
DE
EL
HU
IS
IE
IL
IT
LV
LI
LT
LU
MT
NL
NO
PL
PT
RO
SK
SI
ES
SE
CH
TR
UK

Panorama de la nanotecnologa

50

Fuente: nanoforum (2005a)

Ahora bien, 38 de las redes trabajan e investigan sobre todas las actividades anteriormente descritas
y 40 redes son redes especializadas en nanomateriales.
Entre tanto, 29 redes tienen su especializacin en electrnica y sistemas, 24 redes en investigacin
fundamental y 22 redes en nanobiotecnologa. En el Grfico 15 se identifican las redes de acuerdo
con su especificidad de trabajo e investigacin.

50

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Grfico 15. mbitos de aplicacin de las redes de investigacin en nanotecnologia de Europa.


45

40
40
35

38

30
29

25

24

22

20
15
10

0
Todas

NM

E&S

FR

A&D

E&F

NB

Fuente: nanoforum (2005a)


NM Nanomateriales; E&S Electrnica y Sistemas; FR Investigacin Fundamental; A&D Anlisis y Diagnostico; E&F
Ingeniera y Fabricacin; NB Nanobiotecnologa; E Energa.

El Grfico 16 desagrega las redes descritas entre nacionales e internacionales. Aqu se observa, que
de las 38 redes multidisciplinaras 30 de ellas son redes nacionales y tan slo 8 son redes
internacionales.
Por su parte, de las 40 redes que trabajan en nanomateriales, 19 son redes internacionales y 21 son
redes nacionales.
Entre tanto, de las 29 redes en electrnica y sistemas, 17 son internacionales y 12 redes nacionales.
As mismo, de las 24 redes en investigacin fundamental 16 son redes internacionales y nueve son
redes nacionales.
Por ltimo, de las 22 redes en nanobiotecnologa, nueve de ellas son internacionales y 13 redes
nacionales.

51

Panorama de la nanotecnologa

Informe de Vigilancia Tecnolica. Mtodos de Fabricacin de Nanotecnologa

Grfico 16. mbitos de aplicacin de las redes nacionales e internacionales de Europa.


35
30
30

25
21
19

20

17

Internacional
Nacional

16

15

13

12
10

9
5

Panorama de la nanotecnologa

0
Todas

NM

E&S

FR

A&D

E&F

NB

Fuente: nanoforum (2005a)


NM Nanomateriales; E&S Electrnica y Sistemas; FR Investigacin Fundamental; A&D Anlisis y Diagnostico; E&F
Ingeniera y Fabricacin; NB Nanobiotecnologa; E Energa.

5.4 Empresas especializadas en nanofabricacin.


Los lderes empresariales en el mbito nanotecnolgico se definen como aquellas empresas de base
tecnolgica que investigan y desarrollan procesos y productos para comercializar o prestar servicios.
Tales empresas estn ms dirigidas a la parte de innovaciones en nanotecnologa y no tanto a la
produccin acadmica y cientfica de artculos cientficos sobre nanotecnologa o nanofabricacin.
Hasta el momento se han encontrado un total de 87 empresas en el mbito mundial que trabajan en
temas relacionados con la nanotecnologa8 el listado de las empresas especializadas en
nanofabricacin se encuentra en el Anexo N 4-.
Para tales empresas no se ha encontrado relacin con publicaciones cientficas ni tampoco con
patentes. Los campos de aplicacin de estas 87 empresas se concentran principalmente en:

Las empresas de base nanotecnolgica se han bscado a travs de informacin extraida de Google y Kartoo.

52

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Tabla 4. Principales campos de aplicacin de empresas nanotecnolgicas.

Campos de Aplicacin de las Empresas


Productos relacionados con los nanotubos de carbono
Fabricacin, I+D, y empleo de biomateriales, nanoprticulas,
nanoestructuras y nanomateriales.
Nanoelectrnica y sensores.
Productos mdicos y de seguridad pblica.
Polvos y Polmeros.
Distribucin y comercializacin de productos de nanotecnologia.
Diseo y fabricacin de nanoscopios y microscopios,
instrumentos para nanotecnologa y nanoescala.
Energa.
Genmica.
Propiedad intelectual.

N de Empresas por Campo de


Aplicacin
27
22
10
9
7
4
4
2
1
1

Fuente: Recopilado por Colciencias, (2007)

Pas
Estados Unidos
China
Espaa
Reino Unido
Rusia
Francia
Israel
Alemania
Japn
Chipre

No de Empresas
73
4
3
1
1
1
1
1
1
1

Fuente: Recopilado por Colciencias, (2007)

5.5 Comunidades virtuales9


De acuerdo con las bsquedas realizadas hasta el momento, se han detectado comunidades
virtuales en Internet que mantienen de forma permanente y actualizada informacin sobre eventos,
noticias, empleos, empresas, libros, publicaciones, entre otros campos de inters relacionados
especficamente con nanotecnologa y los desarrollos que se presentan en este campo. Estos
enlaces se presentan a continuacin.





NanoVIP http://www.nanovip.com/
Nanotechnology Now http://www.nanotech-now.com/nano_intro.htm
The A to Z of nanotechnology http://www.azonano.com/default.asp
Center for Responsible Nanotechnology http://www.crnano.org/administration.htm

Sitios en la Web en los cuales se presentan empresas, centros de investigacin, redes de cooperacin, herramientas, tecnologas,
productos, empleos, entre otros tpicos.

53

Panorama de la nanotecnologa

Tabla 5. Nacionalidad de las empresas de nanotecnologa

Informe de Vigilancia Tecnolica. Mtodos de Fabricacin de Nanotecnologa







Instituto Foresight. www.foresigth.org


Comunidad Virtual Espaola.
http://www.euroresidentes.com/futuro/nanotecnologia/nanotecnologia.htm
National Nanotechnology Infraestructure Network NNIN http://www.nnin.org/
Nanoforum. Programa de la Unin Europea para el Desarrollo de la Nanotecnologia.
http://www.nanoforum.org.
Euroresidentes.
http://www.euroresidentes.com/futuro/nanotecnologia/nanotecnologia_que_es.htm

Panorama de la nanotecnologa

54

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

La informacin recopilada en las bases de datos cientficas ISI WoS y Scopus arroj 2807 y 5498
artculos respectivamente10. Los resultados que a continuacin se presentan se basan en el anlisis
de la informacin obtenida a travs ISI WoS, sin perjuicio de destacar las diferencias que se
presenten en la informacin de las dos bases de datos.11
Los resultados cientficos presentados se enfocarn en destacar los actores lderes en el contexto de
la nanotecnologa y los principales mtodos de fabricacin; la dinmica y evolucin del tema a travs
del tiempo, y los temas y campos de aplicacin.
Adems, se presentan los desarrollos y programas para fortalecer el desarrollo de la nanotecnologa
en Latinoamerica como consecuencia de la explosin y auge cientfico, tecnolgico y de innovacin
que trae consigo esta rea del conocimiento

6.1 Dinmica de publicaciones cientficas en nanofabricacin.


A continuacin se presenta la evolucin general del tema a escala mundial, como tambin la
dinmica de los pases latinoamericanos. La siguiente es la evolucin general de la temtica segn
los artculos cientficos obtenidos con las bases de datos ISI WoS y Scopus.
Como se observa en la Tabla 6, el tema presenta una evolucin creciente, a excepcin del ao
2006, en el cual se present una disminucin notoria en las publicaciones cientficas en mtodos de
nanofabricacin tanto a escala mundial como a escala latinoamericana. Con las dinmicas de
publicacin presentadas se puede decir que la nanotecnologa es un campo activo en investigacin y
el inters es cada vez ms evidente por parte de los diferentes pases y regiones del mundo como lo
demuestra la regin latinoamericana.
10
11

Para ver el detalle metodolgico de esta seccin consulte el Anexo 1


El anlisis de los datos provenientes de Scopus se presenta en el Anexo 7

55

Anlisis cientfico

6 Anlisis Cientfico
Cientfico.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Tabla 6. Comparacin entre las dinmicas de publicacin cientfica en ISI WoS y Scopus.

Dinmica General Internacional


Dinmica en Scopus
Dinmica en ISI WoS

Dinmica Regional (Latinoamrica)


Dinmica en Scopus (Includo Colombia)
Dinmica en ISI WoS

Anlisis cientfico

Fuente: Colciencias, clculos basados en informacin de la base de datos Scopus (1965-2007) e ISI WoS (1999-2007)

6.2 Actores lderes en nanotecnologa.


anotecnologa.
Para identificar los actores lderes en nanotecnologia en el mbito mundial, se emplearon
herramientas cienciamtricas12 con el objetivo de dar a conocer de manera explcita pases lderes,
instituciones e investigadores ms relevantes por su nmero de publicaciones.
6.2.1 Lderes tecnolgicos en nanofabricacin - pases.
ases.
Los pases lderes en el campo de la nanotecnologa que se enfocan en los procesos y mtodos de
fabricacin son, principalmente, Estados Unidos, Japn, Alemania, China e Inglaterra. Tales pases
han evolucionado positivamente en publicaciones cientficas desde principios del presente siglo. A
continuacin se presentan los primeros cinco pases lderes en mtodos de nanofabricacin.
Es posible clasificar los mencionados lderes en nanotecnologa, como pases cientficamente
avanzados, de acuerdo con la definicin que plantea Juma y Cheong (2005) quienes proponen que
esos lderes son aquellos pases con una capacidad de ciencia, tecnologa e innovacin superior al
promedio y cuentan con alta capacidad en temas de ciencia y tecnologa; para el caso de la
nanotecnologa los lderes cuentan con altas capacidades en nanotecnologa, tanto en
investigadores, instituciones como en infraestructura y destinacin de recursos a I+D.

12

Se utiliz la informacin capturada de las bases de datos cientficas ISI WoS y Scopus se proceso en Vantage Point

56

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Tabla 7. Pases lderes en investigacin bsica de mtodos de nanofabricacin.

Pas

N de
Publicaciones
Cientficas

Evolucin
Promedio a
partir del 2001

Dinmica en el tiempo

300

228 246

250

185

200

Estados
Unidos

943

150

194%

97

91

100

41

50

1999 2000 2001 2002 2003 2004 2005 2006

120

98

100

78 74

80

Japn

389

58

60

199%

42

40

16

20

20

Alemania

289

394%

67

70

56
36
26
21

12

1999 2000 2001 2002 2003 2004 2005 2006 2007

120

99

100
80

China

274

65

60

118%

41

40

26

20

10

21

12

0
1999 2000 2001 2002 2003 2004 2005 2006 2007

Inglaterra

135

45
40
35
30
25
20
15
10
5
0

115%

42
29
19

21

12
7
5
0

1999 2000 2001 2002 2003 2004 2005 2006 2007

Fuente: Colciencias, clculos basados en informacin de la base de datos ISI WoS (1999-2007)

57

Anlisis cientfico

1999 2000 2001 2002 2003 2004 2005 2006 2007


80
70
60
50
40
30
20
10
0

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Ahora bien, pases como Francia, Corea del Sur, Taiwn, Italia y Espaa, son tambin pases
destacados en investigacin reflejada en publicaciones cientficas que de acuerdo con el informe
publicado por el centro de investigacin Lux Research (2005), las ratifica como pases lderes en
este campo. El mencionado informe ratifica a Estados Unidos, Japn y Alemania como lideres
tecnolgicos en el campo de la nanotecnologa. De igual modo, se destaca a Taiwn como uno de
los pases Niche Players, es decir pases con pequea poblacin con una elevada fuerza de
desarrollo en tecnologa para innovaciones nanotecnolgicas. Y los pases con alto potencial de
desarrollo en este campo pero con bajo nivel de desarrollo tecnolgicos son el Reino Unido y
Francia. Los mencionados pases cubren el 90% del total de publicaciones cientficas en
nanofabricacin presentada por la base de datos ISI WoS.
Como sntesis general de la evolucin de los pases lderes, se destaca que la mayora tiene
evoluciones positivas en sus publicaciones cientficas, a excepcin de Francia, pas que presenta
perodos de cada en publicaciones sobre este tema. De igual manera, la mayora de pases
presenta desarrollos en est temtica dado su nmero de publicaciones a partir del ao 2001. La
tendencia marcada que es posible identificar en los pases lderes en nanotecnologa,
principalmente, muestra que la investigacin en mtodos de nanofabricacin est en crecimiento
contino, con ndices superiores que indican una evolucin rpida de este tema a nivel cientfico. Por
su parte, al analizar los lderes en Scopus, coinciden los mismos pases destacados anteriormente.
Anlisis cientfico

Dinmica regional en la publicacin cientfica.


En la Tabla 8 se presenta una sntesis de la dinmica de investigacin reflejada en las publicaciones
cientficas en el mbito regional.
En la base de datos ISI WoS, Colombia presenta un total de nueve artculos relacionados con
nanotecnologa. Sin embargo, en relacin con los mtodos de nanofabricacin, Colombia no registra
ningn artculo cientfico. La dinmica regional indica que la investigacin en el continente americano
est sustentada slo en el desarrollo que Estados Unidos pueda tener. Entre tanto, la investigacin
en Europa y Asia est mejor distribuida entre los pases de estos continentes, ello puede ser indicio
de que las polticas de fortalecimiento de la nanotecnologa en Europa y Asia se han dirigido a
fomentar la incursin de los pases en el campo nanotecnolgico.
Tabla 8. Dinmica regional en la publicacin cientfica. Mtodos de fabricacin de nanotecnologa

Regin

Artculos

AMRICA
Estados Unidos
Canad
Amrica del Norte
Mxico
Cuba
Amrica Central
Brasil
Argentina
Chile
Per

943
73
1016
15
1
16
16
4
1
1

Dinmica de Publicacin
300

250

250

281

195

200

94 112

150
100
50

44

56

0
2000 2001 2002 2003 2004 2005 2006 2007

58

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Regin
Artculos
Continuacin Tabla 8
1
23
1055
289
135
132
82
75
68
53
50
38
28
26
25
21
1022
389
274
121
89
60
50
983

300
250
200

157

150

94

100

180

214

114

58

50

39

0
2000 2001 2002 2003 2004 2005 2006 2007

350
300
250
200
150
100
50
0

300
162

195

121
1

42

75

58

1999 2000 2001 2002 2003 2004 2005 2006 2007

Fuente: Colciencias, clculos basados en informacin de la base de datos ISI WoS (1999-2007)

Anlisis de publicaciones cientficas en el mbito latinoamericano.


En el mbito de Latinoamrica, los pases que han presentado estudios y artculos cientficos son
Brasil y Mxico como los ms destacados, cada uno con 16 y 15 publicaciones respectivamente. Se
incluye a Argentina con cuatro artculos y Chile, Cuba, Per y Venezuela con un artculo
respectivamente. En la Tabla 9 se resume la dinmica de los pases latinos en nanotecnologa. Entre
tanto, la informacin que suministra la base de datos de Scopus registra dos nuevos pases
latinoamericanos no contemplados en ISI WoS. Estos pases son Puerto Rico con cinco
publicaciones y Colombia con una publicacin cientfica en el tema.
Tabla 9. Dinmica cientfica latinoamericana en mtodos de nanofabricacin

Pas
Brasil
Mxico
Argentina
Chile

N de Artculos Cientficos
16
15
4
1

Ao de Incursin
2001
2001
2004
2006

59

Ao ms Productivo
2005 (6 artculos)
2006 (6 artculos)
2006 (2 artculos)
2006

Anlisis cientfico

Venezuela
Amrica del Sur
TOTAL AMRICA
EUROPA
Alemania
Inglaterra
Francia
Italia
Espaa
Suiza
Rusia
Holanda
Austria
Suecia
Polonia
Blgica
Escocia
TOTAL EUROPA
ASIA
Japn
China
Corea del Sur
Taiwn
Singapur
India
TOTAL ASIA

Dinmica de Publicacin

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Pas
N de Artculos Cientficos
Ao de Incursin
Continuacin Tabla 9
Cuba
1
2006
Per
1
2005
Venezuela
1
2005
Continuacin Tabla 9
Publicaciones no recogidas por ISI WoS (Base de datos Scopus)
Puerto Rico
5
2004
Colombia
1
2006

Ao ms Productivo
2006
2005
2005
2005 (4 artculos)
2006

Fuente: Colciencias, clculos basados en informacin de la base de datos ISI WoS (1999-2007)

Es de anotar que Colombia slo tiene un artculo cientfico en el ao 2006, lo que demuestra la
incursin naciente del pas en nanotecnologa y la brecha de investigacin entre Colombia y los
pases lderes en el campo. Ahora bien, la brecha en el mbito latinoamericano no es muy grande, y
como logro destacable Colombia ya se inici en el camino investigativo de la nanotecnologa, por lo
que se puede esperar un crecimiento evolutivo que site al pas entre los lderes locales y avance
terreno en el campo internacional.

Anlisis cientfico

Es posible destacar tres conjuntos de pases de acuerdo con el nmero de publicaciones cientficas.
El primer grupo pases lderes en latinoamerica est conformado por Brasil y Mxico. Un segundo
grupo, pases destacados, estn dentro de este grupo Puerto Rico y Argentina, con cinco y cuatro
publicaciones cientficas respectivamente. Y por ltimo, el tercer grupo pases con inters naciente
entre los cuales se encuentra Colombia junto con Chile, Cuba, Per y Venezuela cada uno con un
artculo cientfico. La brecha investigativa es abismal si se compara a los pases del grupo con
Estados Unidos con ms de 900 publicaciones en el tema.
La produccin de artculos cientficos de los pases latinoamericanos slo alcanza el 1% del total de
publicaciones cientficas a partir del ao 2000. Con respecto a la produccin cientfica de Europa,
Amrica Latina slo alcanza un 3%; y en relacin a Estados Unidos y los pases asiticos, la
produccin latina representa un 4%.
El impacto y la trascendencia que tienen las publicaciones cientficas se puede medir a travs de las
citaciones. As, de los 39 artculos latinoamericanos reportados en ISI WoS, se ha encontrado un
total de 129 citaciones a 25 de los 39 artculos. Los restantes no tienen citaciones. De las 129
citaciones, el artculo Formation and growth of Au nanoparticles inside live alfalfa plants de Mxico
tiene un total de 37 citas, por lo que se convierte en el artculo con mayor impacto de los pases
latinos. As mismo, el artculo Biocompatible magnetic fluid precursors based on aspartic and
glutamic acid modified maghemite nanostructures de Brasil es el segundo artculo con mayor
impacto, toda vez que tiene 28 citaciones en total.
Entre tanto, de acuerdo con la informacin de ISI WoS el artculo con ms citaciones es Growth
process conditions of vertically aligned carbon nanotubes using plasma enhanced chemical vapor
deposition de Inglaterra con 295 citaciones en total. Los autores son Amaratunga, G A J; Chhowalla,
M; Ducati, C; Ferrari, A C; Milne, W I; Robertson, J; Roy, D; Rupesinghe, N L; y Teo, K B K que
pertenecen a la Universidad de Cambridge.

60

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

6.2.2 Lideres acadmicos


cadmicos en nanofabricacin - instituciones
Las instituciones que lideran la investigacin en nanofabricacin son entes, principalmente, de los
pases lderes en este campo, tal como se comprueba en la Tabla 10.
Tabla 10. Instituciones lderes en publicaciones sobre nanofabricacin

No de Publicaciones
119
103
67
44
43
36
35
34
34
30

Institucin
Universidad de California
Academia China de Ciencias
Instituto Max Planck
Universidad Nacional de Singapur
Instituto Nacional de la Ciencias de los Materiales
Instituto Tecnolgico de Massachuset (MIT)
Centro Nacional de Investigaciones Cientficas (CNRS)
Consejo Superior de Investigaciones Cientficas (CSIC)
Universidad de Tokio
Universidad de Osaka

Pas
Estados Unidos
China
Alemania
Singapur
Japn
Estados Unidos
Francia
Espaa
Japn
Japn

Ahora bien, entre las instituciones lderes, Japn es el pas con mayor nmero de instituciones de
investigacin en nanofabricacin, seguido de Estados Unidos. Sin embargo, es este ltimo pas el
que presenta a la institucin ms destacada.
El impulso creciente de pases como Francia, Espaa y Singapur se ve reflejado en las
investigaciones y publicaciones de algunos centros de investigacin lderes en el campo, por lo que
se puede considerar tales pases como importantes en el mapa general de la nanotecnologa.
Entre tanto, cinco de las principales instituciones son universidades. Y es de notar, la presencia de
organizaciones pblicas de Francia y Espaa entre las instituciones ms destacadas, por lo que se
nota la atencin e importancia que dan los gobiernos de estos dos pases a la nanotecnologa.
De acuerdo con la informacin presentada por la base de datos Scopus, las instituciones lderes no
cambian significativamente. Dentro de las instituciones lderes se incluye a la Universidad de Purdue
de Estados Unidos, la Agencia Japonesa de Ciencia y Tecnologa de Japn y la Universidad de
Illinois tambin de Estados Unidos con 76, 65 y 59 publicaciones respectivamente.
6.2.3 Lideres acadmicos en nanofabricacin investigadores.
Adicional a las instituciones lderes en publicaciones de nanofabricacin, es posible destacar los
investigadores ms relevantes en este tema. En la Tabla 11 se presentan los investigadores ms
relevantes en nanofabricacin por su nmero de publicaciones.

61

Anlisis cientfico

Fuente: Colciencias, clculos basados en informacin de la base de datos ISI WoS (1999-2007)

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Tabla 11. Investigadores Destacados en Nanofabricacin.

N de Publicaciones
19
15
14
12
12
12
11
11
11
11
11
10
10
10

Investigadores
Matsui, S
Kim, J
Seeman, N C
Chen, Y
Cingolani, R
Furuya, K
Lee, H
Lee, J
Li, J
Namatsu, H
Zhang, L D
Kanda, K
Ochiai, Y
Sastry, M

Institucin
Universidad de Hyogo
Universidad de California
Universidad de New Cork
Centro Nacional de Investigaciones Cientficas
Universidad de Leche
Inst. Nacional de la Ciencia de los Materiales
Universidad Dong A
Instituto Coreano de Ciencia y Tecnologa
Universidad de Hunan
NTT Corp
Academia China de Ciencias
Laboratorios NEC
Laboratorios NEC
Laboratorio Nacional de Qumica

Fuente: Colciencias, clculos basados en informacin de la base de datos ISI WoS (1999-2007)

Anlisis cientfico

Los anteriores investigadores presentan clusters de trabajo para la produccin cientfica en


nanofabricacin. A continuacin, en la Tabla 12 se presentan las cinco redes de investigadores ms
destacadas:
Tabla 12. Perfiles de las redes de investigacin en nanofabricacin

Matsui, S
Red de Investigacin
Kanda, K
Haruyama, Y
Kaito, T
Kometani, R
Ochiai, Y
Fujita, J
Ishida, M
Nakamatsu, K

Tone, K Komuro, M
Igaki, J Y
Ichihashi, T
Tajima, T
Nagase, M
Kondo, K
Watanabe, K
Morita, T
Namatsu, H
Hoshino, T

Entidades
Hyogo Univ
NEC Fundamental
Labs
JST
Hyogo Med Univ
SII Nano Technol Inc
Univ Tokyo
Univ Tsukuba

Res

Temticas

Himeji Inst Technol


Seiko Instrument Inc
Crestec Co
Japan Sci & Technol Agcy
Japan Sci & Technol Co
Nippon Telegraph & Tel
Publ Corp

Nanostructure Fabrication Imprint Lithography


Technology
Electron-Beam
Film
Polymer
Fabrication
Chemical Vapor-Deposition
Glass-Capillary

62

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Continuacin Tabla 12

Dinmica general del tema

10

8
6
3

4
2

1
0

0
2000 2001 2002 2003 2004 2005 2006 2007

Kang, Y K
Lee, C
Lee, H
Lee, L P
Liu, G L

So, H M
Kim, J H
Kim, D H
Song, C K
Lu, Y

Entidades
University of California
Dong A Univ
Elect Telecommun Res Inst

Temticas

Korea Res Inst Chem


Technol
Korea Res Inst Stand & Sci

Atomic Force Microscopy


Fabrication
Nanoparticle
Surface
Conduction
Lithography
Superlattice

Dinmica general del tema

8
6
6
4
4

1
0

1
0

0
2000 2001 2002 2003 2004 2005 2006 2007

63

Anlisis cientfico

Kim, J
Red de Investigacin

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Continuacin Tabla 12
Seeman
Red de Investigacin
Kopatsch, J
Liao, S P
Ding, B Q
Garibotti, A V
Israel, L B
Lukeman, P S

Zhang, X P
Yan, H
Wang, X
Shen, Z Y
Sha, R J
Sa-Ardyen, P

Entidades
NYU
Purdue Univ

Temticas
Univ S Florida
Univ Texas

Unusual DNA motifs


Atomic force microscopy (AFM
Bottom-up
Branched DNA
DNA
DNA devices

Dinmica general del tema

Anlisis cientfico

8
7
6
5
4
3
2
1
0

4
3

2
1
0

1
0

2000 2001 2002 2003 2004 2005 2006 2007

Chen Y
Red de Investigacin
Chen, Y
Lebib, A
Natali, M
Cambril, E
Jung, G Y
Ohlberg, D A

Li, S P
Williams, R S
Tong, W M
Pepin, A
Olynick, D L

Entidades

Temticas

CNRS
Ecole Normale Super

Hewlett Packard Corp


University of California

Microfluidic
Nanotechnology
Bio-functionalization
Bio-MEMS
Bioorganic chemistry
Cell adhesion
Critical dimension

64

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Continuacin Tabla 12

Dinmica general del tema

8
7
6
5
4
3
2
1
0

4
3

3
1

2000 2001 2002 2003 2004 2005 2006 2007

Cingolani, R
Rinaldi, R
Maruccio, G
Passaseo, A
Di Fabrizio, E

Persano, L
Pisignano, D
Stomeo, T
D'Orazio, A
De Sario, M

Entidades
Univ Lecce
CNR
INFM

Temticas
Politecn Bari
Univ Bologna

Nanotechnology
Molecular electronic
Photonic crystal
Circular geometry
Control of interface Structure and morphology
DNA nucleosides

Dinmica general del tema


8
7
6
5
4
3
2
1
0

4
3
2

2
1

2000 2001 2002 2003 2004 2005 2006 2007


Fuente: Colciencias, clculos basados en informacin de la base de datos ISI WoS (1999-2007

65

Anlisis cientfico

Cingolani R
Red de Investigacin

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

66

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

La innovacin en campos como la nanotecnologa es muy dinmica y constantemente se desarrollan


nuevos procesos y productos. El anlisis de patentes para los mtodos de fabricacin en
nanotecnologia, trata sobre conocer cules son las patentes que se han publicado, como tambin
conocer las empresas a las que pertenecen estas patentes, el listado de inventores lderes por su
nmero de patentes y la dinmica de patentamiento.
De acuerdo con el informe de la Comisin Europea (2004), el registro de patentes en nanotecnologa
ha crecido de forma sostenida desde comienzos de los aos ochenta.

7.1 Titulares de patentes


Luego de hacer las bsquedas de patentes efectuadas en la ventana de tiempo comprendida entre
el ao 2000 y el ao 200713, se validaron 1037 patentes en este campo, que han sido asignadas a
308 titulares. Los titulares ms destacados se presentan en la Tabla 13.
Tabla 13. Titulares de patentes en mtodos de fabricacin de nanotecnologa.

Top
1
2
3
4
5

13

Titular de las Patentes


Patentes sin Asignacin
Agencia Japonesa de Ciencia y Tecnologa
Instituto Nacional de Ciencias de los Materiales
Instituto Nacional para el Avance de la Industria y la Tecnologa
Sony Corp.

Ver el detalle metodolgico de esta seccin en el Anexo 1

67

Nmero de
Patentes
100
78
46
37
33

Anlisis de patentes

7 Anlisis de Patentes.
Patentes.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Top

Titular de las Patentes

Nmero de
Patentes

Continuacin Tabla 13
6 Canon Inc.
7 Hitachi, Ltd.
8 Mitsubishi Electric Corp.
9 Hewlett - Packard Development Company LP
10 Matsushita Electric Ind. Co. Ltd.
11 Fuji Photo Film Co. Ltd.

31
21
17
16
16
16

Fuente: Colciencias, clculos basados en informacin de las base de datos de patentes

Tal como se observa en la Tabla 13, de las 1037 patentes 100 de ellas an no tienen titular
asignado. Japan Science and Technology Agency, es la institucin con el mayor nmero de
desarrollos en procesos en mtodos de manufactura de nanotecnologa, seguido de National
Institute for Materials Science con 46 innovaciones en este campo.
Es de destacar, que la mayora de las principales instituciones que patentan en el desarrollo de
procesos de nanofabricacin son instituciones japonesas.
Anlisis de patentes

As mismo, los titulares de tales patentes son tanto empresas de carcter privado (Sony, Hitachi,
Canon, etc,) como centros de investigacin financiados por los gobiernos como en el caso de Japan
Science and Technology Agency, National Institute for Materials Science y National Institute of
Advanced Ind. & Technology.

7.2 Perfiles y reas de desarrollo de los principales titulares.


A continuacin se presenta un perfil especfico de los cinco principales titulares con mayor nmero
de patentes de mtodos de fabricacin de nanotecnologa Ver Tabla 14- .

Tabla 14. Perfiles de los titulares con mayor registro de patentes en nanofabricacin

Institucin

Pas

Japan Science
Japn
and
Technology
Agency

Especialidad

Contacto

Realizan
las
siguientes http://www.jst.go.jp/EN/
actividades:
Crear tecnologa avanzada
Promover empresas mediante el
uso de tecnologa avanzada
Promover la difusin cientfica y
tecnolgica de la informacin
Promover la comprensin de la
ciencia y de la tecnologa en la
sociedad.

68

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Institucin

Pas

Continuacin Tabla 14
National Institute for Japn
Materials Science

National Institute of Japn


Advanced Ind. &
Technology
Sony Corp.

Japn

Canon Inc.

Japn

Especialidad

Nanotecnologa
Fotocatlisis
Captura de Protenas
Reconstruccin a Escala Atmica

Contacto

http://www.nims.go.jp/eng/

Tecnologas y Ciencias de la Vida. http://www.aist.go.jp/index_e


Electrnica
n.html
Materiales y Nanotecnologa
Manufactura de Tecnologa
Equipamientos Electrnicos
http://www.sony.co.jp/
Productos pticos
Procesamiento de Imgenes
Desarrollo de Cmaras

http://www.canon.com/

Fuente: Recopilado por Colciencias, 2007

Los desarrollos e innovaciones en cuanto a mtodos de fabricacin de nanotecnologia, han sido


desarrollados por los siguientes inventores Ver Tabla 15Tabla 15. Principales inventores

Inventor
Staas & Halsey
DEN TORU
Yamasjita, Ichiro
Harada, Akio
Nayakama, Yoshikazu
Ilijima, Sumio
BROWN, Robert, Anthony
CLARK, Robert, Graham
IMADA, AYA
Yudasaka, Masako
Matsui, Shinji
Taguchi, Noriyuki
BANDO YOSHIO
KIM, Nam, Young
OTOMO AKIRA

N de Patentes
202
12
12
11
11
8
7
7
7
7
7
7
6
6
6

Fuente: Colciencias, clculos basados en informacin de las base de datos de patentes

7.4 Citacin
Citacin de patentes.
atentes.
De las 1037 patentes en este campo, la patente con ms citaciones es Fluid Delivery for Scanning
Probe Microscopy con un total de 7 citaciones en total. Esta patente esta asignada a la compaa
General Nanotechnology LLC de Estados Unidos.

69

Anlisis de patentes

7.3 Inventores

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

7.5 reas temticas de las patentes


Las temticas en patentes se concentran en desarrollos, principalmente, de nanoestructuras,
nanopartculas, nanocompuestos y nanotubos de carbono, a travs de los tres mtodos de
fabricacin desarrollados, especialmente mediante tcnicas como el auto ensamblado y
nanolitografa. Entre las reas temticas ms importantes se encuentran las siguientes:








Fabricacin molecular
Manufactura de nanotubos de carbono
Diseos de nanoestructuras mediante la tcnica de nanolitografa y nanoimpresin
Microfabricacin
Formacin de nanopartculas
Desarrollos de nanocumpuestos

7.6 Comparacin de la actividad de patentes y la actividad de


publicaciones cientficas.
Anlisis de patentes

Para establecer la dinmica cientfica y de patentes en el perodo de tiempo comprendido entre 1999
y 2007, se presenta en el Grfico 17 la actividad ao a ao. El mencionado grfico revela el aumento
considerable que se present en las publicaciones cientficas a partir del 2000 y por el lado de las
patentes a partir de 2002, lo que indica que el tema est en proceso de consolidacin tanto en
patentes como en publicaciones y que las dos actividades crecen simultneamente, con dinmicas
distintas en cuanto al nmero total de patentes y artculos cientficos.
Es importante destacar que la evolucin de la actividad de patentes y la actividad de publicaciones
cientficas, han estado marcadas por etapas de desarrollo. Por ejemplo, para la evolucin de las
publicaciones cientficas se identifican slo dos etapas de desarrollo, la primera comprendida entre
1999 y el 2000 y la segunda la etapa comprendida entre 2000 hasta el 2006. As mismo, es posible
identificar tres etapas de desarrollo en la actividad de patentes, la primera entre 1999 hasta el 2002,
la segunda etapa comprendida entre los aos 2002 a 2004 y una tercera etapa 2005 y el 2006
marcada, principalmente, por una disminucin en la actividad.
De igual forma, se observa que la actividad de publicaciones cientficas es considerablemente mayor
que la actividad de patentes, por lo que se presenta una marcada diferencia en los desarrollos de las
actividades en mencin.
Ahora bien, el comportamiento que presenta el ao 2007 no refleja una baja en la actividad de
patentes y de publicacin cientfica, toda vez que los datos son parciales (Abril de 2007) y de
acuerdo con las evoluciones histricas del tema (1999-2006), es muy probable que se presente una
actividad destacada en el 2007 tanto en patentes como en publicaciones.

70

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Grfico 17. Actividad de patentes vs actividad de publicaciones cientficas.


800

761

700
628
600
507
500
400

Patentes
Publicaciones

349
320

300

252
188

200

167

200
144

142
100
1

1999

2000

43
4

14

2001

2002

0
2003

2004

2005

2006

2007

Nota: La dinmica de tiempo presentada para las patentes, no contiene las patentes no asignadas (100 patentes)
Fuente: Colciencias, clculos basados en informacin de la base de datos de patentese ISI WoS

71

Anlisis de patentes

17

0 2

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

72

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Al comparar la evolucin que presenta la nanofabricacin en los pases lderes, tal como se present
en la Tabla 7 del apartado seis del informe, se observa la tendencia creciente en investigaciones
cientficas que se presenta en el mundo nanotecnolgico14. En este sentido, se hace evidente la
importancia de este campo en el mundo actual y seguramente futuro. De acuerdo con Juma y
Cheong (2005) los impactos de la nanotecnologa se vern en aplicaciones de diversas ndoles
como los son el tratamiento del agua y la rehabilitacin: almacenamiento, produccin y conversin
de energa; diagnostico y examen de enfermedades, sistemas de administracin de medicamento;
control de enfermedades; contaminacin y rehabilitacin del aire; procesamiento y almacenamiento
de alimentos; deteccin y control de vectores y plagas; y mejoramiento de la produccin agrcola; lo
que es de gran importancia para pases en desarrollo como es el caso de latinoamerica.

Esto justifica la aparicin en el contexto internacional de los pases latinoamericanos, reflejados en


investigaciones cientficas, tal como se observ en la Tabla 8 en la dinmica latinoamericana de
publicaciones cientficas, la cual ha incrementado ao tras ao y ha permitido la incursin de pases
como Costa Rica, Per, Argentina, Colombia, Chile y Cuba en el panorama mundial de la
nanotecnologa.

Juma y Cheong (2005) presentan cmo los pases en desarrollo han incursionado en el campo
nanotecnolgico. A continuacin se presenta el esquema en mencin.

14

Expresin utilizada para agrupar pases, centros de investigacin, instituciones, investigadores y empresas nanotecnolgicos.

73

Nanotecnologa en Latinoamerica

8 Nanotecnologa en Latinoam
Latinoamrica.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Tabla 16. La investigacin y el desarrollo en nanotecnologa en pases en desarrollo seleccionados

Nanotecnologa en Latinoamerica

Pases

Actividad
Nanotecnolgica

Ejemplo

En la Vanguardia

China,
India,
Republica de Corea

Programa de nanotecnologa
financiado por el Gobierno
Nacional.
Patentes relacionadas con
nanotecnologa.
Productos comerciales en el
mercado o en desarrollo

Grupo Intermedio

Brasil,
Chile,
Filipinas,
Sur
Tailandia

Desarrollo de programas
nacionales
de
nanotecnologa financiados
por el gobierno nacional.
Algn apoyo del gobierno
(subsidios de investigacin).
Participacin
industrial
limitada.
Numerosas instituciones de
investigacin.

China:
Centro Nacional para la Nanociencia y
la Nanotecnologa; Pruebas clnicas de
andamiaje seo de nanotecnologa.
India:
Iniciativa de Ciencia y Tecnologa de
los Materiales. Comercializacin de
administracin nanopartculada de
medicamentos.
Corea:
Programa de Desarrollo de la
Nanotecnologa.
Primera exposicin mundial de emisin
de carbono por campos de nanotubos.
Brasil:
Instituto de Nanociencia, Universidad
Federal de Minas Gerais.
Chile:
Grupo de Nanotecnologa, Pontificia
Universidad Catlica de Chile.
Filipinas:
Universidad de las Filipinas / Intel
Technology de Filipinas.
Sur frica:
Iniciativa
Sudafricana
de
Nanotecnologa.
Tailandia:
Centro
de
Nanociencia
y
Nanotecnologa,
Universidad
de
Mahidol
Argentina:
Grupo
de
Investigaciones
en
Nanociencia. Centro Atmico Bariloche
e Instituto Balseiro.
Mxico:
Departamento
de
Materiales
Avanzados, Instituto Potosino de
Investigacin Cientfica y Tecnolgica.

Avanzado y en
Progreso

Argentina,
Mxico

frica,

Todava
no
se
ha
establecido una financiacin
organizada por parte del
gobierno.
La industria todava no ha
participado.
Se han creado grupos de
investigacin por medio de
varias
instituciones
de
ciencia,
tecnologa
e
innovacin
Fuente: Juma y Cheong (2005)

Lo anterior demuestra que para pases como Colombia, es posible ingresar al mundo
nanotecnolgico, mientras siga ejemplos de pases como los presentados anteriormente que
desarrollan centros de investigacin en nanotecnologa con participacin de actores como el
gobierno, las universidades, la industria y la empresa privada.

74

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

La gran utilidad de la nanotecnologa para los pases presentados anteriormente, se contextualiza en


la capacidad que tiene la nanotecnologa de aportar nuevas herramientas con las cuales es posible
resolver problemas del desarrollo sostenible, como tambin puede ayudar a fortalecer las
tecnologas ya disponibles y hacerlas ms eficientes. De est manera, antes que reemplazar las
tecnologas existentes, va a coexistir con estas (Juma y Cheong, 2005).
En palabras de los autores mencionados anteriormente, la nanotecnologa tiene la probabilidad
de ser especialmente importante en el mundo en desarrollo, porque involucra poca mano de obra;
tierra o mantenimiento; es muy productiva y no tan costosa; y slo necesita pequeas cantidades de
energa y materiales

A continuacin se presenta una sntesis de los esfuerzos locales para impulsar el establecimiento y
desarrollo de la nanotecnologa en algunos pases de latinoamerica.
El ao 2005 fue el ao de quiebre para algunos de los pases de Amrica Latina, en el cual se
lanzaron los desarrollos e investigaciones tendientes a fortalecer la nanotecnologa, de manera que,
pudieran crear e instalar las capacidades y la infraestructura mnima para ingresar al mundo
nanotecnolgico. Segn Foladori (2006) entre los esfuerzos polticos ms significativos se presentan
los siguientes:
Avances en Argentina



En Argentina se han creado varios centros de excelencia en diferentes sectores. (Fsica, qumica
y medicina) en donde se trabaja bajo la base de la micro y nanotecnologa.
En abril de 2005, se lanz la Fundacin Argentina de Nanotecnologa (ANF), con un prepuesto
federal de 10 millones de dlares por cinco aos y una relacin cercana con Lucent
Technologies. Est fundacin fue creada por decreto presidencial, sin tomar en cuenta a la
comunidad cientfica lo que ha generado gran revuelo en Argentina.
El Comit Nacional sobre tica en Ciencia y Tecnologa public una declaracin que sugera la
regulacin de la investigacin en nanotecnologa.

Avances en Brasil





En Brasil, hub incrementos de recursos federales para el programa de nanotecnologa.


En el 2001, Brasil impuls la creacin de cuatro redes para la nanoestructuracin de materiales,
nanobiotecnologa, nanotecnologa molecular y sus interfaces, nanodispositivos y
semiconductores.
En el 2004, se estableci una red en nanotecnologa, sociedad y ambiente.
El ao 2004 fue el ao en el que Gobierno Federal Brasileo lanz el Plan Pluri-Anual (20042007), en el cual se adjudicarn 28 millones de dlares para el desarrollo del Programa de
Nanociencia y Nanotecnologa (DNN)

75

Nanotecnologa en Latinoamerica

Para sustentar la importancia y relevancia que la nanotecnologa ha adquirido en Amrica Latina


Foladori (2006) presenta el panorama de acciones que varios pases, entre ellos Colombia, han
realizado para el desarrollo y despegue de la nanotecnologa.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa






El objetivo del Programa de Nanociencia y Nanotecnologa es el de desarrollar nuevos productos


y procesos con el objeto de aumentar la competitividad de la industria brasilea.
El 2005 fue el ao en el que gobierno federal reconsider la inversin para el Programa, y
decidi aumentar el presupuesto del 2005 y el 2006 en el cual se pasan de 19 millones a 30
millones de dlares.
En este mismo ao, dentro del DNN se cre la red BrasilNano. Dentro de est red estn
involucrados las industrias y los centros de investigacin con el fin de acelerar el desarrollo
industrial y comercial de la nanotecnologa en el pas.
El establecimiento de redes de trabajo de nanotecnologa se impuls entre Brasil, Sur frica e
India. Los Ministros de Ciencias de estos tres pases han trabajado sobre la identificacin de
reas de cooperacin trilateral en el campo de la nanotecnologa.

Avances en Chile

Nanotecnologa en Latinoamerica




En Chile se consolidan varios grupos de investigacin en nanociencias en diversas


universidades.
Los grupos de investigacin chilenos que trabajan en las nanociencias son el Instituto para la
Investigacin y Desarrollo de Materiales de la Universidad de Chile; el Departamento de
Ingeniera de Materiales y el Centro de Investigacin Interdisciplinaria de la Ciencias de los
Materiales de la misma Universidad.
Otra de las universidades pioneras de los desarrollos en nanotecnologa, es la Universidad
Tcnica Federico Santa Maria, en donde se estudia la fsica de la materia condensada o la
nanotecnologa. As mismo, ha liderado el proyecto Ncleo Cientfico del Milenio con la
participacin de varias universidades del pas.
El Departamento de Fsica de la Universidad Catlica es otra de las universidades que trabaja
en nanotecnologa y recibe financiacin de la Fundacin Andes.
El gobierno fund el Fondo de Nacional de la Innovacin y Desarrollo Cientfico y Tecnolgico
(FONDOCYT); y varios programas internacionales en el campo.

Avances en Costa Rica





En Costa Rica se inaugur el Laboratorio para la Nanotecnologa, los Microsensores y los


Materiales Avanzados (LANOTEC), el primero de este tipo en Amrica Central
El Laboratorio tiene como funcin trabajar en la investigacin, el diseo y la construccin de
microsensores y de nanotubos de carbono.

Avances en Mxico



El Comit de Ciencia y Tecnologa del Senado, se declar a favor de establecer un Programa


Nacional de Emergencia para financiar la investigacin y educacin en nanotecnologa.
En Mxico son varias las universidades y centros de investigacin que trabajan en nanociencia y
nanotecnologa, no obstante hasta ahora no existe un programa federal que financie, organice y
regule la nanotecnologa.

76

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

La mayora de los grupos de investigacin tienen acuerdos bilateriales con grupos de


investigacin de Estados Unidos o de Europa y el financiamiento proviene de fondos de
programas mexicanos.

8.1 Fortalecimiento de la nanotecnologa en Colombia


Para Foladori (2006), los pases presentados anteriormente esperan que con el desarrollo de la
nanotecnologia y la nanociencia mejore la competitividad del pas, puesto que la nanotecnologa
ayudara a superar los problemas inherentes a la pobreza.





En el 2004, Colciencias seleccion ocho reas estratgicas para el desarrollo de la productividad


y competitividad de la economa colombiana. Entre las reas seleccionadas se encuentra la
Nanotecnologa y los Materiales Avanzados.
En Julio de 2005, se cre el Consejo Nacional de Nanociencia y Nanotecnologa (CNNN)
impulsado por la Seccin Colombia del Instituto de Ingenieros Elctricos y Electrnicos (IEEE)
Para el siguiente mes, se instal la Red de Investigacin y el Desarrollo de Nanotecnociencias15,
y se tratan reas como auto ensamblado; control de nanoescalas; cncer y nanotecnologa;
nanoelectrnica y electrnica molecular; nanofotnica; nanomateriales; computacin
nanotecnociencia; computacin molecular y cuntica; nanorobtica; nanobiotecnologa; y las
implicaciones ticas y sociales de la nanotecnociencia.

En este sentido, Colombia empieza a hacer evidente el inters sobre la nanotecnologa. De acuerdo
con el Plan Nacional de Desarrollo 2006-2010 de Colombia, elaborado por el Departamento Nacional
de Planeacin (DNP) se plantea que Colombia debe avanzar en forma decisiva hacia el desarrollo y
la adopcin de las llamadas tecnologas convergentes, las cuales abarcan un conjunto de nuevas
tecnologas caracterizadas por ser intensivas en conocimiento, por surgir de la confluencia de
desarrollos en diversas reas del conocimiento, por su aplicabilidad transversal y por generar nuevas
capacidades en la resolucin de problemas16
As mismo, tanto en el Plan Nacional de Desarrollo Cientfico, Tecnolgico y de Innovacin 20072019, Documento para la Discusin, realizado por Colciencias en el ao 2006, como en el informe
Fundamentar el crecimiento y el desarrollo social en la ciencia, la tecnologa y la innovacin
Propuesta para Discusin realizado conjuntamente por Colciencias y el DNP, se ha considerado la
nanotecnologa como uno de los campos principales de desarrollo tecnolgico estratgico. En el
estudio de la situacin actual, se ha propuesto la Necesidad de una mayor apropiacin y promocin
de la investigacin y desarrollo tecnolgico en diferentes sectores y temas de relevancia para el
desarrollo del pas entre los cuales se presenta el siguiente punto como importante:

15

Red conformada por la Pontifica Universidad Javeriana, Universidad San Buenaventura, Universidad del Bosque, Universidad
Distrital; Universidad Santo Tomas y el Consejo Nacional de Nanociencia y Nanotecnologa.
16 Plan Nacional de Desarrollo 2006-2010, Capitulo 7, Pg. 67

77

Nanotecnologa en Latinoamerica

Los esfuerzos iniciales que Colombia ha realizado para consolidar el desarrollo de la nanotecnologa
se presentan a continuacin.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

f) Nanociencia y Nanotecnologa. Los cambios que veremos en los prximos aos


como consecuencia de innovaciones basadas en las nanotecnologas, tendrn efectos
muy superiores a la aparicin del chip y afectan reas tan diversas como la electrnica,
la computacin, la salud, la exploracin espacial, el clima, la biotecnologa y a la
agricultura, por citar slo algunas.
La nanotecnologa facilita alcanzar desarrollos tecnolgicos encaminados a la obtencin
de nuevos materiales estratgicos para el progreso del pas. Por ejemplo, esto es
fundamental para innovar en el proceso de elaboracin de plsticos; as como en el
comportamiento de materiales compuestos de matriz polimrica que ofrezcan una mejor
combinacin de propiedades mecnicas y en materiales de construccin...

Nanotecnologa en Latinoamerica

De acuerdo con Juma y Cheong (2005), los pases latinoamericanos deben crear capacidad
autctona para formar cientficos, tecnlogos e ingenieros en campos de inters tecnolgico, como
es el caso de la nanotecnologa. Este tipo de estrategia formativa ayudara a resolver problemas
locales. Una de las posibles alternativas planteadas por Juma y Cheong (2005) es que las
universidades se conviertan en polos de desarrollo acadmico y tecnolgico y desempeen un papel
crucial para la educacin y la capacitacin de la nanotecnologa. En este contexto, las universidades
disponen de un potencial enorme para fomentar el desarrollo tecnolgico en este campo.
Este esfuerzo nacional, se complementa con el anlisis de capacidades nacionales, presentado en
el siguiente apartado del presente informe.

78

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Las capacidades nacionales en nanotecnologa se configuran a partir de centros e institutos que


abordan el tema, los grupos de investigacin y los investigadores destacados en el pas, los
programas de formacin, la infraestructura con la que cuenta el pas y los proyectos de investigacin
financiados por Colciencias.
Para determinar las capacidades nacionales de Colombia17 en el tema de nanotecnologa se
consultaron las siguientes fuentes de informacin:




Red ScienTI de Colciencias que proporciona informacin relacionada con los grupos de
investigacin colombianos, con base en los grupos registrados a corte de septiembre de 2006.
Sistema de Integral de Gestin de Proyectos (SIGP) que brinda informacin con respecto a los
proyectos financiados por Colciencias. El perodo analizado es 2002-noviembre 2006.
Informacin pblica del Ministerio de Educacin Nacional y del Sistema Nacional de Informacin
de la Educacin Superior (SNIES) para determinar la oferta educativa. Los datos registrados son
a noviembre de 2006.

De modo que las capacidades resultan de la sumatoria de la informacin contenida en estas bases
de datos, tal y como se muestra en el Cuadro 1.
Cuadro 1. Sumatoria de capacidades nacionales

CAPACIDADES NACIONALES =

Investigacin (SIGP + SCIENTI) + Educacin (SNIES)


+ Actividad Inventiva (PATENTES)
Fuente: Colciencias et al (2007b).

17 Para

consultar el detalle metodolgico de esta seccin vea el anexo 1

79

Capacidades nacionales

9 Capacidades Colombianas en Nanotecnologa

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

El anlisis de capacidades colombianas en nanotecnologa se considera til, toda vez que compara
lo que hace Colombia en el tema y el estado en el mbito internacional. Adems, puede convertirse
en un referente para establecer redes entre las instituciones y grupos de investigacin nacionales
con instituciones lideres a escala internacional, para trabajar en ejes prioritarios de inters para el
pas.

9.1 Centros e instituciones especializadas en nanotecnologa


anotecnologa de
Colombia
Uno de los elementos que se presenta para configurar las capacidades del pas en nanotecnologa
son los centros e instituciones de investigacin bsica y aplicada. La caracterstica principal de tales
instituciones es que han sido creadas como instituciones independientes y conforman redes de
trabajo y cooperacin con grupos de investigacin tanto nacionales como internacionales.
Como resultado de las bsquedas efectuadas, hasta el momento se han logrado detectar cinco
instituciones y centros de investigacin que cuentan con est caracterstica distintiva. Estos centros
de investigacin en nanotecnologa son los siguientes:
Capacidades nacionales

Tabla 17. Instituciones colombianas en nanotecnologa

Institucuiones Colombianas
Centro de Excelencia en Nuevos Materiales (CENM) Universidad del Valle
Centro de Ciencia y Tecnologa Nanoescalar. Nanocitec
Consejo Nacional de Nanociencia y Nanotecnologa
Nanocolombia
Red de Investigacin y Desarrollo de la Nanotecnociencia
Fuente: Recopilado por Colciencias, (2007)

9.2 Infraestructura nanotecnolgica del pas.


Las capacidades nacionales en nanotecnologa del pas se complementan con la infraestructura
disponible por los centros de investigacin. En este sentido, se presenta la infraestructura propuesta
por el CENM, en la cual se plantea la compra de tecnologas que a continuacin se describen:
Tabla 18. Tecnologas del CENM

Tecnologa
MPMS
DRX
PMSS Y MICRO-RAMAN
Centrales de clculo
Equipos de Apoyo a
Sistemas de Crecimiento
Caracterizacin
Laser de Ar de Alta Potencia

Utilidad
Requerido para realizar los procesos de caracterizacin y anlisis de
materiales magnticos
Requerido para la evaluacin cristalogrfica
Sistema necesario para estudio de propiedades elctricas,
magnticas de nanoestructuras y materiales. Y sistema para
medidas in-sutu de recubrimientos.
Sistemas de cmputo de alta velocidad para clculos numricos e
implementacin de algoritmos computacionales complejos
Equipos complementarios para el control de temperatura, gases,
y alto vaco, conexiones fibra-ptica, deteccin de seales elctricas y
pticas
Para excitacin y caracterizacin ptica de materiales
Fuente: Colciencias, adaptado de CENM (2004)

80

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

La infraestructura y las tecnologas descritas anteriormente en Colombia estn muy por debajo de la
infraestructura presentada anteriormente por el NNIN de Estados Unidos, pas en el cual se cuenta
con ms de 700 tecnologas para la nanofabricacin. Una infraestructura adecuada es un requisito
necesario para impulsar la creacin y aplicacin de la ciencia, la tecnologa y la innovacin al
desarrollo (Juma, Cheong, 2005). En este panorama, se hace necesario que pases como Colombia
adopten estrategias dirigidas a mejorar su infraestructura, de manera que se fomente el desarrollo
tecnolgico necesario en campos como la nanotecnologa.

9.3 Grupos de investigacin en nanotecnologa.

1.
2.
3.
4.
5.
6.
7.
8.
9.
10.
11.
12.
13.
14.
15.
16.
17.
18.
19.
20.

Ciencia de Materiales Avanzados


Ciencia y Caracterizacin de Materiales
CMUA - Centro de Microelectrnica
Grupo de Automtica y Robtica
Grupo de Fsica de la Materia Condensada
Grupo de Fsica Terica de la Materia Condensada
Grupo de Investigacin en Fisicoqumica Terica y Experimental - GIFTEX
Grupo de Investigaciones en Transporte Molecular (GITRAM)
Grupo de Materiales Electroactivos
Grupo de ptica y Tratamiento de Seales
Grupo de Optoelectrnica y Microelectrnica UD
Grupo de Sistemas Correlacionados
Integrar
Investigacin en Ciencias Bsicas en Ingeniera ICIBAIN
La qumica de la interfase inorgnica-orgnica
Laboratorio de Fsica del Plasma
Magnetismo e Interacciones Hiperfinas
Nuevos Materiales: Fullerenos y Nanotubos de Carbono
Procesos Qumicos Catalticos y Biotecnolgicos
Slidos Porosos y Calorimetra

Estos 20 Grupos de investigacin representan el 6% de los grupos registrados en la plataforma


ScienTI para el Programa Nacional de Electrnica, Telecomunicaciones e Informtica. Por lo cual, la
participacin se puede considerar como baja en trminos del nmero de grupos de investigacin

18

Datos a septiembre de 2006.


La informacin registrada en la plataforma ScienTI fue incorporada y procesada con Vantage Point con el fin de obtener los grupos
de investigacin que en su lnea de investigacin trabajaran sobre nanotecnologia.
20 Las palabras que se utilizaron para encontrar las lneas de investigacin para nanotecnologa son las siguientes: Nanotecnologia,
Nanocompuestos, Nanomateriales, Nanosistemas, Nanotubos, Nanoestructura, Nanoescala
19

81

Capacidades nacionales

En cuanto a grupos de investigacin en nanotecnologa, segn la Plataforma ScienTI18, Colombia


cuenta con 20 Grupos de Investigacin19 que declaran en sus lneas de investigacin20 trabajar en
nanotecnologa. Estos grupos de Investigacin son:

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

relacionados con la investigacin en nanotecnologa, sin embargo con una emergencia y evolucin
creciente para los aos 2004 y 2005, tal como lo muestra el Grfico 18.
Grfico 18. Aos de creacin de los grupos en nanotecnologa
3

Capacidades nacionales

19
84
19
85
19
86
19
87
19
88
19
89
19
90
19
91
19
92
19
93
19
94
19
95
19
96
19
97
19
98
19
99
20
00
20
01
20
02
20
03
20
04
20
05

Fuente: Colciencias, clculos basados en informacin de la base de datos ScienTI a septiembre de 2006

La dinmica de creacin de grupos de investigacin en nanotecnologia fue constante a partir de


1999. Sin embargo, la dinmica general se puede calificar como irregular, debido a que son pocos
los grupos de investigacin creados por ao y se presentan perodos de no creacin, como por
ejemplo en el 2006.
Instituciones de los grupos de investigacin.
Las instituciones a las cuales pertenecen los 20 grupos de investigacin se pueden observar en el
Grfico 19. La Universidad Nacional es la universidad que cuenta con ms grupos en est temtica,
seis en total.
Cobertura nacional.
Los grupos de investigacin se distribuyen geogrficamente en ocho departamentos (25% de
cobertura). Tal concentracin se presenta, principalmente, en Bogot y est diversificada en
departamentos como Antioquia, Caldas, Norte de Santander y Santander.

82

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Grfico 19. Instituciones a las que pertenecen los grupos de investigacin en nanotecnologa.
6

Universidad Nacional

Universidad de Pamplona

Universidad Industrial de
Santander

Pontificia Universidad
Javeriana

Universidad de Antioquia

Universidad del Atlntico

U. Distrital Fco Jos de


Caldas

Universidad Libre

UPTC

1
0

Fuente: Colciencias, clculos basados en informacin de la base de datos ScienTI a septiembre de 2006
Grfico 20. Distribucin geogrfica de los grupos de investigacin colombianos
Valle

Boyaca

Atlntico

Santander

Norte de Santander

Caldas

Antioquia

Distrito Capital

7
0

Fuente: Colciencias, clculos basados en informacin de la base de datos ScienTI a septiembre de 2006

83

Capacidades nacionales

Universidad de los Andes

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Gnero de los investigadores lderes.


De los 20 investigadores lderes de los grupos de investigacin en mencin, hay 19 del gnero
masculino y una investigadora lder.
Grfico 21. Distribucin de gnero

Capacidades nacionales

Fuente: Colciencias, clculos basados en informacin de la base de datos ScienTI a septiembre de 2006

Comunidad y masa crtica del pas.


La comunidad de investigadores en Colombia sobre el tema de nanotecnologa alcanza los 322
investigadores concentrados en los 20 grupos de investigacin presentados anteriormente, lo que
indica que menos del 1% de los 47197 investigadores registrados en ScienTI se dedican a temas
sobre nanotecnologa.
Ahora bien, la masa crtica con la que cuenta el pas se presenta de acuerdo con el nmero de
investigadores con formacin avanzada (Posdoctorados-Doctorado-Maestra), esto indica la
experticia de nuestros investigadores y grupos de investigacin en nanotecnologa.
De los 322 investigadores identificados en los 20 grupos, 158 de ellos conforman la masa crtica del
pas en investigacin de nanotecnologa, estos representan el 49% de la comunidad en
nanotecnologa y el 0.3% del total de investigadores registrados en la Plataforma ScienTI. De ellos
16 investigadores cuentan con posdoctorado, 78 son doctores y 64 cuentan con formacin de
maestra. Este conjunto de investigadores poseen ttulos en reas como la fsica y qumica. Ver
Grfico 22En el anexo N 5 se presenta el listado de investigadores con formacin de alto nivel y las
afiliaciones correspondientes.

84

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Grfico 22. Masa crtica en nanotecnologa

Fuente: Colciencias, clculos basados en informacin de la base de datos ScienTI a septiembre de 2006

Indicadores de produccin cientfica de los grupos.

Tabla 19. Produccin de los Grupos de Investigacin Identificados

Nmero de Grupos
20

Proyectos de los Grupos


259

Productos de los Grupos


3551

Fuente: Colciencias, clculos basados en informacin de la base de datos ScienTI a septiembre de 2006

Para profundizar un poco ms sobre los productos de cada uno de los grupos, se presenta la Tabla
20 que relaciona el nmero total de productos discriminados por el tipo de produccin, de acuerdo
como estn registrados en la plataforma ScienTI.
Tabla 20. Produccin por tipo de producto registrado en ScienTI

Tipo de Producto
Artculos de investigacin
Captulos de libro
Libros de investigacin
Produccin artstica/cultural
Literatura Gris
Productos asociados a servicios tcnicos o consultora cualificada
Productos de divulgacin o popularizacin de resultados de investigacin
Productos o procesos tecnolgicos patentados o registrados
Productos o procesos tecnolgicos usualmente no patentables o protegidas por
secreto industrial
Tesis y trabajos de grado
Otros
Produccin Total

Total de Produccin
1031
53
7
5
97
11
1312
42
21
331
641
3551

Fuente: Colciencias, clculos basados en informacin de la base de datos ScienTI a septiembre de 2006

Para mayor detalle de los indicadores de produccin de los 20 grupos de investigacin identificados
consulte el Anexo N 5 del presente informe.

85

Capacidades nacionales

Los 20 grupos de investigacin registran los siguientes indicadores de produccin, tal como se
muestra en la Tabla 19.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

9.4 Oferta educativa en Colombia.


Para poder crear capacidades en ciencia, tecnologa e innovacin, los pases en desarrollo, como en
el caso de Colombia, deben concentrar recursos para que un nmero de jvenes puedan tener
acceso a la educacin superior (Juma y Cheong, 2005). Con lo anterior, se ratifica la importancia
que tiene para el pas la formacin de investigadores en campos como la nanotecnologa.
Para configurar la oferta educativa en el tema de nanotecnologa, se consult informacin del
Sistema Nacional de Informacin de la Educacin Superior21 (SNIES) para ser procesada y de est
manera obtener resultados ms precisos en la bsqueda de los programas de formacin sobre
nanotecnologa. Los trminos utilizados para encontrar los programas de formacin fueron los
siguientes: fsica, ciencias fsicas e Ingeniera fsica
Programas de formacin relacionados con nanotecnologa
Los programas de formacin que pueden estar relacionados con la nanotecnologa son los
siguientes.
Tabla 21. Programas de formacin en nanotecnologa.
Capacidades nacionales

Programa de Formacin
Doctorado en Ciencias Naturales Fsica
Doctorado en Ciencias Fsica
Doctorado en Ciencias Fsicas
Maestra en Ciencias Fsicas
Maestra en Fsica
Maestra en Ciencias Fsica
Maestra en Docencia de la Fsica
Maestra en Ciencias- Fsica
Especializacin en Ciencias Fsicas
Especializacin en Fsica
Fsica
Ingeniera Fsica
Licenciatura en Fsica
Total de Programas
Representacin en la Oferta Total de Programas de Formacin
Curso Nanociencia y Nanotecnologia22. Unijaveriana

N de
Programas
2
2
1
3
2
8
4
3
2
1
12
5
3

48
0.3%
1

Fuente: Colciencias, clculos basados en informacin de la base de datos SNIES a septiembre de 2006

21

Esta informacin fue incorporada en Matheo Software


El curso nanociencia y nanotecnologia es ofrecido por la Universidad Javeriana. Este curso no hace parte la informacin presentada
por el SNIES. Se encontr de acuerdo con las bsquedas realizadas en Google para el 28 de Marzo de 2007
22

86

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Nivel de los programas de formacin


Programas de formacin en nanotecnologa como tal no existen en el pas. Sin embargo, como la
base de la nanotecnologa es la fsica, la oferta educativa en programas de fsica del pas llegan a 48
programas, esto representa el 0.3% del total de la oferta educativa en el pas y concentrados,
principalmente, en el nivel de especializacin como lo muestra la Grfica 25.

Capacidades nacionales

Grfico 23. Niveles de formacin de los programas en nanotecnologa.

Fuente: Colciencias, clculos basados en informacin de la base de datos SNIES a septiembre de 2006

Cobertura nacional de los programas de formacin en nanotecnologa.


La oferta educativa en fsica se concentra en 21 departamentos lo que indica una cobertura nacional
del 66%. En el Grfico 24 se observa la distribucin departamental de cada programa en
nanotecnologa.

87

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

El departamento que ms programas de formacin ofrece es Bogot con diez programas en fsica en
todos los niveles de formacin. Seguido de Antioquia con seis programas y Santander, Valle, Norte
de Santander, Atlntico, Crdoba con tres programas de formacin.
Grfico 24. Distribucin departamental de los programas en nanotecnologa.

Capacidades nacionales

Fuente: Colciencias, clculos basados en informacin de la base de datos SNIES a septiembre de 2006

rea de conocimiento de los programas de formacin.


El rea de conocimiento principal que concentra los programas de formacin en fsica es el rea de
las ciencias naturales con un 81% de los programas, tal como lo muestra el Grfico 25.
Grfico 25. reas del conocimiento de los programas en nanotecnologa.

Fuente: Colciencias, clculos basados en informacin de la base de datos SNIES a septiembre de 2006

88

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

9.5 Proyectos financiados


inanciados por Colciencias
Colciencias a travs de sus convocatorias financia proyectos de investigacin de diferentes
instituciones ya sea de carcter acadmico, empresarial, ONG, entre otras. Estos proyectos
apoyados y financiados por Colciencias estn registrados en la Base de Datos del Sistema de
Integral de Gestin de Proyectos23 (SIGP) para ser procesada y agilizar la obtencin de los
proyectos en diferentes temas.
Proyectos de investigacin en nanotecnologa financiados por Colciencias24.
De acuerdo con la informacin del SIGP, Colciencias ha financiado 12 proyectos en nanotecnologa
en el perodo comprendido entre 2002 a noviembre de 2006. Los proyectos pueden ser consultados
en el Anexo N 5.
Entidades ejecutoras.

Capacidades nacionales

En el Grfico 26 se presentan las entidades ejecutoras de los proyectos.


Grfico 26. Institucin ejecutora del proyecto
Universidad de
Antioquia

Universidad del Valle

Universidad Industrial
de Santander

Universidad Nacional

Universidad Pontificia
Bolivariana

Fund. Cardiovascular
de Colombia

Universidad de los
Andes

1
0

Fuente: Colciencias, clculos basados en informacin de la base de datos SIGP a noviembre de 2006

23 La informacin del SIGP esta consolidada desde 2002 hasta noviembre de 2006. Esta informacin del SIGP ha sido incorporada en
Vantage Point
24 Los trminos utilizados para obtener los proyectos en nanotecnologa son: Nanotecnologa, Nanoestructuras, Nanomateriales,
Nanocomposites, Nanocompuestos, Nanosistemas, Nanotubos

89

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Las entidades ejecutoras de los proyectos sobre nanotecnologa se concentran, principalmente, en


Antioquia, como lo muestra el Grfico 27
Grfico 27. Proyectos por departamento

Antioquia

Santander

Distrito Capital

Valle del Cauca

Capacidades nacionales

Fuente: Colciencias, clculos basados en informacin de la base de datos SIGP a noviembre de 2006

La dinmica de ejecucin de los 12 proyectos se concentra, principalmente, en el ao 2005, tal como


se muestra en el Grfico 28.
Grfico 28. Ao ejecucin de los proyectos

2006

2005

2004

Fuente: Colciencias, clculos basados en informacin de la base de datos SIGP a noviembre de 2006

90

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Programa nacional de Colciencias que financia los proyectos.


El programa que ms ha financiado proyectos en este campo es el Programa Nacional de Ciencias
Bsicas, tal como lo muestra el Grfico 29.
Grfico 29. Programa nacional que ha financiado los proyectos
Ciencias Bsicas

Desarrollo Industril

Biotecnologa

ETI

Energa y Mneria

Fuente: Colciencias, clculos basados en informacin de la base de datos SIGP a noviembre de 2006

9.6 Patentes colombianas en nanofabricacin


Para el anlisis las patentes colombianas en las oficinas de patentes internacionales25 y en
consecuencia se consideraron como elementos de referencia, los siguientes parmetros:
Tabla 22. Datos iniciales para la bsqueda de patentes en oficinas internacionales.

Base de
Datos
PATENTES

Informacin base

Informacin Base de Anlisis

Cdigos Internacionales de Patentes

B82B

Fuente: Colciencias, basado en la Informacin de las BdDs Internacionales de Patentes,

Como resultado de la bsqueda, no se encontraron patentes colombianas en las bases de datos


internacionales analizadas relacionadas con mtodos de nanofabricacin.

25

Para el detalle metodolgico de esta seccin consulte el Anexo 1

91

Capacidades nacionales

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

9.7 Resumen de capacidades nacionales en nanotecnologa.


De est manera, la configuracin de la estructura bsica con la que cuenta el pas en el tema de
nanotecnologia se ejemplifica en el Grfico 30y en la Tabla 23.
Tabla 23. Resumen de capacidades colombianas en nanotecnologa.

Temtica
Nanotecnologa

N de Grupos
de
Investigacin
20

Capacidades Nacionales
Herramienta de Procesamiento
Bases de Datos Consultadas
,

Vantage Point Matheo Software y


SIGP ScienTI - SNIES
Microsoft Excel
Investigacin
N de Investigadores Epicentro Universidad con ms Grupos

322

N de
Proyectos

Bogot
Universidad Nacional - 6
Proyectos de Investigacin
Temtica Principal
Epicentro
Entidades Ejecutoras

Capacidades nacionales

12

Nanotecnologa Nanocompuestos

N de
Programas
48

Nivel de Formacin
destacado
Universitario

N de Centros
de Inv.
2

Antioquia

Programas de Formacin
Cobertura
42%

Centros e Institutos en Nanotecnologa


Temtica
Epicentro
Materiales Nanotecnologa en
Cncer

Cali Bogota

Fecha
Marzo de 2007

Sector de
Aplicacin
ms
Destacado
Educacin
Programa
Nacional que
Financia
Programa de
Ciencias
Bsicas
rea del
Conocimiento
Ciencias
Naturales
Forma
Institucional
ms Creada
Centro de
Excelencia Instituto

Fuente: Colciencias, clculos basados en informacin de la base de datos SIGP, SNIES Y ScienTI

92

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Capacidades nacionales

Grfico 30. Capacidades colombianas en nanotecnologia.

Fuente: Colciencias, clculos basados en informacin de la base de datos SIGP, SNIES Y SCienTI (2007)

93

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

94

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

10 Bibliografa.

BILBAO, R., y otros (2004). Informe Final: Biotecnologa y Nanotecnologa. Universidad de


Concepcin, Chile. Agosto
CENTRO DE EXCELENCIA EN NUEVOS MATERIALES CENM (2004). Propuesta: Creacin de
un Centro de Excelencia en Nuevos Materiales CENM convocatoria para Colciencias.
CPM CIENTFICA (2002), The Nanotechnology Opportunuty Report.
DEPARTAMENTO NACIONAL DE PLANEACIN (DNP) Colciencias (2006). Fundamentar el
crecimiento y el desarrollo social en la ciencia, la tecnologa y la innovacin. Propuesta para
Discusin. Bogot. Direccin de Desarrollo Empresarial.
INSTITUTO PARA EL DESARROLLO DE LA CIENCIA Y LA TECNOLOGA FRANCISCO JOSE DE
CALDAS COLCIENCIAS (2006). Plan Nacional de Desarrollo Cientfico, Tecnolgico y de
Innovacin 2007-2019. Bogot.
_____, y TRIZ XXI (2007). Protocolo General para Ejercicios de Vigilancia Tecnolgica para
Colciencias. Bogot.
_____, MEDINA, J; SNCHEZ-TORRES, J.M; AGUILERA, A; LANDINEZ L.M; LEN, A. (2007b).
Aproximacin al Anlisis de Capacidades Nacionales en Investigacin, Educacin e Innovacin.
Documento Interno de trabajo.

95

Bibliografa

ALGUACIL, P., y PISTONE, S., (2002). Nanotecnologa. en Revista Tecnolgica, Universidad &
Empresa. Universidad Tecnolgica Nacional de Argentina.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

COMISIN EUROPEA (2005). Nanociencias y nanotecnologas: Un plan de accin para Europa


2005-2009.
Disponible
en
ftp://ftp.cordis.europa.eu/pub/nanotechnology/docs/nano_action_plan2005_es.pdf . Bruselas Junio
_____, (2004). Hacia una estrategia europea para las nanotecnologas. Disponible en http://eurlex.europa.eu/LexUriServ/site/es/com/2004/com2004_0338es01.pdf Bruselas. Mayo
_____, (2004,a). Vision 2020, Nanoelectronics at the Centre of Change: A Far-sighted Strategy for
Europe. Junio
CORDIS FOCUS (2006). Assessing education and training needs for nanoscience and
nanotechnology en Exploring the Nano-world. Ed 22, p 20. Marzo
EVERTSEN, J., (2005). Nanoelectronics. Disponible en www.forfas.ie. Nanoireland. Octubre
FOLADORI, G., (2006). Nanoscience and nanotechnology in Latin Amrica. Disponible en
http://www.nanowerk.com/spotlight/spotid=767.php. Nanowerk LLC.
HOLISTER, P., (2002), Nanotech: The Tiny Revolution. CPM Cientfica. Julio
Bibliografa

HUW, A., (2003). Tools and Fabrication in Bottom-up Manufacturing for Nanotechnology. Disponible
en http://www.azonano.com/Details.asp?ArticleID=1063. Julio
INVERNIZZI, N., Y FOLADORI, G., (2006) Beneficiarn las nanotecnologas a los pases en
desarrollo? Enfoques y controversias.
Disponible en http://www.ocyt.org.co/esocite/Ponencias_ESOCITEPDF/4BRS010.pdf. Bogot.
JUMA, C., Y YEE-CHEONG, L., (2005). Innovacin: Aplicacin de los Conocimientos al Desarrollo.
Bogot : Proyecto Milenio, Naciones Unidas, (Traduccin autorizada para Colciencias)
KEENAN, D., (2005), New Frontiers in Nanomanufacturing. The NanoTechnology Group.
KULIK, T., y FIDELUS, J., (2007). Education in the Field of Nanoscience. Nanoforum. Disponible en
www.nanoforum.org. Warsaw. Enero
LUX RESEARCH (2005), Ranking the Nations: Nanotech's Shifting Global Leaders. Disponible en
http://www.luxresearchinc.com
MINISTERIO DE CIENCIA Y TECNOLOGA DE NUEVA ZELANDA (2006). Nanoscience +
Nanotechnologies. Roadmaps for Science. Diciembre.
NANOFORUM (2005). European Nanotechnology Infrastructure and Networks. Disponible en
www.nanoforum.org. Julio

96

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

_____, (2005b). European Nanotechnology Education Catalogue. Disponible en www.nanoforum.org


Marzo
NANOTECHNOLOGY RESEARCH INSTITUTE AIST (2005). Launching Nanotech Initiative in
Ireland. Asia Pacific Nanotech Weekly Vol 3 Artculo 35.
ORTIZ, E., y ANGLES, N., (2007). Gestin de Tecnologa: Teora, Proceso y Prctica. Bogot :
Universidad EAN, Arfo Editores.
SANCHEZ-TORRES, J.M., MEDINA, J. E., LEON, A.M. (2007) Publicacin internacional de patentes
por organizaciones e inventores de origen colombiano. En: Cuadernos de Economa Nro 47.
Diciembre de 2007.
SEMICONDUCTOR INDUSTRY ASSOCIATION (2005). The International Technology Roadmap for
Semiconductors. Disponible en http://public.itrs.net. Agosto

WOLFGANG, L., (2004). Bottom-up Methods for Making Nanotechnology Products. En Industrial
Application of Nanomaterials - Chances and Risks: Technology Analysis. Disponible en
http://www.azonano.com/Details.asp?ArticleID=1079#_Self-Assembly_of_Nanoparticles
Paginas Web
Euroresidentes. http://www.euroresidentes.com/futuro/nanotecnologia/nanotecnologia_que_es.htm
http://nanoelectronica.pagina.de
www.nanovip.com
http://www.azonano.com/
http://www.crnano.org
Bases de datos:
INSTITUTO PARA EL DESARROLLO DE LA CIENCIA Y LA TECNOLOGA FRANCISCO JOSE DE
CALDAS COLCIENCIAS. Base de datos Plataforma ScienTI. [Base de datos en lnea] [Consultado
Noviembre de 2006]. Disponible en <http://pamplonita.colciencias.gov.co:8081/scienti/>
_____,. Base de datos Sistema Integral de Gestin de Proyectos. [Base de datos de consulta
Interna] [Consultado en Noviembre de 2006].
MINISTERIO DE EDUCACIN NACIONAL. Base de datos del Sistema Nacional de Informacin de
la Educacin Nacional SNIES. [Base de datos descargada de Internet]. [Consultado Noviembre de
2006]. Disponible en <www.men.gov.co>

97

Bibliografa

WOOD, S., JONES, R., y GELDART A., (2003). Physics at the Nanoscale, and Nanotechnology
Production
Methods
with
Special
Focus
on
Biology.
Disponible
en
http://www.azonano.com/Details.asp?ArticleID=1207#_Top-Down_Production

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

THOMPSON CORPORATION. Base de datos ISI of Knowledge Web of Science. [Base de datos
en lnea] [Consultado en el perodo Enero Mayo de 2007]. Disponible en
<http://portal.isiknowledge.com/>
ELSEVIER B.V. Base de datos Scopus. [Base de datos disponible en lnea] [Consultado en el
perodo Enero Mayo de 2007]. Disponible en <http://www.scopus.com/scopus/home.url>
Programas.
Search Technology Inc., Vantage Point [Programa de Anlisis Cienciomtrico] : Versin 5.0. Search
Technology Inc, 1997-2006
Matheo Software. Matheo Analyzer [Programa de Anlisis Cienciomtrico] : Versin 3.0.
Invention Machine Corporation. Goldfire Researcher. [Programa de bsqueda de conocimiento] :

Bibliografa

98

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Para el desarrollo de este informe de vigilancia sobre mtodos de fabricacin de nanotecnologa se


utiliz el ciclo de vigilancia tecnolgica e inteligencia competitiva que ha sido adaptado para
Colciencias a travs de un protocolo establecido por consenso con los Jefes de los Programas de
Nacionales de Ciencia y Tecnologa, el cual tiene bsicamente, cuatro grandes fases: una de
definicin de la temtica, otra de recoleccin, anlisis y validacin de la informacin, otra fase de
elaboracin de conclusiones con base en los resultados y anlisis realizados y una ltima fase de
difusin.
Definicin de necesidades
La primera fase del protocolo establece la definicin del alcance del ejercicio, a travs del
diligenciamiento de la ficha de definicin de necesidades de vigilancia tecnolgica, la cual plantea la
especificacin del tema principal de vigilancia, los subtemas, los objetivos especficos y los trminos
clave para las bsquedas. As mismo, esta ficha describe las fuentes de consulta y los autores
relevantes a tener en cuenta para realizar las bsquedas.Para el caso concreto del ejercicio con el
Programa Nacional Electrnica, Telecomunicaciones e Informtica de Colciencias, se presenta la
ficha en el Grfico 32.
Bsqueda de informacin.
El desarrollo del informe contempla la consulta de informacin tanto no estructurada como
informacin estructurada.
As pues, la informacin no estructurada se obtuvo a travs de motores de bsqueda en Internet.
Particular inters se ha puesto en identificar trabajos realizados sobre el tema en otros pases con
objetivos similares al presente Informe.

99

Anexo 1

Anexo N 1. Anexo Metodolgico

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin deNanotecnologa

Grfico 31. Proceso de vigilancia tecnolgica

Anexo 1
Fuente: Colciencias TRIZ XXI, (2006)

La bsqueda de informacin que se realiz para este ejercicio con el fin de conformar los resultados
para el informe presenta aquellos relacionados con los centros de investigacin; programas de
formacin; fuentes importantes de consulta; informacin de publicaciones cientficas para identificar
pases lderes, instituciones, investigadores y dinmicas de publicaciones en mtodos de fabricacin
de nanotecnologa.
En cuanto a la informacin estructurada la investigacin se centr sobre la realizacin de un estado
del arte a partir de la bsqueda de informacin cientfica en donde se utilizan bases de datos
cientficas como lo son Scopus y Web of Knowledge en el producto Web of Science, y sobre la
bsqueda y anlisis de patentes. En este caso se han empleado bases de datos internacionales de
patentes tales como la base de datos estadounidense -USPTO-, la europea ESPACENET, la
internacional WIPO y los abstracts de la Japonesa) las cuales se consultaron a travs del software
Goldfire, con el fin de conocer las tecnologas, los inventores, las empresas que patentan y la
dinmica del tema.

100

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Hay necesidad de hacer un par de aclaraciones. La primera hace referencia a que, en el caso de la
produccin incluida Plataforma ScienTI y en Publindex26, slo se ha revisado la declaracin de lneas
de investigacin en los temas; falta an la revisin exhaustiva de los resultados de investigacin e
innovacin reportados como parte de la produccin de grupos e investigadores colombianos. La
segunda se refiere a que, aunque se podra considerar que esta revisin de la produccin nacional,
es una necesidad en un trabajo de este tipo, se ha priorizado un enfoque internacional que busca
situar la posicin de nuestro pas en el contexto mundial y obtener mejores prcticas y visibilidad
internacional. Esto no quiere decir que en posteriores anlisis no se recoja toda esta informacin y
se incorpore al mismo.

Anexo 1

Grfico 32. Ficha de definicin de necesidades para mtodos de fabricacin de nanotecnologa.

Fuente: Colciencias
26

Sistema Nacional de Indexacin y Homologacin de Revistas Especializadas de CT+I

101

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin deNanotecnologa

Bsqueda de informacin estructurada en las bases de datos cientficas


Conforme lo mencionado antes, dentro de la base de datos Scopus, la ecuacin de bsqueda en
esta base consult el perodo comprendido entre 2000 hasta el 2007 y se obtuvieron 5498 registros.
A continuacin se resea la ecuacin de bsqueda ms pertinente.
La bsqueda en Scopus consult las bases de datos de Ciencias Sociales con ms de 2800 ttulos;
la base de datos de Ciencias de la Vida con ms de 3400 ttulos disponibles; y la base de datos de
Ciencias Fsicas con ms de 5500 registros.
TITLE-ABS-KEY(molecular nanosystem*) OR (TITLE-ABS-KEY(top-down) AND TITLE-ABS-KEY(nano*)) OR (TITLEABS-KEY(top down process) AND TITLE-ABS-KEY(nano*)) OR TITLE-ABS-KEY(nanotechnology) AND TITLE-ABSKEY(fabrication OR manufacturing) OR (TITLE-ABS-KEY(bottom-up) AND TITLE-ABS-KEY(self-assembl*)) OR
TITLE-ABS-KEY(nanotechnology OR nanostructure) AND TITLE-ABS-KEY(bio* OR molecule*) OR TITLE-ABSKEY(nanotechnology OR nanostructure) AND TITLE-ABS-KEY("larger scale") OR TITLE-ABSKEY(nanotechnology OR nanostructure) AND TITLE-ABS-KEY(hierarchical integration) AND DOCTYPE(ar) AND
SUBJAREA(mult OR agri OR bioc OR immu OR neur OR phar OR mult OR medi OR nurs OR vete OR dent OR
heal OR mult OR ceng OR CHEM OR comp OR eart OR ener OR engi OR envi OR mate OR math OR phys) AND
PUBYEAR AFT 2000

Anexo 1

Ahora bien, dentro de la base de datos ISI WoS, la ecuacin de bsqueda consult el perodo
comprendido entre 2000 hasta el 2007 y se obtuvieron 2807 registros. A continuacin se resea la
ecuacin de bsqueda ms pertinente.
TS=(molecular nanosystem*) OR TS=((top down process) AND (nano*)) OR TS=((top-down) AND (nano*)) OR
TS=(nanotechnology AND (fabrication OR manufacturing)) OR TS=(Bottom-up AND self-assembl*) OR
TS=((nanotechnology OR nanostructure) AND (bio* OR molecule*)) OR TS=((nanotechnology OR nanostructure) AND
("larger scale")) OR TS=((nanotechnology OR nanostructure) AND (hierarchical integration))
DocType=Article; Language=All languages; Database=SCI-EXPANDED; Timespan=2001-2007

Dentro de ISI WoS se consultaron las siguientes bases de datos: Science Citation Index Expanded
(SCI-EXPANDED)--2001-present; Social Sciences Citation Index (SSCI)--2001-present; y Arts &
Humanities Citation Index (A&HCI)--2001-present.
Bsqueda de patentes.
Para la bsqueda de patentes en el tema, se han empleado bases de datos internacionales de
patentes tales como la base de datos estadounidense -USPTO-, la europea ESPACENET, la
internacional WIPO y los abstracts de la Japonesa las cuales se consultaron a travs del software
Goldfire. La bsqueda de patentes en este campo se concentr principalmente, en el modulo
Patent Search, a travs de las bsquedas en lenguaje natural.
La asesora de la firma TRIZ XXI, orient la bsqueda de patentes en este tema. De acuerdo con las
ecuaciones de bsqueda que se emplearon para encontrar las patentes en mtodos de fabricacin
de nanotecnologia, se valid inicialmente la siguiente ecuacin.

102

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Ecuacin en Goldfire: Which are nanofabrication methods? en bsqueda de patentes.

La ecuacin de bsqueda dio como resultado 71 patentes. De estas, 65 han sido asignadas a dos
empresas.
Sin embargo, estos resultados se consideraron poco pertinentes debido a que no refleja de manera
total las innovaciones que se presentan en este campo. Esto se detect porque la mayora de
patentes se concentra en una sla empresa y el informe ha demostrado que son muchas las
empresas que se dedican a nanotecnologa, por lo que se decidi realizar una nueva bsqueda de
patentes.
Una nueva bsqueda (orientada por TRIZ XXI) permiti observar que los epgrafes B82B 003/00 son
epgrafes de manufactura de nanotecnologia que, para efectos de la investigacin, se consideran
como lo ms adecuado.
Con estos resultados, se reorient la bsqueda de patentes con el fin de identificar patentes para los
procesos de manufactura de nanotecnologa. De est manera se presenta la siguiente ecuacin de
bsqueda, que ha sido considerada como pertinente para efectos de la investigacin.
Tabla 24. Ecuacin de bsqueda de patentes en nanotecnologa.

technology analysis

Ecuacin de Bsqueda
B82B 003/00 <in> MC <Or> B82B 003/00 <in> AIC

Resultados
1037 Patentes.
titulares

308

Fuente: Colciencias, clculos basados en informacin de la base de datos Goldfre

Est ecuacin presenta un total de 1037


patentes en el campo de la fabricacin de nanotecnologa asignadas a 308 titulares de las patentes.
Capacidades nacionales
Adems, se configur el panorama de capacidades nacionales en el tema a travs de varios
elementos, conforme a la metodologa utilizada en el documento sobre Medicin de Capacidades en
Investigacin, Innovacin y Educacin Superior en Colombia (Colciencias et al, 2007). Estos
elementos son capacidad de investigacin; capacidad de formacin; capacidad de innovacin a
travs de la ejecucin de proyectos y de la creacin de centros e institutos colombianos
especializados en nanotecnologa. Para obtener los grupos de investigacin se consult
principalmente la Plataforma ScienTI; para obtener los programas de formacin se consult la base
de datos del Sistema Nacional de Informacin de la Educacin Superior-SNIES; para determinar los
proyectos financiados por Colciencias en este campo se consult la base de datos del Sistema
Integral de Gestin de Proyectos-SIGP; y para determinar los centros, institutos y empresas en
nanotecnologa se consultaron a travs de diferentes documentos y consultas en Internet.
De lo anterior se deriva que para obtener los grupos de investigacin se consult, principalmente, la
Plataforma ScienTI de la cual se obtuvieron 4818 registros en el perodo cierre a septiembre de

103

Anexo 1

Criterio de Bsqueda

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin deNanotecnologa

2006; las palabras claves que se utilizaron para encontrar los 20 grupos de investigacin son las
siguientes: Nanotecnologia, Nanocompuestos, Nanomateriales, Nanosistemas, Nanotubos,
Nanoestructura, Nanoescala.
Entre tanto, para configurar la oferta educativa en nanotecnologa de Colombia se consult la
informacin del SNIES de la cual se obtienen 14010 registros a noviembre de 2006; Las palabras
claves utilizadas para encontrar los programas de formacin fueron las siguientes: fsica, ciencias
fsicas, Ingeniera fsica.
Por su parte, la bsqueda de los proyectos financiados por Colciencias se consult a travs del
SIGP de la cual se obtuvieron 1495 registros en el perodo comprendido entre el 2002 y noviembre
de 2006; Las palabras claves para obtener los proyectos en nanotecnologia son: Nanotecnologa,
Nanoestructuras, Nanomateriales, Nanocomposites, Nanocompuestos, Nanosistemas, Nanotubos.
Para el anlisis las patentes colombianas en las oficinas de patentes internacionales, se sigui la
metodloga propuesta en Snchez-Torres et al (2007) y en consecuencia se consideraron como
elementos de referencia, los siguientes parmetros:
Tabla 25. Datos iniciales para la bsqueda de patentes en oficinas internacionales.

Base de
Datos
Anexo 1

PATENTES

Informacin base

Informacin Base de Anlisis

Cdigos Internacionales de Patentes

B82B

Fuente: Colciencias, basado en la Informacin de las BdDs Internacionales de Patentes,

Anlisis de informacin.
La informacin obtenida se clasific de acuerdo con los objetivos del informe. La informacin
relevante obtenida de las bases de datos estructuradas fue incorporada al programa especializado
Vantage Point para ser indexada y procesada y generar distintas representaciones grficas de
tendencias de evolucin, ranking de posicin, correlaciones entre palabras clave y entidades, etc.
Con la fase de anlisis se extraen conclusiones pertinentes para proporcionarle valor agregado al
informe y que este sirva como elemento de juicio para decisiones estratgicas a futuro del Programa
Nacional de Electrnica, Telecomunicaciones e Informtica de Colciencias.
La informacin de la Plataforma ScienTI, SIGP y SNIES fue procesada con las herramientas de
anlisis cienciomtrico Matheo Software y Vantage Point para presentar resultados estructurados
y consolidados que permitan configurar y determinar las capacidades nacionales en nanotecnologia.
Consideraciones adicionales.
Para el desarrollo de los ejercicios se cont con la colaboracin de los profesores Fernando Palop y
Jos Miguel Vicente, codirectores de la firma TRIZ XXI y profesores asociados de la Universidad
Politcnica de Valencia quienes orientaron y validaron la calidad metodolgica del ejercicio.

104

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Es importante sealar algunos obstculos presentados para el desarrollo del informe. Se destacan
diferencias entre los motores de bsqueda de informacin cientfica, toda vez que Scopus e ISI WoS
consultan fuentes y revistas distintas y los perodos de tiempo son limitados especialmente en la
base de datos de ISI WoS, debido a que la ventana de tiempo de consulta va desde el 2000 hasta el
2007, mientras que en Scopus es ms extendida (1960-2007).

Anexo 1

Por su parte, la informacin obtenida del Sistema Integrado de Gestin de Proyectos-SIGP slo
pudo ser consultada en el perodo 2002 a noviembre de 2006, por lo que los proyectos financiados
que refleja la informacin obtenida son slo una parte representativa de lo que Colciencias apoya en
proyectos de investigacin.

105

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin deNanotecnologa

106

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Anexo N 2. Ecuaciones de Bsqueda.


Estrategias de bsqueda de informacin en las fuentes de informacin y base de datos
especializadas.

Tabla 26. Estrategia de bsqueda para Bottom up y Top Down.

Base de Datos
Vivisimo

Ecuacin de Bsqueda

Resultados
Obtenidos
169

nanotechnology
manufacturing bottom up
nanotechnology
road 539
map

Google
Google Libros
Vivisimo
nanotechnology
Kartoo

Google General

nanotechnology
road 1
map
/ nanoelectronic trend
154

Pertinencia
Pertinentes, contienen noticias,
seminarios, foros, etc.
Pertinentes, se encontr un
instituto de prospectiva en
nanotecnologa
Pertinente

Resultados considerados muy


pertinentes por el jefe del rea.
Pginas Web y artculos
Nanoelectronics bottom Mapas
de Muy pertinente
up trend
Pginas Web y
sus
respectivos
mbitos
temticos
Nanotecnologa
en 645
Pertinentes
pginas de Colombia

Fuente: Colciencias, clculos basados en informacin de la base de datos Google y Vivisimo

107

Anexo 2

La bsqueda de informacin tuvieron las siguientes ecuaciones de bsqueda para cada uno de los
temas propuestos.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin deNanotecnologa

Tabla 27. Estrategia de bsqueda en la base de datos ISI WoS. Artculos cientficos

No de
Resultados
16
67
457
992

54
828
330
Anexo 2

240
44
1,491
6
2
2807

Ecuacin de bsqueda
TS=(molecular nanosystem*)
DocType=Review; Language=All languages; Databases=SCI-EXPANDED, SSCI, A&HCI;
Timespan=2001-2007
TS=(molecular nanosystem*)
DocType=Article; Language=All languages; Databases=SCI-EXPANDED, SSCI, A&HCI;
Timespan=2001-2007
TS=((top down process) AND (nano*))
DocType=Article; Language=All languages; Databases=SCI-EXPANDED, SSCI, A&HCI;
Timespan=2001-2007
TS=(("top down") AND (nanotechnology OR nanoelectronic* OR nanostructure* OR
nanotube* OR nanoparticle* OR nanodevice* OR nanocomposite*))
DocType=Article; Language=All languages; Databases=SCI-EXPANDED, SSCI, A&HCI;
Timespan=2001-2007
TS=((top-down) AND (nano*))
DocType=Review; Language=All languages; Databases=SCI-EXPANDED, SSCI, A&HCI;
Timespan=2001-2007
TS=((nanotechnology OR nanostructure) AND (fabrication OR manufacturing))
DocType=Article; Language=All languages; Databases=SCI-EXPANDED, SSCI, A&HCI;
Timespan=2001-2007
TS=(nanotechnology AND (fabrication OR manufacturing))
DocType=Article; Language=All languages; Databases=SCI-EXPANDED, SSCI, A&HCI;
Timespan=2001-2007
TS=(Bottom-up AND self-assembl*)
DocType=Article; Language=All languages; Databases=SCI-EXPANDED, SSCI, A&HCI;
Timespan=2001-2007
TS=(Bottom-up AND self-assembl*)
DocType=Review; Language=All languages; Databases=SCI-EXPANDED, SSCI, A&HCI;
Timespan=2001-2007
TS=((nanotechnology OR nanostructure) AND (bio* OR molecule*))
DocType=Article; Language=All languages; Database=SCI-EXPANDED; Timespan=20012007
TS=((nanotechnology OR nanostructure) AND ("larger scale"))
DocType=Article; Language=All languages; Database=SCI-EXPANDED; Timespan=20012007
TS=((nanotechnology OR nanostructure) AND (hierarchical integration))
DocType=Article; Language=All languages; Database=SCI-EXPANDED; Timespan=20012007
#12 OR #11 OR #10 OR #7 OR #6 OR #2 OR #1
DocType=Article; Language=All languages; Database=SCI-EXPANDED; Timespan=20012007
Fuente: Colciencias, clculos basados en informacin de la base de datos ISI WoS

108

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Tabla 28. Estrategia de bsqueda en la base de datos Scopus. Artculos cientficos

132

24

36

2117

1672

192

46

3493

Ecuacin de bsqueda
TITLE-ABS-KEY(molecular nanosystem*) AND DOCTYPE(ar) AND SUBJAREA(mult OR
agri OR bioc OR immu OR neur OR phar OR mult OR medi OR nurs OR vete OR dent
OR heal OR mult OR ceng OR CHEM OR comp OR eart OR ener OR engi OR envi OR
mate OR math OR phys) AND PUBYEAR AFT 2000
TITLE-ABS-KEY(molecular nanosystem*) AND DOCTYPE(re) AND SUBJAREA(mult OR
agri OR bioc OR immu OR neur OR phar OR mult OR medi OR nurs OR vete OR dent
OR heal OR mult OR ceng OR CHEM OR comp OR eart OR ener OR engi OR envi OR
mate OR math OR phys) AND PUBYEAR AFT 2000
(TITLE-ABS-KEY(top down process) AND TITLE-ABS-KEY(nano*)) AND DOCTYPE(ar)
AND SUBJAREA(mult OR agri OR bioc OR immu OR neur OR phar OR mult OR medi
OR nurs OR vete OR dent OR heal OR mult OR ceng OR CHEM OR comp OR eart OR
ener OR engi OR envi OR mate OR math OR phys) AND PUBYEAR AFT 2000
TITLE-ABS-KEY("top down") AND TITLE-ABS-KEY(nanotechnology OR nanoelectronic*
OR nanostructure* OR nanotube* OR nanoparticle* OR nanodevice* OR
nanocomposite*) AND DOCTYPE(ar) AND SUBJAREA(mult OR agri OR bioc OR immu
OR neur OR phar OR mult OR medi OR nurs OR vete OR dent OR heal OR mult OR
ceng OR CHEM OR comp OR eart OR ener OR engi OR envi OR mate OR math OR
phys) AND PUBYEAR AFT 2000
(TITLE-ABS-KEY(top-down) AND TITLE-ABS-KEY(nano*)) AND DOCTYPE(re) AND
SUBJAREA(mult OR agri OR bioc OR immu OR neur OR phar OR mult OR medi OR
nurs OR vete OR dent OR heal OR mult OR ceng OR CHEM OR comp OR eart OR ener
OR engi OR envi OR mate OR math OR phys) AND PUBYEAR AFT 2000
TITLE-ABS-KEY(nanotechnology OR nanostructure) AND TITLE-ABS-KEY(fabrication
OR manufacturing) AND DOCTYPE(ar) AND SUBJAREA(mult OR agri OR bioc OR immu
OR neur OR phar OR mult OR medi OR nurs OR vete OR dent OR heal OR mult OR
ceng OR CHEM OR comp OR eart OR ener OR engi OR envi OR mate OR math OR
phys) AND PUBYEAR AFT 2000
T TITLE-ABS-KEY(nanotechnology) AND TITLE-ABS-KEY(fabrication OR
manufacturing) AND DOCTYPE(ar) AND SUBJAREA(mult OR agri OR bioc OR immu OR
neur OR phar OR mult OR medi OR nurs OR vete OR dent OR heal OR mult OR ceng
OR CHEM OR comp OR eart OR ener OR engi OR envi OR mate OR math OR phys)
AND PUBYEAR AFT 2000
(TITLE-ABS-KEY(bottom-up) AND TITLE-ABS-KEY(self-assembl*)) AND DOCTYPE(ar)
AND SUBJAREA(mult OR agri OR bioc OR immu OR neur OR phar OR mult OR medi
OR nurs OR vete OR dent OR heal OR mult OR ceng OR CHEM OR comp OR eart OR
ener OR engi OR envi OR mate OR math OR phys) AND PUBYEAR AFT 2000
(TITLE-ABS-KEY(bottom-up) AND TITLE-ABS-KEY(self-assembl*)) AND DOCTYPE(re)
AND SUBJAREA(mult OR agri OR bioc OR immu OR neur OR phar OR mult OR medi
OR nurs OR vete OR dent OR heal OR mult OR ceng OR CHEM OR comp OR eart OR
ener OR engi OR envi OR mate OR math OR phys) AND PUBYEAR AFT 2000
TITLE-ABS-KEY(nanotechnology OR nanostructure) AND TITLE-ABS-KEY(bio* OR
molecule*) AND DOCTYPE(ar) AND SUBJAREA(mult OR agri OR bioc OR immu OR
neur OR phar OR mult OR medi OR nurs OR vete OR dent OR heal OR mult OR ceng
OR CHEM OR comp OR eart OR ener OR engi OR envi OR mate OR math OR phys)
AND PUBYEAR AFT 2000

109

Anexo 2

No de
Resultados
80

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin deNanotecnologa

No de
Ecuacin de bsqueda
Resultados
Continuacin Tabla 28
9
TITLE-ABS-KEY(nanotechnology OR nanostructure) AND TITLE-ABS-KEY("larger
scale") AND DOCTYPE(ar) AND SUBJAREA(mult OR agri OR bioc OR immu OR neur
OR phar OR mult OR medi OR nurs OR vete OR dent OR heal OR mult OR ceng OR
CHEM OR comp OR eart OR ener OR engi OR envi OR mate OR math OR phys) AND
PUBYEAR AFT 2000
9
TITLE-ABS-KEY(nanotechnology OR nanostructure) AND TITLE-ABS-KEY(hierarchical
integration) AND DOCTYPE(ar) AND SUBJAREA(mult OR agri OR bioc OR immu OR
neur OR phar OR mult OR medi OR nurs OR vete OR dent OR heal OR mult OR ceng
OR CHEM OR comp OR eart OR ener OR engi OR envi OR mate OR math OR phys)
AND PUBYEAR AFT 2000
Fuente: Colciencias, clculos basados en informacin de la base de datos Scopus
Tabla 29. Ecuaciones de bsqueda en Goldfire. Patentes

Anexo 2

Criterio de
Ecuacin de
Resultados
Pertinencia
Bsqueda
Bsqueda
Obtenidos
Technology
B82B or B82B en IPC 1621 Patentes
El equipo de trabajo consider que los
Anlisis Lenguaje Class
521 Empresas resultados son pertinente, se realiz un
Booleano
asignadas
a pequeo anlisis y se detect que la
esas patentes
actividad de patentamiento en este campo
se inicio en el ao 2000, pero en el 2006
hubo una recada en patentamiento
La anterior bsqueda permiti conocer que el cdigo B82B 003 se acerca al tema de bsqueda, debido a que
el cdigoB82B 003 es la IPC Class de nanotecnologa en nanoestructuracin y manufactura.
Technology
B82B 003 or B82B en 1261 Patentes
Los resultados estn ms depurados y ms
Anlisis Lenguaje IPC Class
421 Empresas
especficos para el tema. Se observa que la
Booleano
actividad de patentes fue ms activa entre
el 2003 y 2004
Los resultados han mostrado una mejor dinmica de patentamiento en el campo de Japn que en Estados
Unidos (mejor dinmica en produccin cientfica). Por lo tanto, se decidi buscar la actividad de patentamiento
en el tema de cada pas.
Technology
B82B IPC Class or
621 Patentes
Patentes en Japn
Anlisis Lenguaje B82B Additonal IPC
Booleano
Class IN
Japan
Assigned
Country
Technology
B82B IPC Class or
621 Patentes
Patentes en Estados Unidos. Los
Anlisis Lenguaje B82B Additonal IPC
resultados presentan incoherencia debido a
Booleano
Class IN
que el nmero de patentes de Japn y de
EEUU
Assigned
Estados Unidos es el mismo y este
Country
resultado no podra ser el mismo.
Technology
nano <in> FTXT
Anlisis Lenguaje <And> self-assembly
Booleano
<in> FTXT
Fuente: Colciencias, clculos basados en informacin de la base de datos Goldfre

110

Anexo N 3. Infraestructura
De acuerdo con los objetivos planteados por el Programa Nacional de Electrnica, Telecomunicaciones e Informtica, se presenta la
informacin detallada acerca de los siguientes elementos:



Infraestructura de Estados Unidos en Nanotecnologia.


Infraestructura de Europa en Nanotecnologia.

Infraestructura de nanotecnologa en Estados Unidos.

National Nanotechnology Infraestructure Network


Tabla 30. Infraestructura en Estados Unidos.

111

Site

Tool Name

Tool ID

Particle Technology
Tool Mfg
Tool Model

Georgia Tech

SemiTest SCA-2500
Surface Charge Analyzer

GT 172

Semitest

U. Minnesota

particlemeas

UMN 900056

PMS

U. Minnesota
U. Minnesota

Atomizer Aerosol Generator


Fluidized Bed Aerosol
Generator
Small-Scale Powder
Disperser
Vibrating Orifice Aerosol
Generator
Condensation
Monodisperse Aerosol
Generador

UMN AG01
UMN AG02

TSI
TSI

3079
3400A

UMN AG03

TSI

3433

UMN AG04

TSI

3450

UMN AG05

TSI

3475

U. Minnesota
U. Minnesota
U. Minnesota

Tool Desc

SCA 2500

PMS surface
particle
measurement
system

Anexo 3

Tool Comment
Manually loaded surface
charge analyzer designed to
handle 1" - 6" wafers.
Wafer sizes to 100mm

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

De acuerdo con la National Nanotechnology Infraestructure Netwokk (NNIN) de Estados Unidos, la infraestructura y las herramientas
necesarias para desarrollar productos basados en nanotecnologa son las siguientes.

Anexo 3

Continuacin Tabla 30
U. Minnesota
Electrospray Aerosol
Generator
U. Minnesota
Homogeneous Nucleation
Aerosol Generator
U. Minnesota
Aerosol Particle Mass
Spectrometer
U. Minnesota
Aerodynamic Particle Sizer
Spectrometer

UMN AG06

TSI

3480

UMN AG07

Univ Minn

UMN APM

Kanomax

C16004

UMN APS

TSI

3321

with tube furnace vaporizer

U. Minnesota

Aerosol Time-of-Flight
Mass Spectrometer

UMN ATOFMS

TSI

3800

U. Minnesota

Condensation Particle
Counter
Condensation Particle
Counter
Condensation Particle
Counter
Sub-Micron Particle
Analyzer
Long Differential Mobility
Analyzer

UMN CPC01

TSI

3010

measures particle mass to


charge ratio
measures particle size
distribution by aerodynamic
diameter in range 0.5 - 20 um
measures aerodynamic size
and composition of single
particles
1 LPM, 10-3000+ nm

UMN CPC02

TSI

3022A

5 cc/s, 7-3000+ nm

UMN CPC03

TSI

3760

1.5 LPM, 11-3000+ nm

UMN CPS

Beckman Coulter

N4SD

UMN DMA

TSI

3081

U. Minnesota

DustTrak Aerosol Monitor

UMN DustTrak

TSI

8520

U. Minnesota

Electrical Aerosol Detector

UMN EAD

TSI

3070A

U. Minnesota

Aerosol Electrometer

UMN FCE

TSI

3068A

with size distribution processor


analysis
selects monodisperse aerosol
fractions of charged fine
particles
portable, battery-operated
laser photometer
measures aerosol diameter
concentration
measures net current of
charged aerosols

U. Minnesota

Inclined Grid Mobility


Analyzer

UMN IGMA

Airel, Tartu, Estonia

IGMA

U. Minnesota

LiquiTrak Liquidborne
Particle Detector

UMN LiquiTrak

TSI

7750

U. Minnesota

112

U. Minnesota
U. Minnesota
U. Minnesota

measures size distribution of


air ions and nanometer size
charged particles
submicron particle counter

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Particle Technology

Particle Technology
Continuacin Tabla 30
U. Minnesota
Laser Liquid Particle
Spectrometer
U. Minnesota
Micro-Orifice UniformDeposit Impactor
U. Minnesota
Nanometer Aerosol Size
Analyzer
U. Minnesota
Nano Differential Mobility
Analyzer

U. Minnesota
U. Minnesota

113

U. Minnesota
U. Minnesota
U. Minnesota
U. Minnesota
U. Minnesota
U. Minnesota
U. Minnesota
U. Minnesota
U. Minnesota
U. Minnesota

PMS Instruments

LLPS-X

UMN MOUDI

MSP

110

UMN nASA

Univ Minn

nASA

UMN nDMA

TSI

3085

Nephelometer
Nano Micro-Orifice
Uniform-Deposit Impactor
Nano Scanning Mobility
Particle Sizer
Nano Tandom Differential
Mobility Analyzer

UMN Neph
UMN nMOUDI

Radiance Research
MSP

115

3 stages without rotator

UMN nSMPS

TSI

3936N25

UMN nTDMA

Univ Minn

NanoTDMA

Lasair 1001 Aerosol


Particle Counter
Lasair 1002 Aerosol
Particle Counter
Spectro .3 Laser Particle
Spectrometer
Laser Airborne Particle
Counter
High Sensitivity Laser
Aerosol Spectrometer
Porometer
PortaCount Plus Respirator
Fit Tester
Particle Size Distribution
Measuring System
P-Trak Ultrafine Particle
Counter
Short Path Laser
Photometer

UMN OPC01

PMS Instruments

1001

measures nanoparticle size


distribution
measures size change of
nanoparticles due to aerosol
conditioning
0.01 CFM, 0.1-2 um

UMN OPC02

PMS Instruments

1002

0.002 CFM, 0.1-2 um

UMN OPC03

Climet Instruments

Spectro .3

1 LPM, 0.3-10 um

UMN OPC04

Climet Instruments

CI-7600

0.1 CFM, 0.1-5 um

UMN OPCHS

PMS Instruments

HSLAS

1-5 cc/s, 0.065-1 um

UMN Poro
UMN PortaCount

Beckman Coulter
TSI

Poro
8028

with N-95 Companion

UMN PSD

Univ Minn

PSD

UMN PTrak

TSI

8525

UMN RAM

MIE

RAM-1

Anexo 3

counts particles in the range


0.5 - 15 um
up to 10 stages with or without
rotator
measures nanoparticle size
distribution
selects monodisperse aerosol
fractions of charged
nanoparticles

measures particle size


distribution in range 3-2000 nm
portable, real-time ultrafine
particle counter

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

U. Minnesota
U. Minnesota

UMN LLPS

Anexo 3

Continuacin Tabla 30
U. Minnesota
Scanning Mobility Particle
Sizer

UMN SMPS

TSI

3936L10

measures fine particle size


distribution

U. Minnesota

Tandom Differential Mobility


Analyzer

UMN TDMA

Univ Minn

TDMA

U. Minnesota

Tapered Element
Oscillating Microbalance
Ultrafine Condensation
Particle Counter
Unipolar Aerosol Charger
Water-Based Condensation
Particle Counter

UMN TEOM

1400a

UMN UCPC

Rupprecht &
Patashnick
TSI

measures size change of fine


particles due to aerosol
conditioning
ambient particle mass monitor

3025A

0.5 cc/s, 3-3000+ nm

UMN UPC
UMN WCPC

Univ Minn
TSI

UPC
3785

1 LPM, 5-3000+ nm

U. Minnesota
U. Minnesota
U. Minnesota

Fuente: NNIN (2007)

114

Lithography and Direct Patterning


Tool Mfg
Tool Model

Site

Tool Name

Tool ID

U. Texas
Austin
Cornell

Brewer Science Spinner

Brewer Science
Spinner
CNF 101

Brewer Science

Spinner

CEE

6000

CNF 102

GCA

Autostep 200

Cornell
Cornell
Cornell
Cornell
Cornell
Cornell
Cornell

CEE 6000 Automated


Coater/Developer
GCA Autostep 200 i line 5 X
Stepper
Karl Suss MA6 Contact
aligner
GCA 3600F Optical Pattern
Generator
GCA 6300 5X G-Line
stepper
GCA 10 x I line Stepper
6300
HTG Contact Aligner
YES Image Revesal Oven

Tool Desc

up to 8" wafer
Automated
Coater/Developer
i line 5x stepper

CNF 103
CNF 104

GCA

3600F

YES

YES-58SM

coat and bake or develop


cassettes of wafers 3" to 8" dia.

Infrared backside alignment


available
Mask features to 0.5 um

CNF 105
CNF 106
CNF 107
CNF 108

Tool Comment

Image revesal in
Ammonia gas

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Particle Technology

Lithography and Direct Patterning


CNF 109

Cornell

Heidelberg DWL 66 Laser


Pattern Generator
Suss RC8 Gyrset Spinner
#1
Suss RC-8 Gyrset Spinner
#2
Suss RC-8 Gryset Spinner
#3
Branson Barrell Asher
Leica VB6 Ebeam
Lithography

CNF 112

Electronic Visions
EVG
Heidelberg

DWL66

CNF 1200

Karl Suss

RC8

CNF 1201

Karl Suss

RC8

CNF 1202

Karl Suss

RC8

CNF 210
CNF 401

Branson
Leica

VB6

CNF 402

JEOL

9300FS

CNF 601

YES

450PB

CNF 604

520

CNF1207

Electronic Visions
EVG
YES

Harvard U.

JEOL 9300 FS Ebeam


Lithography
YES Polyimide Vacuum
Oven
EV520 EVG Hot Embossing
Tool
YES Vapor Prime Oven
HMDS
JEOL 6400 E-Beam

EI 2

JEOL

6400

Harvard U.

Raith-150 E-Beam

EL 1

Raith

150

Georgia Tech

JEOL E-beam Lithography


Tool

GT 101

Jeol

JBX 9300FS

Cornell
Cornell
Cornell
Cornell
Cornell

Cornell

115

Cornell
Cornell
Cornell

Anexo 3

LP-III

Video Backside Alignment


available
Mask fabrication or direct write,
including grey scale exposure

Vapor Prime in
HMDS
The JEOL-6400
with NPGS is a
high-resolution,
electron beam
lithography system
u
The Raith 150 is an
ultra-high resolution
electron beam
lithography system
used

HMDS vapor prime


Lithography/SEM Metrology

Lithography/SEM Metrology

100 keV / 4nm spot size up to


300mm wafers

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Continuacin Tabla 30
Cornell
EVG 620 Contact Aligner

Anexo 3

Continuacin Tabla 30
Georgia Tech
Blue M: A Unit of General
Signal Ovens -- class 10
Georgia Tech
Blue M: A Unit of General
Signal Ovens -- class 1000
Georgia Tech
Brewer Scieces CMOS Hot
Plate

GT 104

Blue M

OV 12A GOP

GT 105

Blue M

OV 12A

GT 106

Brewer Sciences

1000

Max temperature of 200C for


baking of 1-6" wafers.
Max temperature of 200C for
baking of 1-6" wafers.
Standard hot plate for heating
samples or solutions with
magnetic spinning capabilities.
For spinning of photoresist on
complete and balanced wafers
ranging from 1" to 6".

116

Georgia Tech

CEE 100 Spinner -- Junior

GT 107

Brewer Sciences

100CB

Georgia Tech

CEE 100CB Spinner

GT 108

Brewer Sciences

100CB

Georgia Tech

CEE 100CB Spinner -- Left

GT 109

Brewer Sciences

100CB

For spinning of photoresist on


complete and balanced wafers
ranging from 1" to 6".

Georgia Tech

CEE 100CB Spinner -Right

GT 110

Brewer Sciences

100CB

For spinning of photoresist on


complete and balanced wafers
ranging from 1" to 6".

Georgia Tech

CEE Spin Coater--Focus


Center

GT 111

Brewer Sciences

100CB

Georgia Tech

EV620 Contact Mask


Aligner

GT 126

EVG

EVG620

For spinning of photoresist on


complete and balanced wafers
ranging from 1" to 6".
4", 6" wafer; 5", 7" mask; 365nm
wavelength

Georgia Tech

Karl Suss MA-6 Contact


Mask Aligner
Karl Suss MJB-3 Contact
Mask Aligner -- Left
Karl Suss MJB-3 Contact
Mask Aligner -- Right
Karl Suss RC8 Spin Coater
Laurell Technologies Corp.
Spin Coater

GT 136

SUSS

MA6

GT 137

SUSS

GT 138

SUSS

GT 140
GT 144

SUSS
Laurell
Technologies

MJB3
100UV002
MJB3
100UV003
RCA MS
WS-4006NPP/LITE

Georgia Tech
Georgia Tech
Georgia Tech
Georgia Tech

max 4" wafers

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Lithography and Direct Patterning

Lithography and Direct Patterning


Continuacin Tabla 30
Georgia Tech
OAI: Optical Associates,
Inc. Contact Mask Aligner
Georgia Tech
Screen Printer
Georgia Tech
Solitec Developer

OAI

105495

GT 171
GT 175

Affiliated Man.
Solitec

in mask shop
set up for MF developers

1.5-in wafers

Georgia Tech
Georgia Tech

Solitec Spin Coater (Right)


Specialty Coating Systems
Spin Coater (Left)

GT 176
GT 177

Solitec
P6204

Georgia Tech
Howard U.

Wafer Stepper
Karl Suss MJB3 Contact
Aligner UV
Karl Suss MJB3 Contact
Aligner DUV

GT 200
HOW 101

GCA McPherson
Suss

XP-508
5110-UD
(developer),
5110
5110-C
Specialty
Coating
Systems
GCA 6100C
MJB3

HOW 102

Suss

MJB3

HOW 103

Specialty Coating
Systems
Novascan
Technologies

P-6000

Howard U.

Specialty Coating Systems


P-6000 Spin Coater
Novascan Technologies
PSD-UVT Exposure
System

Harvard U.

Heidelberg Mask Writer

MW 1

Heidelberg Inst.

DWL-66

NCSU

ASML 193 Scanner

NCSU 003

ASML

5500-950B

NCSU
NCSU
NCSU
NCSU
Harvard U.
Harvard U.
Harvard U.

Hot Plate Only


Laurel Spinner/Hot Plate
Resist Coater
Resist Developer
Suss MJB-3 Mask Aligner
AB-M Mask Aligner
Mask Aligner

NCSU 017
NCSU 021
NCSU 059
NCSU 060
OL 1
OL 2
OL 3

Fisher
Laurel
SVG
SVG
Karl Suss
AB-M
SUSS

configured for 6" (with flats or


notches) wafers
post-exposure bake

90-SE
90S
MJB 3
N/A
MJB 3

operational
operational
3" mask
MUV&DUV, "4" mask
UV, 5mm - 3" Substrate

Howard U.

117

Howard U.

HOW 104

Anexo 3

in mask shop
2-in wafers

PSD-UVT

5-in waters

The DWL 66 is a
high-resolution,
optical imaging
system where over
half a millio

Optical Lithography/mask maker

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

GT 153

Anexo 3

Continuacin Tabla 30
Harvard U.
Mask Aligner
Penn State U.
Karl Suss MA6 Contact
Mask Aligner
Penn State U.
Karl Suss MJB3 Contact
Mask Aligner
Penn State U.
Leica EBPG5HR EBeam
Lithography
Penn State U.
EBeam Lithography Bench
w/ Headway Spinner
Penn State U.
Photo Lithography Bench
w/ Headway Spinner

OL 4
PSU 018

SUSS
Suss

MJB 4
MA6

UV, 10mm - 6" Substrate


6" Alignment System

PSU 019

Suss

MJB3

3" Aligner with Backside


Alignment Capabilities

PSU 025

Leica

EBPG5HR

PSU 046

Hamilton

PSU 047

Hamilton

EVG52OHE Semi
Automated Hot Embossing
Heidelberg Laser Pattern
Generator

PSU 053

EVG

EVG 520

PSU 058

Heidelberg

DWL 66

Penn State U.

MII Imprio 55

PSU 077

Molecular Imprints

Imprio 55

Harvard U.

Headway Spin Coater


PMMA
Headway Spin Coater
Photoresist
Reynolds Tech Spin Coater
Resist
Reynolds Tech Spin Coater
SU-8
Blue-M Vacuum Oven
DNS Coat/Develop Track
Hitachi HL-700 F E-Beam
Lithography System
Electronic Visions 620
Contact Aligner
EVG Nano Imprinter

SC 1

Headway

PWM32

Masks up to 7", wafers up to 8"


with alignment (overlay),
grayscale and metrology,
minimum feature 0.6um
"Step and Flash" Nano Imprint
Lithography, small parts to 8"
wafers
PMMA Only, Max 10K rpm

SC 2

Headway

PWM32

Photoresist Only, Max 10K rpm

SC 3

Reynolds Tech

N/A

Resist, Max 6K rpm

SC 4

Reynolds Tech

N/A

SU-8, Max 6K rpm

SNF bluem
SNF dns
SNF ebeam

Blue M
DNS
Hitachi

HL-700 F

SNF evalign

Electronic Visions

620

SNF evg-imprint

Electronic Visions

630

Penn State U.
Penn State U.

118
Harvard U.
Harvard U.
Harvard U.
Stanford
Stanford
Stanford
Stanford
Stanford

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Lithography and Direct Patterning

Lithography and Direct Patterning


SNF headway2
SNF karlsuss

Headway
Karl Suss

MA6/BA6

SNF karlsuss2

Karl Suss

MA6/BA6

SNF laurell-L
SNF laurell-R
SNF lithosolv

WS-200
WS-400

SNF micronic

Laurell
Laurell
Santa Clara
Plastics
Micronic

SNF nikon

Nikon

1504

SNF nikon-9
SNF raith
SNF svgcoat
SNF svgcoat2

Nikon
Raith
SVG
SVG

Body 9
150

SNF svgdev

SVG

SNF svgdev2

SVG

SNF ultratech

Ultratech

1000

SNF ultratech2

Ultratech

1000

SNF yes
UCSB 101

YES
Suss

LP III
MJB 3

UCSB 102

Suss

MJB 3

UCSB 103

Suss

MJB 3HPIR

IR through wafer registration

UCSB 104

HTG

LS 64

wavelength 200 nm

Anexo 3

LRS-18
Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

119

Continuacin Tabla 30
Stanford
Headway Spinner
Stanford
Karl Suss MA-6/BA-6
Contact Mask Aligner
Stanford
Karl Suss MA-6/BA-6
Contact Mask Aligner (#2)
Stanford
Laurell spinner (left)
Stanford
Laurell spinner (right)
Stanford
Lithography Solvent Wet
Bench
Stanford
Mirconic LRS-18 Laser
Pattern Generator
Stanford
Nikon Model 1504 5:1
Stepper
Stanford
Nikon Body 9 Stepper
Stanford
Raith 150 E-Beam
Stanford
SVG Resist Coat System
Stanford
SVG Resist Coat System
(#2)
Stanford
SVG Resist Developer
System
Stanford
SVG Resist Developer
System (#2)
Stanford
Ultratech Model 1000 1:1
Stepper
Stanford
Ultratech Model 1000 1:1
Stepper (#2)
Stanford
YES Vapor Prime Oven
U. C. Santa
Suss MJB 3 Contact Mask
Barbara
Aligner
U. C. Santa
Suss MJB 3 Contact Mask
Barbara
Aligner
U. C. Santa
Suss MJB 3 Contact Mask
Barbara
Aligner
U. C. Santa
DUV Flood Exposure
Barbara

Anexo 3

Continuacin Tabla 30
U. C. Santa
GCA 6300 5X Stepper
Barbara
U. C. Santa
GCA Autostep 200 5X
Barbara
Stepper
U. C. Santa
Labline Oven
Barbara

120

UCSB 105

GCA/RTS

6300

i line 500 nm resolution

UCSB 106

GCA/RTS

Autostep 200

i line 400nm resolution

UCSB 107

Labline

3490M

Labline Oven

UCSB 108

Labline

3490M

Labline Oven

UCSB 109

Labline

3490M

Fisher Oven

UCSB 110

Fisher

Isotherm

YES Vacuum Oven

UCSB 111

YES

Y-8

Holographic Exposure

UCSB 112

Kimmon

IK3151R-E

JEOL E-beam Writer

UCSB 113

JEOL

5DII

AFM Nanoman

UCSB 114

Digital Instruments

DI-3000

Nanolith/Nanomanipulation

Nano-Imprint

UCSB 115

Nanonex

NX-2000

thermal and UV print

Suss MA-6 Contact Aligner

UCSB 116

Suss

MA-6

with backside alignment

U. C. Santa
Barbara
U. C. Santa
Barbara
U. Minnesota

Suss Bonder

UCSB 117

Suss

SB6-8e

FEI Litho

UCSB 118

Nabity

NPGS

spinner-cee2

UM900089

CEE

100

U. Minnesota

YES Image Reversal Oven

UM900091

YES

310

U. Michigan

GCA AS200 stepper

UMI 100

GCA

AS 200

U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara

grating pattern generator

fine line litho on FEI FESEM


Manual photoresist
coat system

For SU8, spin on glass, negative


resists
Image reversal using ammonia
gas
Min feature 0.5m min overlay
0.15m

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Lithography and Direct Patterning

Lithography and Direct Patterning


UMI 101
UMI 102

Raith
Suss

150
MA/BA 6

Min feature <50nm


Min feature 1m

UMI 103

Electronic Visions

620 MA

Min feature 2m

UMI 104
UMI 105

Electronic Visions
Suss

620 BA
MJB 3

Min feature 2m
Min feature 2m

UMI 106

Quintel

UMI 107

Suss

UMI 108

Electromask

UMN 900026

Suss

MJB3

Contact aligner

Min feature 2m
ACS 200

Automated resist coating and


development (4")
Min feature 1.5m

121

U. Minnesota

ma6

UMN 900027

Suss

MA6

Karl Suss MA-6


aligner

U. Minnesota

maba6

UMN 900030

Suss

MABA6

Karl Suss MABA-6


contact aligner

U. Minnesota

wb-resist

UMN 900036

U. Minnesota
U. Minnesota

spinner-headway
spinner-cee1

UMN 900037
UMN 900038

Headway
CEE

100

U. Minnesota

raith e-beam lithography

UMN 900061

Raith

150

Wafer sizes to 75mm, 4 inch


mask plates, G line
Wafer sizes to 150mm, 5 inch
mask plates, can do wafer
pieces with special fixture, G
line exposure
Wafer sizes to 150mm, 5 inch
mask plates, G line exposure,
has backside alignment
capability with cameras, has
fixturing for bond alignment for
use with Karl Suss SB6 bonder.

Wet bench, resist


processing

Anexo 3

CEE manual spin


coater
Raith 150 electron
beam lithography
system

Headway manual spin coater


For positive photoresists
Wafer sizes up to 150mm

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Continuacin Tabla 30
U. Michigan
Raith-150 E-beam
U. Michigan
Suss Microtec MA/BA-6
Contact Mask Aligner
U. Michigan
EVG620 Contact Mask
Aligner
U. Michigan
EVG620 Bond Aligner
U. Michigan
Suss Microtec MJB-3
Contact Aligner
U. Michigan
Quintel Contact Mask
Aligner
U. Michigan
Suss Microtec ACS 200
cluster tool
U. Michigan
Electromask II 4" optical
pattern generator
U. Minnesota
mjb-3

Anexo 3

122

Continuacin Tabla 30
U. Minnesota
oriel

UMN 900068

Oriel

U. Minnesota

opg-lightfield

UMN 900087

Interserv

U. Minnesota

opg-darkfield

UMN 900088

Interserv

U. Minnesota

Focused Ion Beam

UMN 900215

FEI

Quanta 3D

U. New Mexico

Dataplate 720 Series Hot


Plate 1
Dataplate 720 Series Hot
Plate 2
Dataplate 720 Series Hot
Plate 3
Dataplate 720 Series Hot
Plate 4
350nm Interferometric
Lithography (IFL) Laser
Karl Suss MJB3 Contact
Mask Aligner 200W
Karl Suss MJB3 Contact
Mask Aligner 350W
Blue M OV-8A Oven1
Blue M OV-8A Oven2
Blue M OV-472A-3 Oven3
Blue M OV-472A-4 Oven4
JEOL JSM 848 SEM

UNM HTP01

Dataplate

720 Series

FIB system with tungsten


electron column can be used to
section, image and analyze wide
range of conducting and nonconducting samples
200C maximum temperature

UNM HTP02

Dataplate

720 Series

200C maximum temperature

UNM HTP03

Dataplate

720 Series

200C maximum temperature

UNM HTP04

Dataplate

720 Series

200C maximum temperature

UNM IFL01

Coherent

40-100

Interferometric Lithography

UNM MA01

Karl Suss

MJB3

no larger than 3" diameter wafer

UNM MA02

Karl Suss

MJB3

no larger than 3" diameter wafer

UNM OVN01
UNM OVN02
UNM OVN03
UNM OVN04
UNM SEM02

Blue M
Blue M
Blue M
Blue M
JEOL

OV-8A
OV-8A
OV-472A-3
OV-472A-4
JSM 848

Headway Research
PWM32 Spinner

UNM SPIN01

Headway Research

PWM32

250C maximum temperature


250C maximum temperature
250C maximum temperature
250C maximum temperature
LaB6 emitter,e-beam
lithography
5" maximum wafer diameter

U. New Mexico
U. New Mexico
U. New Mexico
U. New Mexico
U. New Mexico
U. New Mexico
U. New Mexico
U. New Mexico
U. New Mexico
U. New Mexico
U. New Mexico
U. New Mexico

8095

Oriel flood
exposure tool

Wafer sizes to 200mm

Optical pattern
generator for
maskmaking,
darkfield masks
focused ion beam
(FIB) system

Mask sizes: 4x4, 5x5, 6x6,


quartz, soda lime glass, feature
sizes down to 1.5 microns

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Lithography and Direct Patterning

Lithography and Direct Patterning


UNM SPIN02

Headway Research

PWM32

5" maximum wafer diameter

UT HTG Mask
Aligner
UT Jeol e-beam

HTG

84-3

III-V materials

JEOL

JBX-6000FS/E

up to 8" wafers

UT K. Suss

Karl Suss

Silicon materials, up to 6" wafers

UT K. Suss #579

Karl Suss

III-V materials, up to 4" wafers

UT SFIL

Molecular Imprint

IMPRIO100

up to 8" wafers

Fuente: NNIN (2007)

123

Thin film Deposition and Growth


Tool Mfg
Tool Model

Site

Tool Name

Tool ID

Cornell

Parylene Deposition
System
MVD Molecular Layer
deposition

CNF x
CNF 1503

Applied
Microstructures

MVD-100

CVC 601 RF/DC


Magnetron Sputtering
CHA Thermal Evaporator
GSI Plasma CVD PECVD
GSI single wafer PECVD
IPE Plasma CVD PECVD

CNF 301

CVC

601

CNF 302
CNF 303
CNF 303
CNF 304

RAP600SE

CVC Egun evaporator


SC4500-1
CVC Egun Evaporator
SC4500-2

CNF 305

CHA
GSI
GSI Group Sciences
Ion Plasma
Equipment
CVC

CNF 307

CVC

SC4500

Cornell

Cornell
Cornell
Cornell
Cornell
Cornell
Cornell
Cornell

Tool Desc

Tool Comment
parylene cvd coatings

Anexo 3

Vapor monolayers
of organic self
assembled filmshydrophillic and
hydrophobic

Vapor monolayers of organic


self assembled films

Whole Wafers Only


Clean "silicon" materials only
1000
SC4500

Electron gun
evaporator

Electron gun evaporator

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Continuacin Tabla 30
U. New Mexico Headway Research
PWM32 Spinner
U. Texas
HTG Contact Mask Aligner
Austin
U. Texas
JEOL e-beam lithography
Austin
U. Texas
Karl Suss Contact Aligner
Austin
U. Texas
Karl Suss #579
Austin
U. Texas
SFIL IMPRIO100
Austin

Anexo 3

Continuacin Tabla 30
Cornell
MRL Furnace Bank x tube
1
Cornell
MRL Furnace Bank x
Tube 2
Cornell
MRL Furnace Bank x
Tube 3
Cornell
MRL Furnace Bank x tube
4
Cornell
Cornell
Cornell

CNF 701-1

MRL

CNF 701-2

MRL

CNF 701-3

MRL

CNF 701-4

MRL

MRL Furnace Cyclone


440 Bank y Tube 1
MRL Furnace Cyclone
440 Bank y tube 2
MRL Furnace Cyclone
440 Bank y Tube 3

CNF 702-1

MRL

CNF 702-2

MRL

CNF 702-3

MRL

MRL Furnace Cyclone


440 Bank y Tube 4
CVD LPCVD
Nexx PECVD

CNF 702-4

MRL

CVD 1
CVD 2

CVD Equipment
Nexx Systems

J3722
Cirrus 150

Lesker E-Beam
Evaporator
Edwards E-Beam
Evaporator
Sharon E-Beam
Evaporator

EE 1

K.J. Lesker

N/A

Any non-toxic materials

EE 2

Edwards

Auto 306

General Purpose

EE 3

Sharon

N/A

This is a test of a
long sentence. Four

124
Cornell
Harvard U.
Harvard U.

Harvard U.
Harvard U.
Harvard U.

NEXX CVD-2 is an
ECR (electron
cyclotron
resonance) plasmaenhanced CVD
system.

SHARON EE-3 is
an e-beam
evaporation system
suitable for
depositing metal
and di

This is a test of a long


sentence. Four score and
seven years ago nobody knew
about nanotechnology

Single chamber
Loadlock, heat/cool

Low-vapor metal

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Thin film Deposition and Growth

Thin film Deposition and Growth


GT 103

Astex

AX 3060-1

Georgia Tech
Georgia Tech
Georgia Tech
Georgia Tech
Georgia Tech
Georgia Tech

Coyote LPCVD
CVC DC Sputterer
CVC Egun Evaporator
CVC RF Sputterer
EBeam Evaporator 2
Plasma-Therm PECVD

GT 113
GT 114
GT 115
GT 116
GT 124
GT 163

Coyote
CVC
CVC
CVC
CVC
Plasma Therm

GL-450-2
CVC-601
SC 5000
CVC-601
CVC-601
Wafr/Batch 790
Series

Georgia Tech

GT 169

Kurt J Lesker

PVD75

Georgia Tech
Georgia Tech

PVD75 Filament
Evaporator
STS PECVD
Tystar Nitride Furnace 1

GT 179
GT 184

STS
Tystar

Multiplex CVD
TYTAN

Georgia Tech

Tystar Nitride Furnace 2

GT 185

Tystar

TYTAN

Georgia Tech

Tystar Nitride Furnace 3

GT 186

Tystar

TYTAN

Georgia Tech

Tystar Nitride Furnace 4

GT 187

Tystar

TYTAN

Georgia Tech

Tystar Poly Furnace 1

GT 188

Tystar

TYTAN

Georgia Tech

Tystar Poly Furnace 2

GT 189

Tystar

TYTAN

Georgia Tech

Tystar Poly Furnace 3

GT 190

Tystar

TYTAN

Georgia Tech

Tystar Poly Furnace 4

GT 191

Tystar

TYTAN

Georgia Tech
Georgia Tech

Unaxis PECVD
Unifilm Sputterer

GT 193
GT 194

Unaxis
Unifilm

Unaxis 78324
PVD-300

Anexo 3

Diamond-like Carbon, Low


Temperature Oxide / Nitride
PECVD
Silicon Nitride depositions.

N-type doping, Polysilicon


doping (N-type); Sample size
up to 4" wafers
P-type doping; Sample size up
to 4" wafers
Padox, Field (LOCOS) oxide
growth; Sample size up to 4"
wafers
LPCVD silicon nitride; Sample
size up to 4" wafers
Low temperature
oxide/Implantation anneal;
Sample size up to 4" wafers
Kooi, P-well drive, Dry
oxidation; Sample size up to 4"
wafers
Gate oxide growth; Sample size
up to 4" wafers
Polysilicon Deposition; Sample
size up to 4" wafers

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

125

Continuacin Tabla 30
Georgia Tech
Astex ECR PECVD

Anexo 3

Continuacin Tabla 30
Georgia Tech
PVD75 RF Sputterer
Harvard U.
Sputter Coater (Au, Cr,
Pt-Pd)
Harvard U.
Sputter Coater (Au/Pd)
Cryoplunge
Howard U.
Kurt Lesker CMS-18 RF
Sputter

GT 203
Har 024

Kurt J. Lesker
Cressington

PVD75
208HR

Har 025

Denton

Desk II

HOW 201

Kurt Lesker

CMS-18

4-in wafers

SS-600-RAP

Diffusion pumped

126

Howard U.

CHA Industries SS-600RAP Electron-Beam


Evaporator

HOW 202

CHA Industries

Howard U.

Pascal Technologies
Electron-Beam
Evaporator
Technics Hummer Coater
Varian GEN 1.5 Molecular
Beam Epitaxy Reactor
CVD Reactor
Thomas Swan 3X2 GAN
MOCVD Reactor
High Pressure Bulk
Crystal Growth Reactor
CVD Pulse Laser system
Lindberg 59744-A Silicon
Dioxide Deposition
Reactor
Lindberg/Blue CVD
Nanowire Growth System
Bruce 4-Stack Oxidation
Tube 1
Bruce 4-Stack Oxidation
Tube 4
Edwards E306A Metal
Evaporator

HOW 203

Pascal Technologies

HOW 204
HOW 205

Technics
Varian

Hummer
GEN 1.5

HOW 206
HOW 207

Howard
Thomas Swan

3X2 GAN

Howard U.
Howard U.
Howard U.
Howard U.
Howard U.
Howard U.
Howard U.

Howard U.
Penn State U.
Penn State U.
Penn State U.

RF SPutterer

Cryopumped

HOW 208

Arsenides and Nitrides 2-in


wafers
SiC and BN 2-in wafers
Nitrides 2-in wafers
SiC, GaN

HOW 209
HOW 210

Howard
Lindberg

AlON 2-in wafers

HOW 211

Lindberg/Blue

PSU 008

Bruce

BTI

Dry Oxidation Tube

PSU 011

Bruce

BTI

Wet Oxidation Tube

PSU 012

Edwards

E306A

Aluminum evaporator

59744-A

InN , GaN, AlN

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Thin film Deposition and Growth

Thin film Deposition and Growth


PSU 013

Edwards

E306A

Polymer material evaporator

PSU 014
PSU 024
PSU 031
PSU 032
PSU 033
PSU 039
PSU 041
PSU 064

Technic
Lesker
MRL
MRL
MRL
Primaxx
Semicore
Specialty

EJ1800
1038
1038
1038
LMS1

Tabletop Unit
E-Gun / Thermal Evaporator
Poly / Amorphous Silicon
Silicon Nitride
LTO
Liquid Mist Deposition Chamber

PDS2010

Parylene Deposition

PSU 067

Applied Materials

P-5000

Liquid Precursors

PSU 068

Applied Materials

P-5000

Silicon OxyNitride Chamber

PSU 073

Tek-Vac

T100

Sputtering Tool

PSU 078

Kurt J Lesker

CMS-18

3 target, load locked, heated


stage, sputter clean sample

PSU 087
SNF AG4100

AG Associates

AG 4100

SNF AG4108

AG Associates

AG4108

SNF epi

ASM

Epsilon II

SNF gaas22
SNF gaas23
SNF gryphon

Pacific Western
Pacific Western
Gryphon

SNF innotec

Innotec

SNF metalica

None

SNF mrc

MRC

Anexo 3

ES26C

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

127

Continuacin Tabla 30
Penn State U.
Edwards E306A Novel
Evaporator
Penn State U.
Electroplating System
Penn State U.
Kurt Lesker Evaporator
Penn State U.
MRL LPCVD Tube 1
Penn State U.
MRL LPCVD Tube 2
Penn State U.
MRL LPCVD Tube 3
Penn State U.
Primaxx LMD chamber
Penn State U.
Semicore Evaporator
Penn State U.
Specialty PDS2010
Coater Spin
Penn State U.
AMAT Cluster Tool
PECVD Chamber #1
Penn State U.
AMAT Cluster Tool
PECVD Chamber #2
Penn State U.
Tek-Vac Single Target
Sputtering System
Penn State U.
Kurt Lesker Sputtering
Tool
Penn State U.
Trion HDP PECVD
Stanford
AG 4100 Rapid Thermal
Annealer
Stanford
AG4108 Rapid Thermal
Anneal System
Stanford
ASM Epsilon II SingleWafer Epi System
Stanford
GaAs Annealing Furnace
Stanford
GaAs Annealing Furnace
Stanford
Gryphon Sputtering
System
Stanford
Innotec ES26C Egun
Evaporator
Stanford
Metalica Sputtering
System
Stanford
MRC Low Pressure
Reactive Ion Etcher

Anexo 3

128

Continuacin Tabla 30
Stanford
AG Associates 210 RTA
System
Stanford
AG 201 RTA (GaAs)
Stanford
Systems Control
Technology sputtering
system
Stanford
STS PECVD System
Stanford
TEOS LPCVD Oxide
Deposition
Stanford
TEOS LPCVD #2
Stanford
Thermco Oxidation
Furnace #1
Stanford
Thermco Oxidation
Furnace #2
Stanford
Thermco Silicon Nitride
LPCVD #1
Stanford
Thermco Polysilicon
LPCVD #1
Stanford
Thermolyne 48000
Stanford
Tylan Oxidation Furnace
#1
Stanford
Tylan Oxidation Furnace
#2
Stanford
Tylan Oxidation Furnace
#3
Stanford
Tylan Oxidation Furnace
#4
Stanford
Tylan BBr3 Boron
Furnace
Stanford
Tylan POCl3 Phosporus
Furnace
Stanford
Tylan Oxidation Furnace
#7
Stanford
Tylan LTO Deposition
Stanford
Tylan Forming Gas
Anneal Furnace

SNF rtaag

AG Associates

210

SNF rtagaas
SNF sct

AG Associates
Systems Control
Technolog

201

SNF sts
SNF teos

STS
Tylan

Tytan

SNF teos2
SNF thermco1

Tylan
Thermco

Tytan
9800

SNF thermco2

Thermco

9800

SNF thermconitride1

Thermco

9800

SNF thermcopoly1

Thermco

9800

SNF thermolyne
SNF tylan1

Thermolyne
Tylan

48000
Tytan

SNF tylan2

Tylan

Tytan

SNF tylan3

Tylan

Tytan

SNF tylan4

Tylan

Tytan

SNF tylan5

Tylan

Tytan

SNF tylan6

Tylan

Tytan

SNF tylan7

Tylan

Tytan

SNF tylanbpsg
SNF tylanfga

Tylan
Tylan

Tytan
Tytan

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Thin film Deposition and Growth

Thin film Deposition and Growth


Continuacin Tabla 30
Stanford
Tylan LPCVD Silicon
Nitride Deposition
Stanford
Tylan LPCVD Polysilicon
Deposition

Tylan

Tytan

SNF tylanpoly

Tylan

Tytan

SNF tylansige

Tylan

Tytan

SNF tystar1

Tylan

Tytan

Harvard U.

Tylan Si/Ge
Polycrystalline Deposition
Tystar Doped Poly
LPCVD
Steve DC-Sputter System

SP 1

in house

Harvard U.

AJA Sputtering

SP-2

AJA International

Orion

Harvard U.

Key High Thermal


Evaporator

TE 1

Key High Vacuum

N/A

Harvard U.

Sharon Thermal
Evaporator TE-3

TE 3

Sharon

N/A

Harvard U.

Sharon Thermal
Evaporator TE-4
Sharon Thermal
Evaporator TE-5

TE 4

Sharon

N/A

TE 5

Sharon

N/A

Stanford
Stanford

129

Harvard U.

Anexo 3

SP-1 sputtering
deposition system
has two magnetron
sputter sources for
dc sputt
6 gun sputtering,
heated single
wafer, with a variety
of target materials
Key High Vacuum
TE-1 is a threesource thermal
evaporation system
for sequential
SHARON TE-3 is a
four-source thermal
evaporation system
with one 2.5-kW

High-melting point, nonmagnetic matl.

General Purpose

Non-magnetic metals, contacts

Any low-vapor material


SHARON TE-5 is a
three-source
thermal evaporation
system for
depositing thin fil

General metals, contacts

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

SNF tylannitride

Anexo 3

Continuacin Tabla 30
U. C. Santa
Ebeam evaporator #1
Barbara
U. C. Santa
E-beam evap #2
Barbara
U. C. Santa
E-beam evap #3
Barbara
U. C. Santa
E-beam evap #4
Barbara
U. C. Santa
Sputter #1
Barbara
U. C. Santa
Sputter Cluster
Barbara

UCSB 201

Sharon

SH-1003

metalization

UCSB 202

UCSB

Custom

dialetric deposition

UCSB 203

Temescal

1800

metalization, loadlock

UCSB 204

CHA

SEC-600

metalization with planetary

UCSB 205

Sputtered Films

Turbosystem

3 target S-gun

UCSB 206

Sputtered Films

Endeavor

3 chamber

130

U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara

Sputter Lesker

UCSB 207

Kurt J Lesker

AXXIS

superconducting film dep

PECVD

UCSB 208

PlasmaTherm

790

SiO2 and SiNx dep

Thermal Evap #1

UCSB 209

NRC

3117

Solder Evap

UCSB 210

Veeco

VE-300

In, Sn, Pb system

ICP Depopition PECVD

UCSB 211

Unaxis

VLR

SiO2 and SiNx ICP PECVD

U. Michigan
U. Michigan
U. Michigan
U. Michigan

Thermco 9K furnace C2
Thermco 9K furnace C3
Thermco 9K furnace C4
Tempress 6604 furnace
D2
Tempress 6604 furnace
D3
Tempress 6604 furnace
D4
GSI PECVD
Semi Group PECVD
chamber 2

UMI 300
UMI 301
UMI 302
UMI 303

Thermco
Thermco
Thermco
Tempress

9K
9K
9K
6604

UMI 304

Tempress

6604

Low temp oxide


PolySi
Nitride and high temp oxide
Stoichiometric nitride, high temp
oxide, low stress nitride
PolySi, in-situ doped polySi

UMI 305

Tempress

6604

Low temp oxide (clean)

UMI 400
UMI 401

GSI
Semi Group

U. Michigan
U. Michigan
U. Michigan
U. Michigan

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Thin film Deposition and Growth

Thin film Deposition and Growth


UMI 402
UMI 403
UMI 404
UMI 405
UMI 406
UMI 407
UMI 408
UMI 409

U. Minnesota

tube21-ht-oxide

U. Minnesota

131

Lesker / Denton
Cooke
Denton
Denton
Lesker
Denton

Enerjet

UMN 900002

Specialy Coating
Systems
Tylan

PDS 2010
Labcoter 2
Titan

tube22-gate-oxide

UMN 900003

Tylan

Titan

U. Minnesota

tube23-gen-oxide

UMN 900004

Tylan

Titan

U. Minnesota

tube31-lsn

UMN 900006

Thermco/SEMY

TMX 9000

U. Minnesota

tube32-poly

UMN 900007

Thermco/SEMY

TMX 9000

U. Minnesota

tube33-nitride

UMN 900008

Thermco/SEMY

TMX 9000

U. Minnesota

tube34-lto

UMN 900009

Thermco/SEMY

TMX 9000

U. Minnesota

dcsputter

UMN 900044

Perkin Elmer

2400

U. Minnesota

ebevap-temescal

UMN 900046

Temescal

FC1800

Anexo 3

4" e-beam evaporator


4" e-beam evaporator
4" e-beam evaporator
4" e-beam evaporator

sj 20
sj 26
Enerjet

Parylene deposition system


Tylan Titan
oxidation tube for
high temperatures
Tylan Titan
oxidation tube
Tylan Titan
oxidation tube
LPCVD silicon
nitride, standard
and low stress
compositions,
LPCVD polysilicon,
undoped or with B
and/or Ph doping
LPCVD silicon
nitride, standard
and low stress
compositions.
LPCVD LTO, B and
Ph doping
available.
DC sputter system,
batch load
Electron-beam
evaporator

Temperatures to 1150C, up to
150mm wafers
1000C max temp, MOS clean
tube, up to 150mm wafers
1000C max temp, up to 150mm
wafers

Wafer sizes up to 100mm

Wafer sizes to 100mm.

Wafer sizes to 100mm.

Al, Ti, Nb, Pt only, up to 200mm


wafers, up to 8 100mm wafers
per load
Lift-off fixturing only, many
metals and insulators allowed,
wafer sizes to 100mm.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Continuacin Tabla 30
U. Michigan
Enerjet evaporator
U. Michigan
Cooke evaporator
U. Michigan
sj 20 evaporator
U. Michigan
sj 26 evaporator
U. Michigan
Enerjet sputter coater
U. Michigan
Denton sputter coater
U. Michigan
Gold Plating Station
U. Michigan
PDS 2010

Anexo 3

132

Continuacin Tabla 30
U. Minnesota
pecvd

UMN 900047

Plasmatherm

model 340

U. Minnesota

ebevap-varian

UMN 900049

Varian

3118

U. Minnesota

ebevap-cha

UMN 900069

CHA

U. Minnesota
U. Minnesota
U. New Mexico
U. New Mexico
U. New Mexico

thermal-evap
aja-sputterer
Gold Electroplating
SAMCO PD-10 PECVD
Airco Temescal E-beam
Evaporator
Airco Temescal E-beam
Evaporator
CHA Mark 40 Dielectric
Evaporator
Sharon Vacuum N/A
Thermal Evap
Lindberg S5367-BDS
Silicon Oxidation Furnace
Tube
Lindberg S5359-BDS
Silicon Diffusion Furnace
Tube
Expert Semiconductor
Technology, Inc.
Expertech III-V Steam
Oxidation Furnace

UMN 900073
UMN 900080
UNM BB02
UNM CVD01
UNM EVAP01

AJA International
Various
SAMCO
Airco Temescal

N/A
PD-10
N/A

Metal I

UNM EVAP02

Airco Temescal

N/A

Metal II, Telemark controller

UNM EVAP03

CHA

Mark 40

Dielectric dep

UNM EVAP04

Sharon Vacuum

N/A

Low melting temp metals only

UNM FRN01

Lindberg

S5367-BDS

2" tube diameter, 3 zones

UNM FRN02

Lindberg

S5359-BDS

2" tube diameter, 3 zones

UNM FRN05

Expert
Semiconductor Tech

Expertech

2" tube diameter

U. New Mexico
U. New Mexico
U. New Mexico
U. New Mexico

U. New Mexico

U. New Mexico

Plasma-enhanced
chemical vapor
deposition of poly
silicon, silicon
nitride and s
Electron-beam
evaporator for
dielectric films
Electron beam
evaporator, with
substrate heating,
lift-off and planetary
fixture

Wafer sizes to 200mm (qty 1),


350C max temp, also used for
ammonia flood for image
reversal process

Thermal evaporator

Al deposition
wafer sizes to 150mm

Dielectric films only, wafer sizes


to 100mm
Metals only, wafer sizes to
100mm

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Thin film Deposition and Growth

Thin film Deposition and Growth


Continuacin Tabla 30
U. New Mexico
Vacuum Generators
V80H Molecular Beam
Epitaxial Reactor
U. New Mexico
PlasmaQuest ECR RIE
U. Texas Austin 790 Plasmatherm #1
PECVD
U. Texas Austin Brute-C72 furnace
Brute-C81 furnace

U. Texas Austin

Brute-C82 furnace

U. Texas Austin
U. Texas Austin

Brute-C71 furnace
Brute-C83 furance

U. Texas Austin

Brute-C73 furnace

U. Texas Austin
U. Texas Austin
U. Texas Austin
U. Texas Austin

CHA Evaporator
KJL
Old KJL
MRL-C41 furnace

U. Texas Austin

MRL-C44 furnace

U. Texas Austin
U. Texas Austin
U. Texas Austin

MRL-C42 furnace
MRL-C43 furnace
MRL-C52 furnace

U. Texas Austin

MRL-C53 furnace

U. Texas Austin
U. Texas Austin
U. Texas Austin

MRL-C54 furnace
MRL-C51 furnace
PTL-C61

U. Texas Austin

PTL-C62

Vacuum Generators

V80H

Compound semiconductor
growth

UNM PE06
UT 790 PECVD
Plasmatherm #1
UT Brute-4" Doped
Oxide
UT Brute-4" Field
Oxide (undoped)
UT Brute-4" Gate
Oxide
UT Brute-4" POCL
UT Brute-4" Sinter

PlasmaQuest
Plasmatherm

ECR
790-6"

Modified
PECVD chamber

UT Brute-4"
Undoped Oxide
UT CHA
UT KJL (new)
UT KJL (old)
UT MRL-Annealed
(doped)
UT MRL-Annealed
(undoped)
UT MRL-Field Oxide
UT MRL-Gate Oxide
UT MRL-LPCVDNitride
UT MRL-LPCVDPolysilicon
UT MRL-LTO
UT MRL-POCL
UT PTL-LPCVDNitride
UT PTL-LPCVDPolysilicon

Thermco

up to 4 " wafers

Thermco

up to 4 " wafers

Thermco

up to 4 " wafers

Thermco
Thermco

up to 4 " wafers
up to 4 " wafers

Thermco

up to 4 " wafers

CHA Industries
Kurt Lesker Co.
Kurt Lesker Co.
MRL

SE-1000-RAP

Evaporator
Silicon materials
Silicon materials
up to 6" wafers

MRL

up to 6" wafers

MRL
MRL
MRL

up to 6" wafers
up to 6" wafers
up to 6" wafers

MRL

up to 6" wafers

MRL
MRL
Process
Technology, LTD
Process
Technology, LTD

5010

up to 6" wafers
up to 6" wafers
up to 4 " wafers

5010

up to 4 " wafers

Anexo 3

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

133

U. Texas Austin

UNM MBE01

Anexo 3

Continuacin Tabla 30
U. Texas Austin PTL-C63

UT PTL-LTO

U. Texas Austin
U. Washington

UT Varian Sptterer
UW

Site

Varian Sputter
Thermal Evaporator

Tool Name

Tool ID

Penn State
U.
Cornell
Cornell

Unaxis Shuttleline Etch

--

Plasma Therm 720 RIE


Plasma Therm System 72
RIE

Cornell

134

Cornell
Cornell
Cornell
Cornell
Cornell
Cornell
Cornell
Cornell
Cornell
Cornell
Cornell

Georgia
Tech

Process
5010
Technology, LTD
Varian
980-2461
Edwards
Auto306
Fuente: NNIN (2007)

Dry Etch
Tool Mfg
Tool Model
Shuttleline

CNF 201
CNF 202

Unaxis Wafer
Processing
Plasma Therm Unaxis
Plasma Therm Unaxis

Plasma Therm SLR 770


ICP etch left side RIE
Plasma Therm SLR 770
ICP Right Side RIE
Unaxis 770 Bosch Deep
RIE UN770
RIE X Homemade RIE
Plasma Quest 357 ECR
RIE
Veeco Ion Mill
Branson Barrel Asher
Glen Plasma/RIE
Oxford 80 RIE #1
Oxford 80 RIE #2
Aura 1000 Downstream
Stripper/asher
Xactic XeF2 etch system

CNF 203L

Plasma Therm/Unaxis

SLR 770

CNF 203R

Plasma Therm/Unaxis

SLR 770

CNF 204

Uxaxis Plasma Therm

SLR 770

CNF 206
CNF 207

Homemade
Plasma Quest

357

CNF 208
CNF 210
CNF 211
CNF 212
CNF 213
CNF 215

Veeco
Branson
Glen / YES
Oxford
oxford
Branson

1000
80
80
aura 1000

CNF 220

Xactix

Xtech

Plasma-Therm ICP RIE

GT 162

Plasma Therm

Dual ICP
SLR Mn F.

720
72

up to 4 " wafers
Silicon materials

Tool Desc

Tool Comment
Compact load-locked dry etching
system

Low pressure RIE

CF4, SF6, H2, O2, CHF3-- primarily


silicon materials, various wafer
sizes

Bosch
F based chemistries

Resist strip and descum

Silicon isotropic etch in


XeF2, Xenon Difluoride,
for release of structures

Resist strip and descum

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Thin film Deposition and Growth

Dry Etch
GT 164

Plasma Therm

GT 165

Plasma Therm

GT 178

STS

GT 183

Trion

Wafr/Batch
790 Series
SLR - 720
MF
Multiplex
ASE
Minilock

Har 008
HOW 301

Fischione
PlasmaTherm

110
790

HOW 302
PSU 002

Fischione
AMAT

3000
P-5000

PSU 003

AMAT

P-5000

PSU 029
PSU 037

MetroLine
Plasma Therm

M4L
SLF720

PSU 038

Plasma Therm

SLR770

Deep Silicon Trench Etching; 4"


wafers
Etch Polymer, SiO2, SiN; 4" sample

TEM preparation
ICP etching of complex oxides
(includes ceramics) and metals
CMOS Compatible Etcher

Penn State
U.
Penn State
U.

AMAT Cluster Tool


MERIE Chamber #3
AMAT Cluster Tool
MERIE Chamber #4

PSU 069

Applied Materials

P-5001

Poly and amorphous silicon, silicon


dioxide, silicon nitride, nanostructured silicon nitride, nanostructured silicon
Dielectric Etch Chamber

PSU 070

Applied Materials

P-5002

Metal Etch Chamber

Penn State
U.
Penn State
U.
Penn State
U.
Penn State
U.

Tegal 981 #1 RIE

PSU 074

Tegal

981

Dielectric Etch Chamber

Tegal 981 #2 RIE

PSU 075

Tegal

981

Polymer Etch Chamber

Trion ICP RIE

PSU 079

Trion

Alcatel Silicon DRIE

PSU 080

Alcatel

SPEEDER
100

Anexo 3

Chlorine, BCI3, CHF3, Argon,


Oxygen, SF6
Bosch Process, 4" system

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

135

Continuacin Tabla 30
Georgia
Plasma-Therm RIE
Tech
Georgia
Plasma-Therm SLR RIE
Tech
Georgia
STS ICP RIE
Tech
Georgia
Trion ICP RIE
Tech
Harvard U.
Plasma Cleaner
Howard U.
PlasmaTherm 790
Reactive Ion Etcher RIE
Howard U.
Fischione 3000 Ion Miller
Penn State AMAT DPS Etcher RIE
U.
Penn State AMAT MERIE
U.
State U.
MetroLine M4L RIE
Penn State Plasma Therm SLR720
U.
RIE
Penn State Plasma Therm SLR770
U.
ECR PECVD

Anexo 3

Continuacin Tabla 30
Penn State Alcatel Oxide DRIE
U.
Harvard U.
South Bay RIE

PSU 081

Alcatel

RIE 1

South Bay Tech.

SPEEDER
100
RIE-2000

RIE 4

Samco

UV-1

RIE 5

Technics

220

Harvard U.

Samco UV and Ozone


Stripper
Technics Plasma
Stripper/Cleaner
Nexx RIE

RIE 6

Nexx Systems

Cirrus 150

Harvard U.

Unaxis ICP RIE

RIE-7

Unaxis

Shuttleline

Stanford

AMT 8100 Hexagonal RIE


System
Drytek DRIE-100 Plasma
Etcher #1
Drytek DRIE-100 Plasma
Etcher #2
Drytek DRIE-100 Plasma
Etcher #4
Fusion UV Cure
Gasonics Aura 1000
Resist Stripper
Lam TCP 9400 Plasma
Etcher RIE
Matrix Plasma Resist
Stripper
Applied Materials P5000
Etcher RIE
PlasmaQuest ECR GaAs
Etcher RIE
STS Multiplex ICP Deep
Reactive Ion Etcher RIE

SNF amtetcher

AMAT

8100

SNF drytek1

Drytek

DRIE-100

SNF drytek2

Drytek

DRIE-100

SNF drytek4

Drytek

DRIE-100

SNF fusion
SNF gasonics

Fusion
Gasonics

Aura 1000

SNF lampoly

Lam Research

TCP 9400

SNF matrix

Matrix

SNF p5000etch

AMAT

SNF pquest

Plasma Quest

SNF stsetch

STS

Harvard U.
Harvard U.

136

Stanford
Stanford
Stanford
Stanford
Stanford
Stanford
Stanford
Stanford
Stanford
Stanford

P5000

Multiplex ICP

Si and other dielectrics, tabletop


turbo

Polymer, Faraday cage


NEXX RIE-6 is an ECR
(electron cyclotron
resonance) reactive
plasma etch system.
Inductive Coupled Plasma
Rapid Ion Etch

Loadlock, heat/cool

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Dry Etch

Dry Etch

U. Michigan
U. Michigan
U. Michigan
U. Michigan
U. Michigan
U. Michigan
U.
Minnesota

SNF stsetch2

STS
TRION

Multiplex ICP
HRM
Oracle

TRION

3 chamber RIE dry-etch processor

UCSB 301

UCSB

Custom

chlorine chemistry

UCSB 302

MRC

51

methane/hydrogen chemistry

UCSB 303

MRC

51

fluorine chemistry

UCSB 304

PlasmaTherm

SLR 770

chlorine chemistry

UCSB 305

Unaxis

SLR

Si etcher, bosch process

UCSB 306

Unaxis

VLR

chlorine , 200 C chuck

UCSB 307

Panasonic

640

chlorine and fluorine chemistry

UCSB 308

Technics

PEIIA

PR asher

UCSB 309

Technics

PEIIA

PR asher

UMI 500

Lam

9400

6" cassette-to-cassette RIE (polySi)


CMOS compatible

Semigroup RIE - chamber


2
Plasmatherm RIE chamber 1
Plasmatherm RIE chamber 2
Trion - chamber 1-RIE

UMI 501

Semigroup

UMI 502

Plasmatherm

UMI 503

Plasmatherm

UMI 504

Trion

March asher
STS deep RIE
asher

UMI 505
UMI 506
UMN 900035

March
STS
Branson

Fluorine based RIE CMOS


compatible
Chlorine based RIE
4" cassette-to-cassette RIE CMOS
compatible
4" high-density ICP deep RIE
IPC 2000

Anexo 3

Branson Oxygen asher


for stripping polymers

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

137

Continuacin Tabla 30
Stanford
STS HRM Deep Silicon
Etcher RIE
U. Texas
TRION Oracle
Austin
U. C. Santa RIE #1
Barbara
U. C. Santa RIE #2
Barbara
U. C. Santa RIE #3
Barbara
U. C. Santa RIE #5
Barbara
U. C. Santa Bosch Etcher RIE
Barbara
U. C. Santa VLR ICP Etch RIE
Barbara
U. C. Santa Panasonic ICP Etcher RIE
Barbara
U. C. Santa Technics #1
Barbara
U. C. Santa Technics #2
Barbara
U. Michigan LAM 9400

Anexo 3

Continuacin Tabla 30
U.
trion2
Minnesota

UMN 900050

Trion

Minilock

138

Reactive ion etcher with


chlorinated gases for
metal etching, single
wafer proce
Trion ICP etcher with
chlorinated and
fluorinated gases, single
wafer process
Reactive ion etcher with
fluorinated gases for
dielectic etching,
Plasmatherm deep silicon
etcher using bosch
process, single wafer
Tousimis CO2 critical
point drying system
Ion etching system

Wafer sizes to 150mm, gases


include Cl2, BCl3, SiCl4

U.
Minnesota

trion1

UMN 900051

Trion

Minilock

Wafer sizes to 150mm

U.
Minnesota

stsetch

UMN 900054

STS

320

U.
Minnesota

deeptrench

UMN 900055

Plasmatherm

SLR-770

U.
Minnesota
U.
Minnesota
U. New
Mexico
U. New
Mexico

cpdryer

UMN 900058

Tousimis

915B

ionmill

UMN 900214

Technics

TLA 20

Ion Tech RIBE Ion Mill

UNM MLL01

Ion Tech

RIBE

PlasmaTherm/Unaxis
SLR770-ICP ICP RIE

UNM PE01

PlasmaTherm/Unaxis

SLR770-ICP

maximum wafer diameter 6", Cl2


chemistries only

U. New
Mexico

Technics PEII-A Planar


Etcher

UNM PE02

Technics

PEII-A

CHF3

U. New
Mexico
U. New
Mexico
U. New
Mexico
U. Texas
Austin

Technics PEII-A Planar


Etcher
Plasmaline Asher
Plasmaline
Plasma Technology uP
(micro P) Plasmalab RIE
790 Plasmatherm #1 RIE

UNM PE03

Technics

PEII-A

CF4

UNM PE04

Plasmaline

Asher

Oxygen

UNM PE05

Plasma Technology

uP (micro P)

CF4, CHF3, SF6, O2

UT 790 RIE
Plasmatherm
#1

Plasmatherm

790-6"

etch reactor for III-V materials

Wafer sizes to 200mm, gases


include CF4, O2, CHF3, Ar
Wafer size 100mm

Wafer size to 150mm, can do


pieces

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Dry Etch

Dry Etch
Continuacin Tabla 30
U. Texas
790 Plasmatherm #2 RIE
Austin

Orange RIE
Oxford RIE

UT Oxford RIE

Batchtop RIE
March Asher

Plasmatherm

Dual 8" RIE

Silicon materials

Plasmatherm

Batchtop 6"
RIE
Rx-250

Silicon materials

March Instruments
Inc.
Plasma Technology,
LTD
Oxford Instruments

RIE-80-111
80+ RIE

up to 8" wafers

Fuente: NNIN (2007)

Site

139

Harvard U.
Cornell

Cornell

Cornell

Cornell

Cornell

Cornell

Tool Name
Critical-Point Dryer
Steag Hamatech HMP900
Automatic Chemical
Processor
Steag Hammatech HMP900
Automated Chemical
Processor
Steag Hamatech HMP900
Automatic Chemical
Processor
Steag Hamatech HMP900
Automatic Chemical
Processor
Steag Hamatech HMP900
Automatic Chemical
Processor
Steag Hamatech HMR900
Automatic Chemical
Processor

Tool ID

Wet Etch and Clearing


Tool Mfg
Tool Model

CD 1
CNF 1203

Technotrade
Steag Hamatech

CPD-030
HMP900

CNF 1204

Steag
Hammatech

HMP900

CNF 1205

Steag Hamatech

HMP900

CNF 1206

Steag Hamatech

HMP900

CNF 1209

Steag Hamatech

HMP900

CNF 1210

Steag Hamatech

HMP900

Anexo 3

Tool Desc

Tool Comment

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

U. Texas
Austin
U. Texas
Austin
U. Texas
Austin
U. Texas
Austin

UT 790 RIE
Plasmatherm
#2
UT Barch Top
RIE
UT March
Asher
UT Orange RIE

Anexo 3

Continuacin Tabla 30
Cornell
Critical Point DryerTousismis 915B
Harvard U.
Millipore DI Water System
Harvard U.
Barnstead Nanopore DI
Water System
Georgia Tech CMOS Wet Bench

CNF 605

Tousismis

915B

DI 1
DI 2

Millipore
Barnstead

MiliQ-A10
Nanopure

GT 112

Clean Zones Llc

PV 630 E

GT 118

Dexon

GT 119

Dexon

GT 120

Dexon

GT 121

Dexon

GT 122

Dexon

Georgia Tech

Dexon Fume Hood--Class


10
Dexon Fume Hood--Class
1000
Dexon Fume Hood--Dry
Process
Dexon Fume Hood-Metalization
Dexon Fume Hood--Plasma
Processing
Fume Hood--Focus Center

GT 128

Clean Zones Llc

VF66E980E(PP)
VF66E980E(PP)
VF66E980E(PP)
VF66E980E(PP)
VF66E980E(PP)
PV-630-E

Georgia Tech

Fume Hood--Focus Center

GT 129

Clean Zones Llc

PV-630-E

Georgia Tech
Georgia Tech

MEMS Wet Bench


Semitool Spin Rinse Dryer

GT 150
GT 173

Clean Zones Llc


Semitool

Georgia Tech

Tousimis Super Critical


Dryer
Ultratech Plate Cleaner
Verteq Spin Rinse Dryer
HOODS Develop
Beco Wet Process Bench

GT 182

Tousimis

GT 192
GT 198
NCSU 065
PSU 004

Ultratech
Verteq

PV-630-E
SRD - 2705 - 3
-1-EAutosamdri
815B
PC603
1600-55A

HF / Acids Bench

PSU 043

Hamilton

Base / Solvents Bench

PSU 044

Hamilton

Georgia Tech
Georgia Tech
Georgia Tech
Georgia Tech

140

Georgia Tech

Georgia Tech
Georgia Tech
NCSU
Penn State
U.
Penn State
U.
Penn State
U.

Beco

Critical Point Dryer

Similar to a standard laminar fume


hood, only with tanks dedicated for
cmos processing.
Laminar flow fume hood equiped
with HEPA filter.
Laminar flow fume hood equiped
with HEPA filter.
Laminar flow fume hood equiped
with HEPA filter.
Laminar flow fume hood equiped
with HEPA filter.
Laminar flow fume hood equiped
with HEPA filter.
Laminar flow fume hood equiped
with HEPA filter.
Laminar flow fume hood equiped
with HEPA filter.
Configured to handle 4" wafers, set
up for CMOS

Suitable 4-6" mask


Configured to handle 4" wafers.
TMAH and KOH chemical
processing bench

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Wet Etch and Clearing

Wet Etch and Clearing


Continuacin Tabla 30
Penn State
SC1/SC2 Bench #1
U.
Penn State
Hot Phosphoric / Piranha
U.
Bench
Stanford
Diffusion Clean Wet Bench
Stanford

PSU 051

CMOS Bench

PSU 052

CMOS Bench

SNF wbdiff

Stanford

MEMS Bench Reflux Bath

Stanford

Metal Wet Bench

SNF wbgenhpl
SNF wbgenhpr
SNF wbgen2hp
SNF wbgen2rfx
SNF wbmetal

Stanford

Nitride Wet Bench

SNF wbnitride

Stanford

Non-Metal Wet Bench

Stanford

Silicide Wet Bench

Stanford

Solvent Wet Bench

U. C. Santa
Barbara
U. Michigan

Critical Point Dryer

SNF
wbnonmetal
SNF
wbsilicide
SNF
wbsolvent
UCSB 401

Stanford
Stanford

Stanford

141

Stanford

Wet bench Si bay mask


clean

SNF wbgaashpl
SNF wbgaashpr
SNF wbgenctb

Santa Clara
Plastics
Santa Clara
Plastics
Santa Clara
Plastics
Santa Clara
Plastics
Santa Clara
Plastics
Santa Clara
Plastics
Santa Clara
Plastics
Santa Clara
Plastics
Santa Clara
Plastics
Energy Beam
Sciences

E3100

UMI 600

Anexo 3

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Stanford

GaAs Wet Bench (Left Hot


Pot)
GaAs Wet Bench (Right Hot
Pot)
General Purpose Wet Bench
(Controlled Temperature
Bath)
General Purpose Wet Bench
(Left Hot Pot)
General Purpose Wet Bench
(Right Hot Pot)
MEMS Bench Hot Pot

Santa Clara
Plastics
Santa Clara
Plastics
Santa Clara
Plastics
Santa Clara
Plastics

Anexo 3

Continuacin Tabla 30
U. Michigan
Wet bench Si bay solvent
U. Michigan
Wet bench Si bay acid
U. Michigan
Wet chench Si bay prefurnace clean
U. Michigan
Wet bench SP bay
U. Michigan

UMI 601
UMI 602
UMI 603

CMOS compatible

UMI 604
UMI 605

U. Michigan
U. Michigan
U. Michigan
U. Michigan
U. Michigan
U. Minnesota

Wet bench SP bay mask


etch
Wet bench GaAs bay
solvent
Wet bench GaAs bay acid
Wet bench GaAs bay base
Wet bench GaAs bay plating
Wet bench chem room acid
Critical Point Dryer
wb-rca

U. Minnesota

wb-hf

UMN 900018

U. Minnesota
U. Minnesota
U. Minnesota
U. Minnesota

wb-gen-1
wb-sol
wb-etch
wb-koh

UMN 900019
UMN 900032
UMN 900048
UMN 900059

U. Minnesota
U. Minnesota

wb-gen-2
cmp-oxide

UMN 900072
UMN 900081

Strasbaugh

6EC

U. New
Mexico
U. New
Mexico
U. New
Mexico

Acid Bench

UNM AB01

Local Mfg

N/A

Acid

Acid Bench

UNM AB02

Local Mfg

N/A

Acid

Base Bench

UNM BB01

Local Mfg

N/A

Base

U. Michigan

UMI 606

142

UMI 607
UMI 608
UMI 609
UMI 610
UMI 611
UMN 900001

Tousimis

4"/6" and single die. uses Liq. CO2


Wet bench for cleaning
wafers using RCA
standard clean
Wet bench for hydroflouric
acid related processing
Wet bench for general use
Solvent wet bench
Wet bench general etching
Wet bench, KOH etching,
heated baths
Wet bench, general
Chemical mechanical
polishing for oxide films

Acid/Base processing
Wafer sizes to 150mm
Not in cleanroom
wafer size 100mm

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Wet Etch and Clearing

Wet Etch and Clearing

U. Texas
Austin
U. Texas
Austin
U. Texas
Austin
U. Texas
Austin
U. Texas
Austin
U. Texas
Austin
U. Texas
Austin

WPS-G08 wet process


station
WPS-G11 wet process
station
WPS-G12 wet process
station
WPS-G14 wet process
station
WPS-H03 wet process
station
WPS-H06 wet process
station
WPS-H14 wet process
station

UNM BB03

Local Mfg

N/A

UT Etch SRD

Flourocarbon

UT Hood C11WPS
UT Hood C14WPS
UT Hood C16WPS
UT Hood E02WPS
UT Hood E05WPS
UT Hood F03WPS
UT Hood F06WPS
UT Hood F07WPS

Wafer Process
Systems
Wafer Process
Systems
Wafer Process
Systems
Wafer Process
Systems
Wafer Process
Systems
Wafer Process
Systems
Wafer Process
Systems
Wafer Process
Systems

UT Hood G08WPS
UT Hood G11WPS
UT Hood G12WPS
UT Hood G14WPS
UT Hood H03WPS
UT Hood H06WPS
UT Hood H14WPS

Wafer Process
Systems
Wafer Process
Systems
Wafer Process
Systems
Wafer Process
Systems
Wafer Process
Systems
Wafer Process
Systems
Wafer Process
Systems

Anexo 3

Developers
Silicon materials

III-V materials
III-V materials
III-V materials
III-V materials
III-V materials

Silicon materials
Silicon materials
Silicon materials
Silicon materials
Silicon materials
Silicon materials
Silicon materials

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

143

Continuacin Tabla 30
U. New
Developer Bench
Mexico
U. Texas
Etch SRD
Austin
U. Texas
WPS-C11 wet process
Austin
station
U. Texas
WPS-C14 wet process
Austin
station
U. Texas
WPS-C16 wet process
Austin
station
U. Texas
WPS-E2 wet process station
Austin
U. Texas
WPS-E5 wet process station
Austin
U. Texas
WPS-F3 wet process station
Austin
U. Texas
WPS-F6 wet process station
Austin
U. Texas
WPS-F7 wet process station
Austin

Anexo 3

144

Continuacin Tabla 30
U. Texas
WPS-H15 wet process
Austin
station
U. Texas
WPS-H16 wet process
Austin
station
U. Texas
WPS-H18 wet process
Austin
station
U. Texas
WPS-J19
Austin
U. Texas
WPS-J24 wet process
Austin
station
U. Texas
SRD #1-Semitool
Austin
U. Texas
SRD #2-Semitool
Austin
U. Texas
SRD #2
Austin
U. Texas
SRD #1-Verteq
Austin
U. Texas
SRD #2-Verteq
Austin

UT Hood H15WPS
UT Hood H16WPS
UT Hood H18WPS
UT Hood J19WPS
UT Hood J24WPS
UT SAT1Semitool
UT SAT2Semitool
UT SRD#2

Wafer Process
Systems
Wafer Process
Systems
Wafer Process
Systems
Wafer Process
Systems
Wafer Process
Systems
Semitool

Silicon materials

PSG102

Semitool

PSL-102

UT SRD1Verteq
UT SRD2Verteq

Verteq

1600-55A

Verteq

1600-55A

Silicon materials
KOH station
III-V materials
III-V materials

Flourocarbon

Silicon materials

Fuente: NNIN (2007)

Tool ID

Thin Film Modification


Tool Mfg
Tool Model

Site

Tool Name

U. Texas
Austin
Cornell
Georgia Tech

IPEC/Westech Model 372M CMP


System
Strassbaugh 6EC CMP
AET RTP

CMP

Westech

372M CMP

CNF 602
GT 102

Strassbaugh
AET

Georgia Tech
Georgia Tech
Georgia Tech

Lindberg Furnace 1
Lindberg Furnace 2
Lindberg Furnace 3

GT 145
GT 146
GT 147

Lindberg
Lindberg
Lindberg

6EC
RX Series
3152002008
55667
55667
55667

Georgia Tech
Harvard U.

Lindberg Furnace 4
Electrochemical jet polisher

GT 148
Har 013

Lindberg
Fischione

55667
110

Tool Desc

Tool Comment
CMP 4" & 8" wafers

CMOS Sintering Tube


Polymer Curing Tube
Oxidation tube both wet and dry
capabilities.
Polymer Curing Tube

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Wet Etch and Clearing

Thin Film Modification


Continuacin Tabla 30
Harvard U.
Polisher
Howard U.
AG Associates Minipulse Rapid
Thermal Annealer RTA
Penn State U. AET RTA Furnace

Har 023
HOW 401

Allied
AG Associates

TechPrep
Minipulse

PSU 001

AET

RXV8

Bruce 4-Stack Oxidation Tube 2


Bruce 4-Stack Oxidation Tube 3
MRL Oxidation / Annealing Tube
Primaxx RTP chamber
Jipelec Rapid Thermal
RTA #1

PSU 009
PSU 010
PSU 034
PSU 040
RTP 1
UCSB 501

Bruce
Bruce
MRL
Primaxx
Jipelec
AET

BTI
BTI
1018
LMS1
Jetfirst
RX-6

Strip Annealer

UCSB 502

UCSB

Custom

GaN Thermal Processor

UCSB 503

CVD

Custom

tube24-alloy

UMN 900005

Tylan

Titan

U. Minnesota

rta-1

UMN 900015

U. Minnesota
U. New
Mexico
U. New
Mexico
U. Texas
Austin
U. Texas
Austin

sb6
Modular Process RTP 600S RTP

UMN 900031
UNM RTA01

Process Products 1150 RTA

UNM RTA02

AET

UT AET

Modular
Process
Suss
Modular
Process
Process
Products
AET Thermal

AG Associates RTA

UT AG
Associates

AG Associates

SB6
RTP 600S

1000 deg C, 1000 psi


Alloy tube for sintering
metal contacts
Rapid Thermal
Annealer

450C max temp, N2, Ar, forming


gas
Wafer sizes to 150mm

1150

Wafer sizes to 100mm


450C maximum temperature, 15
minute process time limit
Rapid Thermal Process

RX6

up to 4 " wafers

Heatpulse-610

III-V Metals

Fuente: NNIN (2007)

Anexo 3

rapid thermal annealer

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

145

Penn State U.
Penn State U.
Penn State U.
Penn State U.
Harvard U.
U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara
U. Minnesota

Rapid Thermal Annealing


Furnace System
P-type Dopant Diffusion Tube
N-type Dopant Diffusion Tube

Anexo 3

146

Georgia
Tech
U.
Minnesota
U.
Minnesota
U.
Minnesota
U.
Minnesota
U.
Minnesota
U.
Minnesota
U.
Minnesota
U.
Minnesota
U.
Minnesota
U.
Minnesota

Tool Name

Tool ID

Tool Desc

SCA 2500

Tool Comment

SemiTest SCA-2500
Surface Charge Analyzer
particlemeas

GT 172

Semitest

UMN 900056

PMS

Atomizer Aerosol Generator

UMN AG01

TSI

3079

Fluidized Bed Aerosol


Generator
Small-Scale Powder
Disperser
Vibrating Orifice Aerosol
Generator
Condensation Monodisperse
Aerosol Generator
Electrospray Aerosol
Generator
Homogeneous Nucleation
Aerosol Generator
Aerosol Particle Mass
Spectrometer
Aerodynamic Particle Sizer
Spectrometer

UMN AG02

TSI

3400A

UMN AG03

TSI

3433

UMN AG04

TSI

3450

UMN AG05

TSI

3475

UMN AG06

TSI

3480

UMN AG07

Univ Minn

UMN APM

Kanomax

C16004

measures particle mass to charge ratio

UMN APS

TSI

3321

U.
Minnesota
U.
Minnesota
U.
Minnesota

Aerosol Time-of-Flight Mass


Spectrometer
Condensation Particle
Counter
Condensation Particle
Counter

UMN
ATOFMS
UMN CPC01

TSI

3800

TSI

3010

measures particle size distribution by


aerodynamic diameter in range 0.5 - 20
um
measures aerodynamic size and
composition of single particles
1 LPM, 10-3000+ nm

UMN CPC02

TSI

3022A

5 cc/s, 7-3000+ nm

U.
Minnesota
U.
Minnesota

Condensation Particle
Counter
Sub-Micron Particle
Analyzer

UMN CPC03

TSI

3760

1.5 LPM, 11-3000+ nm

UMN CPS

Beckman
Coulter

N4SD

with size distribution processor analysis

PMS surface particle


measurement system

Manually loaded surface charge analyzer


designed to handle 1" - 6" wafers.
Wafer sizes to 100mm

with tube furnace vaporizer

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Site

Particle Technology
Tool Mfg
Tool
Model

Particle Technology
UMN DMA

TSI

3081

UMN
DustTrak
UMN EAD

TSI

8520

TSI

3070A

selects monodisperse aerosol fractions of


charged fine particles
portable, battery-operated laser
photometer
measures aerosol diameter concentration

UMN FCE

TSI

3068A

measures net current of charged aerosols

UMN IGMA

Airel, Tartu,
Estonia
TSI

IGMA
7750

measures size distribution of air ions and


nanometer size charged particles
submicron particle counter

LLPS-X

counts particles in the range 0.5 - 15 um

UMN MOUDI

PMS
Instruments
MSP

110

up to 10 stages with or without rotator

UMN nASA

Univ Minn

nASA

measures nanoparticle size distribution

UMN nDMA

TSI

3085

selects monodisperse aerosol fractions of


charged nanoparticles

UMN Neph

Radiance
Research
MSP

115

3 stages without rotator

TSI

3936N25

measures nanoparticle size distribution

UMN nTDMA

Univ Minn

NanoTDMA

UMN OPC01

PMS
Instruments
PMS
Instruments
Climet
Instruments
Climet
Instruments

1001

measures size change of nanoparticles


due to aerosol conditioning
0.01 CFM, 0.1-2 um

1002

0.002 CFM, 0.1-2 um

Spectro .3

1 LPM, 0.3-10 um

CI-7600

0.1 CFM, 0.1-5 um

UMN
LiquiTrak
UMN LLPS

UMN
nMOUDI
UMN nSMPS

UMN OPC02
UMN OPC03
UMN OPC04

Anexo 3

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

147

Continuacin Tabla 30
U.
Long Differential Mobility
Minnesota
Analyzer
U.
DustTrak Aerosol Monitor
Minnesota
U.
Electrical Aerosol Detector
Minnesota
U.
Aerosol Electrometer
Minnesota
U.
Inclined Grid Mobility
Minnesota
Analyzer
U.
LiquiTrak Liquidborne
Minnesota
Particle Detector
U.
Laser Liquid Particle
Minnesota
Spectrometer
U.
Micro-Orifice UniformMinnesota
Deposit Impactor
U.
Nanometer Aerosol Size
Minnesota
Analyzer
U.
Nano Differential Mobility
Minnesota
Analyzer
U.
Nephelometer
Minnesota
U.
Nano Micro-Orifice UniformMinnesota
Deposit Impactor
U.
Nano Scanning Mobility
Minnesota
Particle Sizer
U.
Nano Tandom Differential
Minnesota
Mobility Analyzer
U.
Lasair 1001 Aerosol Particle
Minnesota
Counter
U.
Lasair 1002 Aerosol Particle
Minnesota
Counter
U.
Spectro .3 Laser Particle
Minnesota
Spectrometer
U.
Laser Airborne Particle
Minnesota
Counter

Anexo 3

148

Continuacin Tabla 30
U.
High Sensitivity Laser
Minnesota
Aerosol Spectrometer
U.
Porometer
Minnesota
U.
PortaCount Plus Respirator
Minnesota
Fit Tester
U.
Particle Size Distribution
Minnesota
Measuring System
U.
P-Trak Ultrafine Particle
Minnesota
Counter
U.
Short Path Laser
Minnesota
Photometer
U.
Scanning Mobility Particle
Minnesota
Sizer
U.
Tandom Differential Mobility
Minnesota
Analyzer
U.
Tapered Element Oscillating
Minnesota
Microbalance
U.
Ultrafine Condensation
Minnesota
Particle Counter
U.
Unipolar Aerosol Charger
Minnesota
U.
Water-Based Condensation
Minnesota
Particle Counter
Fuente: NNIN (2007)

Site
Penn State U.
Stanford

UMN OPCHS

PMS
Instruments
Beckman
Coulter
TSI

HSLAS

8028

with N-95 Companion

Univ Minn

PSD

UMN PTrak

TSI

8525

measures particle size distribution in range


3-2000 nm
portable, real-time ultrafine particle
counter

UMN RAM

MIE

RAM-1

UMN SMPS

TSI

3936L10

measures fine particle size distribution

UMN TDMA

Univ Minn

TDMA

UMN TEOM

1400a

UMN UCPC

Rupprecht &
Patashnick
TSI

measures size change of fine particles due


to aerosol conditioning
ambient particle mass monitor

3025A

0.5 cc/s, 3-3000+ nm

UMN UPC

Univ Minn

UPC

UMN WCPC

TSI

3785

UMN Poro
UMN
PortaCount
UMN PSD

Tool Name

1-5 cc/s, 0.065-1 um

Poro

1 LPM, 5-3000+ nm

Molecular Fabrication and sntesis


Tool ID
Tool Mfg Tool Model Tool Desc

Glove Box
Spectrum Home-Build AFM System

PSU 016
SNF spectrum None
Fuente: NNIN (2007)

Tool Comment

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Particle Technology

Site

Tool Name

Harvard
U.

Confocal/ multiphoton
on microscope

Tool
ID
Har
018

Tool
Mfg
Zeiss

Tool
Model
LSM 510

Biological Processing
Tool Desc

Tool Comment

The Zeiss LSM 510 Meta microscope is a


laser scanning microscope that features s

The microscope facility can perform CARS


(Coherent Anti-Stokes Raman Scattering)
microscopy.

Fuente: NNIN (2007)

Site

149

Site
Cornell
Harvard U.
Georgia Tech
Georgia Tech
Georgia Tech
Georgia Tech
Georgia Tech
Georgia Tech
Harvard U.
Howard U.
Penn State U.
Penn State U.
Penn State U.

Fakespace Virtual Reality Deskside Training System HOW 801 Fakespace


SGI Origin 200 4-Processor Server
HOW 802 SGI
SGI Onyx2 8-Processor Server
HOW 803 SGI
Fuente: NNIN (2007)

Tool Name
KS 7100 Wafer Saw
Loomis cleaver/scriber
Dicing Technologies
Dicing Saw
Karl Suss Bonder
Kulicke & Sofa Industrial
Bonder
Lap Master Lapper
Lap Master Polisher
Logitech Polisher
Low-speed diamond saw
MECH-EL 827 Wire
Bonder
EVG52OHE Semi
Automated Bonder
K&S Ball Bonder
K&S Wedge Bonder

Tool ID
CNF 650
CS 1
GT 123

Packaging and Back End


Tool Mfg
Tool
Model

Tool Model Tool Desc Tool Comment


Origin 200
Onyx2

Tool Desc

Tool Comment

K&S
Loomis
Dicing
Technologies
SUSS
Kulicke & Sofa

7100
LSD-100
II

Wafer Dicing

SB6
4524

Wire Bonding

GT 142
GT 143
GT 149
Har 020
HOW 903

Lap Master
Lap Master
Logitech

15AT
15ATP
PM5

max 4" wafers


max 4" wafers
max 4" wafers

MECH-EL

827

PSU 054

EVG

EVG 520

PSU 071
PSU 072

K&S
K&S

4124
4129

GT 135
GT 141

Anexo 3

Gold Wire Bonder


Aluminum Wire
Bonder

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Howard U.
Howard U.
Howard U.

Computation and Simulation


Tool ID Tool Mfg

Tool Name

Anexo 3

Continuacin Tabla 30
Stanford
Electronic Visions 501
Wafer Bonder
Stanford
Karl Suss Wafer Bonder

150

Harvard U.

Automatic Dicing Saw

SNF
evbond
SNF
ksbonder
SW 1

U. C. Santa
Barbara
U. C. Santa
Barbara
U. Michigan

Flip Chip Bonder

UCSB 601

Dicing Saw

UCSB 602

EVG501s wafer bonder

UMI 700

U. Michigan

Suss Microtec SB-6 wafer


bonder

UMI 701

U. Michigan

Micro Automation Dicing


Saw
Suss Microtec RA120M
Scriber
K & S Wire Bonder
Logitech PM2A Lapper /
Polisher
wirebond-1

UMI 813

U. Michigan
U. Michigan
U. Michigan
U. Minnesota

Electronic
Visions
Karl Suss

501

Disco

DAD 321

Research
Devices
Dicso

M8

Electronic
Visions
Suss

501s

MA 6

UMI 814

Micro
Automation
Suss

UMI 815
UMI 816

K&S
Logitech

4123
PM2A

UMN
900067

Mech-El

SB6
DISCO ADA-321 dicing saw uses a rotating
ultra-thin diamond impregnated blade

Semiconductors,
Ceramics

DAD-2H/6

SB 6

RA 120M
wedge bonder

Wire bonder, Mech-El


Fuente: NNIN (2007)

Wedge bonder, Al or
Au wire

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Packaging and Back End

Site
Cornell

Tool Name

Tool ID

Materials Characterization
Tool Mfg
Tool Model
Tool Desc

CNF 1500

Woollam

V-Vas

CNF1502

CDE

RESMAP

Harvard U.

Woollam Spectroscopic
Ellipsometer

ES 1

J.A. Woollam

WVASE32

Harvard U.

Scanning Ellipsometer

ES 2

LSE-W

Georgia
Tech
Georgia
Tech

Hysitron TriboIndenter

GT 133

Nanospec Profilometer

GT 151

Gaertner
Scientific
Hysitron
Incorporated
Nanometrics

Georgia
Tech
Georgia
Tech

Plas-Mos Ellipsometer

GT 161

Plas-Mos

Woollam Ellipsometer

GT 201

Woolam

Harvard U.

JEOL 2010 FEG TEM/STEM

Har 001

JEOL

Control
Module: VB250, L
2010

Harvard U.

LEO A FESEM

Har 002

Zeiss

982

Harvard U.

LEO B FESEM

Har 003

Zeiss

982

Cornell

Tool Comment

151

Automated resistivity
mapping of thin films
Woollam V-VASE32
vertical angle
spectroscopic
ellipsomer is used for
non-invasiv

4 point probe

The JEOL TEM/STEM


is a transmission
electron microscope
for imaging solid
materi
The LEO scanning
electron microscope
(SEM) produces a
magnified image of
samples
The LEO scanning
electron microscope
(SEM) allows surface
examination of suitabl

w/EDS

1BR-12
7000-0546,
7200-2134
(spe
SD2300

Anexo 3

w/EDS&EBSD

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Woollam V-Vase
Spectroscopic Elipsometer
CDE RESMAP

Anexo 3

152

Continuacin Tabla 30
Harvard U. Quanta 200 ESEM

Har 004

FEI

Quanta 200

Harvard U.

AFM/STM Imaging: Omicron


VT-25

Har 006

Omicron

VT-25

Harvard U.

XPS ESCA SSX-100

Har 007

Surface
Science

SSX-100

Harvard U.
Harvard U.
Harvard U.
Harvard U.

Precision Ion Mill


Dimpler
Ultrasonic Cutter
Focused Ion Beam Systems
(FIB): DualBeam 235

Har 009
Har 010
Har 011
Har 014

Gatan
Gatan
Gatan
FEI

691
656
601
DB235

Harvard U.
Harvard U.
Harvard U.

Ultramicrotome
Cryoplunge
JEOL 2100 TEM

Har 017
Har 019
HAR 027

Leica
Gatan
JEOL

UCT

Howard U.

JEOL JSM-6360 LV
Scanning Electron
Microscope SEM
JEOL JSM-840 Scanning
Electron Microscope SEM
JEOL JSPM- 5200
Scanning Probe Microscope

HOW 701

JEOL

JSM-6360 LV

HOW 702

JEOL

JSM-840

HOW 703

JEOL

JSPM- 5200

Howard U.
Howard U.

2100

The Quanta 200 is a


combination
environmental
scanning electron
microscope (ESEM
The Omicron
Multiprobe XP
consists of an ultra
high vacuum (UHV)
surface analysi
The SSX-100 XPS is
a load-locked entry,
ultra-high vacuum
instrument for X-ray p

with Peltier stage and EDS

The DB235 FIB/SEM


has both a fieldemission electron
beam and a liquidmetal ion

w/cryostage

200KV TEM equipped


w/digital CCD imaging
system & basic EDS
analysis system

General purpose transmission electron


microscope

variable temp. w/dep.sys., LEED&AES

ESCA Spectrometer

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Materials Characterization

Materials Characterization
HOW 704

Physical
Electronics

HOWDC01

HOW 705

TopoMetrix

TMX 2010

HOW 706

Gaertner

LSE

HOW 707

Shimadzu

UV-3101

HOW 708

Tencor
Instruments

Alpha Step
100

HOW 709

Howard

HOW 710

Howard

HOW 711
HOW 712

Howard
Atomika

ADIDA

HOW 713

Olympus

BX-60

HOW 714

Olympus

BH

HOW 721
HOW 722

Howard
Dionex

DX-120

HOW 723

Dionex

UVD-170U

HOW 724

Perkin Elmer

AAnalyst 800

with 3/4m monochromator

HOW 725
HOW 726

Anton Paar

Anexo 3

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

153

Continuacin Tabla 30
Howard U. Physical Electronics
HOWDC01 Scanning Auger
Microprobe
Howard U. TopoMetrix TMX 2010
Atomic Force Microscope
Howard U. Gaertner LSE Stokes
Ellipsometer
Howard U. Shimadzu UV-3101
Spectrophotometer
Howard U. Tencor Instruments Alpha
Step 100 Surface
Profilometer
Howard U. Photoluminescence
Apparatus
Howard U. Photoluminescence
Apparatus
Howard U. Raman Spectroscopy
Howard U. Atomika ADIDA Secondary
Ion Mass Spectrometer
Howard U. Olympus BX-60 Optical
Microscope
Howard U. Olympus BH Optical
Microscope
Howard U. Hall Measurement System
Howard U. Dionex DX-120 Ion
Chromatograph
Howard U. Dionex UVD-170U High
Pressure Liquid
Chromatograph
Howard U. Perkin Elmer AAnalyst 800
Atomic Absorption
Spectrometer
Howard U. UV Persulfate TOC
Analyzer
Howard U. Anton Paar Electro Kinetic
Analyzer

Anexo 3

154

Continuacin Tabla 30
Howard U. Malvern Nano-ZS Zetasizer
Howard U. General Electrochemical
System
NCSU
Nanometrics
Penn State JEOL 2010F 200kV FieldU.
Emission TEM/STEM
Penn State JEOL 2010 LaB6, 200 keV
U.
TEM
Penn State Philips 420, Tungsten-based
U.
120 keV TEM
Penn State Gaertner Ellipsometer
U.
Penn State Philips X'Pert Pro MRD Four
U.
Circle XRD
Penn State Wyko NT1100 Non-Contact
U.
Profilometer
Penn State Kratos Ultra XPS
U.
Penn State Hyperion 3000 FT-IR
U.
Microscope
Penn State Field emission Auger
U.
electron spectroscope
Penn State FEI FIB/SEM
U.
Penn State JEOL 6700F FESEM
U.
Microscope
Penn State ESEM FEI Quanta 200
U.

Penn State
U.
Stanford
Stanford

AFM (Atomic Force


Microscope)
Digital Instruments
Dimension 3000 AFM
Dektak Surface Profilometer

HOW 728
HOW 729

Malvern

Nano-ZS

NCSU 031
PSU 005

Nanometrics
JEO

Nanospec
2010F

for resist thickness measurement


Transmission electron microscope

PSU 006

JEOL

2010

Transmission electron microscope

PSU 007

Philips

420

Transmission electron microscope

PSU 015

Gaertner

L116C

Tabletop thin film measurement system

PSU 026

Philips

PSU 036

Wyko

PSU 045

Krastos

PSU 059

Hyperion

X-ray diffraction (XRD)


NT1100

Optical Profilometer
X-ray photoelectron spectroscope (XPS/ESCA)

3000

PSU 076

Fourier Transform Infrared Spectroscope


Field emission Auger electron spectroscope

PSU 082

FEI

Quanta 200 3D

Focused Ion Beam Milling System

PSU 083

JEOL

6700F

Scanning electron microscope

PSU 084

Quanta

200

Environmental SEM Technology/Secondary


Electron Detector/Energy Dispersive X-Ray
(EDS)/ Backscatter Electron Detector/+5 to
1,000C temp controlled stage

PSU 085

Digital
Instruments
Digital
Instruments
Dektak

Dimension
3100
Dimension
3000
IIA

SNF afm2
SNF dektak

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Materials Characterization

Materials Characterization
SNF
ellipsomter
SNF
nanoline
SNF
nanospec
SNF
prometrix
SNF
stresstest
SNF zygo
UCSB 801

Rudolph

AutoEL-III

UCSB 802

EDAX

Falcon
Genesis

energy dispersive spectroscopy

UCSB 803

Filmetrics

F20

film characterization

UMI 801

Nanospec

6100

Film thickness measurement

UMI 802

Dektak

6M

UMI 803

Flexus

3230

UMI 804

Leitz

UMI 805

Miller

UMI 806

Mitutoyo

UMI 807

Zygo

Nanometrics
Nanometrics
Prometrix
SMSi

3800

Zygo
Rudolph

Auto EL

UMI 800

Stress measurement
Spectrometer

FPP-5000

NewView 5000

Anexo 3

3D surface profiler

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

155

Continuacin Tabla 30
Stanford
Rudolph AutoEl-III
Ellipsometer
Stanford
Nanometrics Nanoline
Linewidth Measurement
Stanford
Nanometrics Nanospec Film
Thickness
Stanford
Prometrix Resistivity
Mapping System
Stanford
SMSi 3800 Stress
Measurement
Stanford
Zygo 3-D Surface Profiler
U. C.
Ellipsometer
Santa
Barbara
U. C.
EDS
Santa
Barbara
U. C.
Filmetrics
Santa
Barbara
U.
AutoEL Ellipsometer
Michigan
U.
NanoSpec 6100
Michigan
U.
Dektak 6M Surface
Michigan
Profilometer
U.
Flexus 3230
Michigan
U.
Leitz S. P.
Michigan
U.
Miller FPP-5000 4-Point
Michigan
Probe
U.
Mitutoyo - Height gauge
Michigan
U.
Zygo NewView 5000
Michigan

Anexo 3

Continuacin Tabla 30
U.
Nikon dual scope DME
Michigan
U.
ellipsometer-g
Minnesota
U.
ellipsometer-r
Minnesota
U.
four-point-probe
Minnesota
U.
nanospec-1
Minnesota

156

UMI 808

Nikon

UMN
900010
UMN
900011
UMN
900012
UMN
900016

Gaertner

L166A

Gaertner ellipsometer

Single wavelength

Rudolph

MS14C2C

Rudolph ellipsometer

Single wavelength

Varian

FPP5000

Nanometrics

200

215

Varian four point


probe
Dielectric thin film
thickness
measurement system
Dielectric thin film
thickness
measurement tool
ADE stress
measurement system

U.
Minnesota

nanospec-2

UMN
900017

Nanometrics

U.
Minnesota

stresstest-1

UMN
900020

ADE

U.
Minnesota
U.
Minnesota
U.
Minnesota
U.
Minnesota
U.
Minnesota
U.
Minnesota

nanoline-1

UMN
900034
UMN
900052
UMN
900053
UMN
900084
UMN
900092
UMN
900200

Nanometrics

U.
Minnesota

6m-SAXS

U.
Minnesota

D5005

surface-prof-d2a
surface-prof-d3030
afm-1
stresstest-2
2D-SAXS

Scanning probe and optical microscope

Veeco

Dektak IIA

Nanoline linewidth
measurement system
Surface profiler

Veeco

Dektak 3030

Surface profiler

Digital
Instruments
Flexus

Dimension
3000
900TC

home built

NA

UMN
900201

home built

NA

UMN
900202

Siemens

D5005

Atomic force
microscope
laser based thin film
stress measurement
This small-angle
scattering x-ray
scattering
The six meter small
angle x-ray scattering
(SAXS)
Wide-angle X-ray
scattering

Motorized stage

Wafer sizes to 100mm

With enclosure
Heated stage, vacuum capability

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Materials Characterization

Materials Characterization
UMN
900203

Siemens

U.
Minnesota

Rapid XRD

UMN
900204

Bruker

D8

U.
Minnesota

SAXSess

UMN
900205

Anton Paar

SAXSess

U.
Minnesota

Scintag

UMN
900206

Scintag

XDS 2000

U.
Minnesota

D500

UMN
900207

Siemens

D500

U.
Minnesota

X'Pert

UMN
900208

Panalytical

X'Pert MRD

U.
Minnesota

FTIR

UMN
900209

Thermo
Nicolet

Magna750

U.
Minnesota

SPM1

UMN
900210

Nanoscope III /
Multimode

U.
Minnesota

SPM2

UMN
900211

Digital
Instruments
and H
Digital
Instruments

U.
Minnesota

SPM3

UMN
900212

Molecular
Imaging

Picoscan /
PicoSPM

Nanoscope III /
Multimode

Anexo 3

Siemens point focus


WAXS with 2d hi-star
detector, temp control
Bruker point focus
WAXS with 2d hi-star
detector, surface
mapping
Kratky style
SAXS/WAXS system,
temp control
Scintag theta/theta
style wide angle x-ray
scattering with temp
control
Siemens theta/twotheta style wide angle
x-ray scattering
The Philips XPert
Diffractometer is a
theta/two-theta hi-res
WAXS.
Nicolet Series II
Magna-IR System 750
FTIR
Scanning Probe
Microscope with
Hysitron TriboScope.
Digital Instr. SPM
closed liquid cell with
tapping
Molecular Imaging
SPM controlled RH, T,
electrochem.; open
liquid cell; pulsed f

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

157

Continuacin Tabla 30
U.
microdiffractometer
Minnesota

Anexo 3

158

Continuacin Tabla 30
U.
Ion beam analysis: pelletron
Minnesota
tandem accelerator with
analytical endstation

UMN
900213

NEC and
Charles
Evans

MAS 1700
5SDH / RBS
400

U.
Minnesota

SPM4

UMN
900216

Molecular
Imaging

PicoPlus

U.
Minnesota

Sopra ES-4-G Ellipsometer

UMN
Char01

Sopra

ES-4-G

U.
Minnesota
U.
Minnesota

Hysitron Triboindenter

UMN
Probe02
UMN
Probe03

Hysitron

Triboindenter

MTS

NanoIndenter

Performs indentation
tests using diamond
indenter and
dynamically collecting
app

U.
Minnesota

Micro-Mechanical Tester

UMN
Probe04

MMT

Surface probe w/
diamond indenter

U. New
Mexico

Parker Scientific
Instruments AP-0100
Atomic Force Microscope
JEOL JSM 5800 SEM

UNM
AFM01

U. New
Mexico
U. New
Mexico
U. New
Mexico
U. New
Mexico

NanoIndenter XP

JEOL JSM 6400 SEM


Hitachi S5200 Nano SEM
JEOL 2010 Transmission
Electron Microscope

UNM
SEM01
UNM
SEM03
UNM
SEM04
UNM
TEM01

RBS, PIXE,
FReS/ERDA,
NRA/PIGE,
channeling
Environmental SPM
(RH, T, electrochem),
digital pulsed force
mode, conducting A
Used to determine
parameters of thin
layers such as
polymer films

Remotely controllable via UltraVNC: software,


specimen camera view, web cam

250-900 nm wavelength; thickness and


refractive index for each layer in multilayer
structure may be determined; software for
multilayer modeling is available.

Material properties derived from load and depth


data; specimens typically smooth and flat;
hardness, elastic modulus, and phase angle
data produced can be exported into Excel; test
positions targeted using optical imaging system
with 250X display.
Piezoelectric transducer drives indenter into
sample surface; load, depth of penetration, and
displacement data continuously collected.

Parker
Scientific
Instrum
JEOL

AP-0100

JSM 5800

Tungsten emitter

JEOL

JSM 6400

Field Emission

Hitachi

S5200

Low voltage, high resolution FESEM

JEOL

2010

High resolution

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Materials Characterization

Materials Characterization
Continuacin Tabla 30
U. New
JEOL 2010F FASTEM
Mexico
ScanningTransmission
Electron Microscope
U. Texas
Nano Spec "A"
Austin
U. Texas
Austin
U. Texas
Austin

Nano Spec "B"


Nano Spec / AFT

UNM
TEM02

JEOL

UT Nano
Spec

Nanometrics

UT Nano
Spec
UT Nano
Spec/AFT

Nanometrics
Nanometrics

2010F

STEM

4150

159

Site

Tool Name

U.
Washington

Veeco Bioscope AFM

bioscope

Veeco

Bioscope

Cornell
Cornell

Wkyo HD-3300
FIB-FEI Focused Ion
Beam
Hitachi S4700 Field
Emission SEM
DI 3100 AFM

CNF 1501
CNF 403

WYKO
FEI

HD-3300
611

CNF 503x

Hitachi

S4700

CNF 505

3100

Supra 55 VP Field
Emission SEM
Olympus BX60
Fluorescence
Microscope
P10 Profilometer
Dektak 3030
Profilometer

CNF 506

Digital
Instruments
Veeco
Zeiss

cnf xxy

Olympus

BX60

CNF z
GT 117

KLA Tencor
Dektak

P10
Dektak 3030

Cornell
Cornell

Cornell
Cornell

Cornell
Georgia
Tech

Tool ID

Device and Structure Characterization


Tool Mfg
Tool Model
Tool Desc

Supra 55 VP

Anexo 3

Veeco Bioscope can


combine with Zeiss confocal
Microscope for in situ force
and

Tool Comment
AFM,LFM, Phase Imaging

Field Emission SEM

Ultra high resolution Field


Emission SEM

Variable pressure for charge compensation

up to 6" wafer; 15mm thickness

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Fuente: NNIN (2007)

Anexo 3

Continuacin Tabla 30
Georgia
Ernest Fullam
Tech
SputterCoater
Georgia
Focus Center
Tech
Microscope
Georgia
Hitachi 3500H SEM
Tech
Georgia
Jeol 6400 SEM
Tech
Georgia
Olympus / C Squared
Tech
Vanox Microscope
Georgia
Olympus Inspection
Tech
Microscope
Georgia
Olympus Video
Tech
Microscope

160

Georgia
Tech
Georgia
Tech
Georgia
Tech
Georgia
Tech
Georgia
Tech
Georgia
Tech
Georgia
Tech
Harvard U.
Harvard U.
Howard U.

GT 125

Ernest Fullam

EFFA

Gold Coater for SEM sample preparation

GT 127

Olympus

SZH-001

Standard top source microscopes.

GT 132

Hitachi

S-3500H

GT 134

JEOL

6400

Part of mask shop.

GT 154

Olympus

VANOX-T

GT 155

Olympus

GT 160

Olympus

Highlight
2000
BH2

4" sample inspection microscope with video


capture
Standard top source microscopes.

Signatone Probe
Station
Tencor Alpha-Step
Profilometer
Tencor KLA
Profilometer
Veeco AFM

GT 174

Signatone

SYS-301

GT 180

Tencor

GT 181

Tencor

Alpha Step
500
P-15

GT 195

Veeco

Veeco Dektak
Profilometer
Veeco Instruments,
Ltd. Four Point Probe
Wyko Profilometer

GT 196

Veeco

DIMENSION
3100
3030

GT 197

Veeco

FPP-5000

GT 202

Veeco

252

Optical Microscope
w/CCD Camera
Optical Microscope
w/CCD Camera
Tektronic 370A
Programmable Curve
Tracer

Har 021

Nikon

Har 022

Nikon

Eclipse
ME600L
43300-522

HOW 715

Tektronic

370A

Standard top source microscope with image


capturing capabilities and picture
manipulation.
2-6" wafer; resistive properties
measurements
Contact profilometer for all size and shape
wafers.

one 4" wafer, contact profilometer


2-6" wafer; resistive properties
measurements

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Device and Structure Characterization

Device and Structure Characterization

161

HOW 716

HewlettPackard

HP4145B

HOW 717

HewlettPackard
HewlettPackard
HewlettPackard

4192A

HOW 720

HewlettPackard

4140B

MS 1
MS 2

Zeiss
Olympus

N/A
BX51M

PB 1

Signatone

S-1160

Harvard U.
Harvard U.

Signatone Probe
Station
Tencor Profilometer
Veeco Optical Profiler

PL 1
PL 2

Tencor Instr.
Veeco

a-Step 2000
NT1100

Harvard U.

Veeco Profilometer

PL 3

Veeco

Detak 6M

Penn State
U.
Penn State
U.
Penn State
U.
Penn State
U.

Jendel Four Point


Probe
Keithley 236 IV
Station
Keithley 236 IV
Station
Keithley236 IV Station

PSU 017

Jendel

PSU 020

Keithley

236

PSU 021

Keithley

236

PSU 022

Keithley

236

Harvard U.

HOW 718
HOW 719

3577A
4280A

Wyko-NT1100 is a noncontact optical profiling


system that provides high
resolut
Dektak 6M profilometer
measures the surface
topography
electromechanically by mo
Four Point Probe Station

Anexo 3

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Continuacin Tabla 30
Howard U.
HP 4145B
Semiconductor
Parameter Analyzer
Howard U.
HP 4192A Impedance
Analyzer
Howard U.
HP 3577A Network
Analyzer
Howard U.
HP 4280A
Capacitance meter/ CV Plotter
Howard U.
HP 4140B PicoAmmeter DC Voltage
Source
Harvard U.
Zeiss Microscope
Harvard U.
Olympus Microscope

Anexo 3

162

Continuacin Tabla 30
Penn State
Keithley 590 Analyzer
U.
Penn State
Leica/Leo 440 SEM
U.
Penn State
Leo 1530 FESEM
U.
Penn State
Micromanipulator
U.
Probe Station
Penn State
Nanometrics
U.
Nanospec
Penn State
Tencor AS500
U.
Profilometer
Penn State
Leica Optical
U.
Microscope #1
Penn State
Leica Optical
U.
Microscope #2
Penn State
Leica Optical
U.
Microscope #3
Penn State
JEOL STM
U.
Microscope
Penn State
JEOL AFM
U.
Microscope
Penn State
JEOL FESEM
U.
Microscope
Penn State
Witec NSOM
U.
Microscope
Penn State
Witec Confocal
U.
Raman Microscope
Penn State
Witec Confocal
U.
Fluorescence
Microscope
Penn State
Witec AFM
U.
Microscope
Penn State
Hewlett Packard
U.
4145B Analyzer

PSU 023

Keithley

590

PSU 027

Leica

5440

Scanning Electron Microscope

PSU 028

Leo

1530

Field Emission Scanning Electron


Microscope

PSU 030

7000

PSU 035

Micro
Manipulator
Nanometrics

PSU 042

Tencor

AS500

PSU 048

Leica

Ergolux

PSU 049

Leica

Ergolux

PSU 050

Leica

Leitz MIS

PSU 055

JEOL

Scanning Tunneling Microscope

PSU 056

JEOL

Atomic Force microscope

PSU 057

JEOL

Field Emission Scanning Electron


Microscope

PSU 060

Witec

CRAFM1

PSU 061

Witec

CRAFM2

PSU 062

Witec

CRAFM3

PSU 063

Witec

CRAFM4

PSU 065

Hewlett
Packard

4145B

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Device and Structure Characterization

Device and Structure Characterization


Continuacin Tabla 30
Penn State
Hewlett Packard
U.
4284AR Meter LCR
Penn State
Tencor Alpha Step
U.
500 Profilometer keck)
Stanford
CNRI Inspection
Microscope
Stanford
Hitachi 4160 SEM
Stanford
Hitachi S-800 SEM

PSU 066
PSU 086

Hewlett
Packard
Tencor

4284AR

Leica

Alpha Step
500
INM-200

Hitachi
Hitachi

4160
S-800

Hitachi

S-2400

SEM
FESEM

UCSB 702

FEI

Sirion

field emittor SEM

Dektak

UCSB 703

Dektak

IIA

profilometry

Microscope #1

UCSB 704

Olympus

BHM

Microscope #2

UCSB 705

Olympus

BHM

U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara
U.
Minnesota
U. Michigan

Microscope #3

UCSB 706

Olympus

BHM

Microscope #4

UCSB 707

Nikon

Optiphot-200

Microscope #5

UCSB 708

Nikon

Optiphot-200

Probe Station

UCSB 709

H150

Scanning Probe
Microscope
Focused Ion Beam
System
Alessi 3200 probe
station
HP4145
Keithley 4200-SCS

UCSB 710
UM900090

Lucas
Signatone
Digital
Instruments
FEI

UMI 809

Alessi

Quanta 200
3D
3200

UMI 810
UMI 811

HP
Keithley

4145
4200-CS

U. Michigan
U. Michigan

IIA

Anexo 3

Semiconductor parameter analyzer


Semiconductor parameter analyzer

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

163

U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara
U. C. Santa
Barbara

SNF cnriscope
SNF sem4160
SNF
semhitachi
UCSB 701

Anexo 3

Continuacin Tabla 30
U. Michigan
JEOL JSM-840 SEM

UMI 812

Jeol

JSM-840

Zygo Phase
Interference
Microscope
Tencor P-10 Surface
Profiler

UMN Char02

Zygo

5700

UMN Probe05

KLA-Tencor

P-10

U.
Minnesota

JSM 6500F FEG-SEM

UMN SEM01

JEOL

6500

Field-emission gun Scanning


electron microscope

U.
Minnesota

JSM 6700 F FEGSEM

UMN SEM02

JEOL

6700

U.
Minnesota

Electroscan ESEM

UMN SEM03

Phillips

Electroscan

cold field-emission gun


Scanning electron
microscope
scanning electron
microscope

U.
Minnesota

Hitachi S-4700 FEGSEM

UMN SEM04

Hitachi

S-4700

U.
Minnesota

Hitachi S-900
FEG_SEM

UMN SEM05

Hitachi

S-900

U.
Minnesota
U.
Minnesota
U.
Minnesota

JEOL 1200 TEM

UMN TEM01

JEOL

1200-EX II

JEOL 1210 TEM

UMN TEM02

JEOL

1210

FEI Tecnai T12

UMN TEM03

FEI

T12

U.
Minnesota
U.
Minnesota

Used to measure surface


topography and micro
geometry of surfaces
surface profiler

164

Cold Field Emission Gun


Scanning Electron
Microscope
Field Emission Gun
Scanning Electron
Microscope
transmission electron
microscope
transmission electron
microscope
transmission electron
microscope

Uses interference of laser illumination to


determine height of each point in image
Measures roughness, waviness, step height,
and other surface characteristics in a variety
of applications.
equipped with energy dispersive
spectrometer (EDS); operates at 0.5 to 30 kV
with ultimate resolution of 1.5 nm, and
magnification 10x to 400,000x
operates at 0.5 to 30 kV with ultimate
resolution of 1.0 nm, and a magnification 10x
to 700,000x
ultimate resolution of 5 nm, operating
chamber pressure range of 10-5 to 20 torr;
operates in air, water vapor, or other gases;
samples do not require coating; dynamic
experiments may be recorded on VCR
capable of 1.5 nm resolution at 15 kV, 12 mm
W.D.; 2.5 nm resolution at 1 k, 2.5 mm W.D.;
magnification ranges from 30X to 500,000X
capable of 0.8 nm resolution at 30 kV and 34 nm resolution at 1 kV; magnification ranges
from 100 X to 800,000X
reliable, user friendly TEM for biological and
materials sciences applications
optimized for high contrast imaging at low to
moderate magnifications (<100,000X)
operating voltage range 20 to 120 kV;
analytical capabilities: energy dispersive
spectroscopy (EDX), video camera allowing
magnifications of thin samples (<500 nm) up
to 700,000 times.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Device and Structure Characterization

Device and Structure Characterization


Continuacin Tabla 30
U.
FEI Tecnai G2 30
Minnesota

FEI

G2 30

UNM ALPS01

Tencor

UNM ELP01

Gaertner

Alpha step
500
L125B

UNM MIC01

Leitz

Ergolutz

UNM MIC02

Leitz

HD-Lux

UNM MIC03

Nikon

UM-2

UT AlphastepTencor
UT Digital
Instruments
505811

Tencor
Instruments
Digital
Instruments

AS500

JEOL

JEOL 6400

Laserrec

1LM21H

Veeco

Multimode

field-emission gun
transmission electron
microscope

operating voltage range 50 to 300 kV; highbrightness, high coherency gun; analytical
capabilities: energy dispersive spectroscopy
(EDX), CCD camera allowing magnifications
of thin samples (<500 nm) up to >1 million
times.
Metrology thickness measurements

U. New
Mexico
U. New
Mexico
U. New
Mexico
U. New
Mexico
U. New
Mexico
U. Texas
Austin
U. Texas
Austin

Tencor Alpha step 500


Alpha Step
Gaertner L125B
Ellipsometer
Leitz Ergolutz
Microscopes
Leitz HD-Lux
Microscopes
Nikon UM-2
Microscopes
Alphastep-Tencor

U. Texas
Austin
U. Texas
Austin

JEOL SEM 6400

U.
Washington

DI Multimode AFM

UT JEOL
6400
UT Laser
Microscope
1LM21H
UW

U.
Washington

Dimension 3100

UW

Veeco

Dimension
3100

AFM, LFM, MFM, and Force Measurement

U.
Washington

Leica DMIRB Optical


Microscope

UW

Leica

DMIRB

Inverted optical microscope

Digital Instruments
AFM

Laser Microscope

Metrology thickness measurements

Nanoscope
IIIa

Anexo 3

The digital AFM, operated


with a Nanoscope IIIa
controller, can perform the
full

AFM,MFM, STM

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

165

UMN TEM04

Anexo 3

Continuacin Tabla 30
U.
Renishaw Raman
Washington
Confocal Microscope
U.
Sirion
Washington
SEM/EDAX/Nabity
system
U.
Zeiss LSM 510
Washington
Confocal Microscope
Harvard U.
Wire Bonder

Site

166

Cornell
Georgia
Tech
Georgia
Tech
Georgia
Tech
Georgia
Tech
Georgia
Tech
Georgia
Tech
Georgia
Tech
Howard U.
Howard U.
Harvard U.

UW

Renishaw

Renishaw

UW

FEI

Sirion

Field Emission SEM

UW

Zeiss

LSM 510

Confocal Microscope

WB 1

West Bond

Tool Name

N/A
Fuente: NNIN (2007)

Tool ID

Other
Tool Mfg

Tool
Model

Tool
Desc

Tool Comment

EV501 EVG Wafer Bonder


Gasonics Asher

CNF 603
GT 130

Electronic Visions EVG


GaSonics

501
Aura 1000

Heraeus Vacuum Oven

GT 131

Kendro Laboratory

50054909

Plating Station Au

GT 166

Stewart Technologies

NSN

Etch Photoresist, Descum; max 4"


samples
Vacuum Curing; Independently
heating shelves
up to 4" wafers

Plating Station Cu

GT 167

Stewart Technologies

NSN

up to 4" wafers

Plating Station Ti

GT 168

Stewart Technologies

NSN

up to 4" wafers

Samco UV Ozone Dry Stripper

GT 170

Sam Co.

UV-1

one 4" wafer

VWR Oven

GT 199

VWR

1410D

equipped with N2 purge

Micromech Manufacturing Corp. T.S.M


Wafer Dicing Machine
SS White Sand Blaster
Ion Miller

HOW 901

Micromech
Manufacturing C
SS White
Commonwealth
Scientific

T.S.M

HOW 902
IM-1

K
N/A

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Device and Structure Characterization

Other
Continuacin Tabla 30
NCSU
March Asher
NCSU
RIE: Plasma therm
NCSU
RIE: Semigroup
Stanford
Tousimis Critical Point Dryer
Stanford
Varian 350-D Ion Implanter
Kulicke and Soffa Model 776 Wafer Saw
Thermco 9K furnace A1
Thermco 9K furnace A2
Thermco 9K furnace A4
JetFirst 150
Leybold

March Instruments
Plasma Therm
Semi Group
Tousimis
Varian

PM-600
SLR 720
1000TP
915B
350-D

Kulicke and Soffa


Thermco
Thermco
Thermco
JetFirst
Leybold

776
9K
9K
9K
150
L-560

Fuente: NNIN (2007)

167
Anexo 3

for resist removal


for resist trim; film etch
for film etch

Sintering
Boron deposition
Boron drive in
Rapid Thermal Processes

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Stanford
U. Michigan
U. Michigan
U. Michigan
U. Michigan
U. Texas
Austin

NCSU 026
NCSU 037
NCSU 038
SNF cpd
SNF
implanter350
SNF wafersaw
UMI 200
UMI 201
UMI 202
UMI 208
UT Leybold

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

168

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Anexo N 4.
Internacionales



Empresas

Centros

de

Investigacin

Empresas Nanotecnolgicas.
Centros e Instituciones Especializadas en Investigar Nanotecnologa.

Los centros de investigacin especializados en nanotecnologa se presentan a continuacin.


Laboratorio de Fsica de Sistemas Pequeos y Nanotecnologa Consejo Superior de
Investigaciones Cientficas. (CSIC)
Microscopa de Proyeccin. Microscopa electrnica de barrido de baja energa (300 eV). Desarrollo
integrado de microscopa tnel (STM), de microscopa de fuerzas (AFM) y de microscopa ptica de
campo cercano (SNOM). Caracterizacin de nanocuellos (nanowires). Ferroelctricos. Deteccin de
objetos en medios turbios en el cual se utiliza un lser pulsado. Caracterizacin de dispositivos
matriciales de emisin de campo - silicio poroso. Magnetorresistencia en nanocontactos balisticos.
Experimentos con resolucin temporal de interferencia de fotones (articulo) Estudio de bandas
fotnicas prohibidas en nanoestructuras. Conductividad a travs de pequeos contactos y
estructuras de baja dimensionalidad. Dinmica cuntica de sistemas magnticos pequeos
Grupo de Nanoestructuras Carbonosas y Nanotecnologia
Los objetivos de investigacin de este grupo son: Sntesis de nanotubos de carbono de una manera
controlada, sntesis de nanocomposites basados en nanotubos de carbono y desarrollo de
aplicaciones.
Entre las investigaciones llevadas a cabo: Produccin de nanotubos de carbono de una y mltiples
capas por arco elctrico, CVD y lser. Purificacin, tratamientos y funcionalizacin de nanotubos de

169

Anexo 4

Centros de investigacin en nanotecnologa.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

carbono. Desarrollo de dispersiones con nanotubos. Sntesis de composites con nanotubos para
aplicaciones optoelectrnicas (polmeros conductores) y para reforzamiento mecnico (polmeros
termoplsticos, polmeros cristal lquido, cermicas). Sntesis de fibras de nanotubos de carbono por
coagulacin y por electroespinning. Uso de nanotubos de carbono en sistemas de almacenamiento
de energa (supercondensadores y bateras), como sensores de gases y vapores. Encapsulamiento
de nanopartculas metlicas en nanoestructuras carbonosas. Estudio de propiedades de los
materiales obtenidos.
Caracterizacin: Microscopa electrnica, AFM, Estudios de TGA, ICP, anlisis de la porosidad,
espectroscopias FTIR, UV-vis, fluorescencia, anlisis elemental. Medidas de conductividad.
Tcnicas: Arco elctrico, CVD, lser, sistema de coagulacin, sistema "electrospinning", spin-coater,
punta de ultrasonidos de intensidad variable.
URL: http://www.icb.csic.es/nanotubos/first.html
Institut de Biologa Molecular de Barcelona
Ramon Eritja y Beatriz G. de la Torre, investigadores del Instituto de Biologa Molecular de Barcelona
(IBMB-CSIC), en colaboracin con un equipo del Departamento de Nanociencia de la Universidad de
Delft (Pases Bajos), dirigido por Cess Dekker, consiguieron por primera vez ligar nanotubos de
carbono con ADN.
Anexo 4

Instituto Universitario de Investigacin en Nanociencia de Aragn (INA); Universidad de


Zaragoza.
Nanomagnetismo, Materiales Nanomtricos y Moleculares, Membranas Nanoporosas y Catlisis,
Nanomateriales Funcionales Orgnicos, Nanobiosistemas, Nanoestructuras y Microscopa,
Nanoestructuras De Carbono, Aplicaciones Biomdicas.
Otras reas: -Electrnica de espn (espintronic), -Nanopartculas, nanofases y mesofases autoorganizadas. -Matrices nanomtricas -Bioingeniera y aplicaciones biomdicas. Oferta de servicios
cientficos, tcnicos u otros que se ofrecen: Laboratorio de crecimiento de nanoestructuras;
Laboratorio de caracterizacin y manipulacin de nanosistemas, Laboratorio de Litografa.
Laboratorio de sntesis de nanopartculas y nanosistemas moleculares; -Laboratorio de
caracterizacin fsico-qumica; Laboratorio de bioqumica y biomedicina.
Instituto de Sistemas Optoelectrnicos y Microtecnologa (ISOM) Universidad Politcnica de
Madrid.
Los sistemas tecnolgicos del ISOM permiten la fabricacin de materiales, su procesado
tecnolgico, y la obtencin de dispositivos y estructuras integradas de tipo electrnico, ptico,
optoelectrnico y magntico. El ISOM posee capacidad para fabricar y desarrollar diodos lser para
instrumentacin, medio ambiente y comunicaciones pticas; transistores de microondas para alta
potencia y alta temperatura; detectores de infrarrojo de aplicaciones civiles y militares; sensores
magnticos para un amplio abanico de aplicaciones y filtros SAW de RF para sensores y telefona
mvil. Su mbito dimensional cubre tanto la escala micromtrica como, recientemente, la

170

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

nanomtrica, gracias a su sistema de litografa por haz de electrones. Bajo una concepcin
pluridisciplinar cientfica y tecnolgica, es Laboratorio de Certificacin y Calibracin de calidad y
fiabilidad de procesos tecnolgicos, componentes y subsistemas optoelectrnicos, y de sensores.
rea de Fsica Terica y Materia Condensada Universidad Autnoma Metropolitana (Mxico).
Densidad de Niveles en Ncleos y Nanoestructuras; Superredes y Nanoestructuras; Fundamentos
de la Electrodinmica Clsica y Cuntica; akb@correo.azc.uam.mx
El Institute for Cell Mimetic Space Exploration (CMISE)

Crear los sistemas mimticos de la clula que consisten en sensores que actan a nanoscala, y las
fuentes de energa
Descubrir algoritmos en el procesamiento de la informacin a multiscala.
Desarrollar tecnologas escalables para la investigacin del espacio, la supervisin de salud del
astronauta, y la gestin de recursos biolgicos del vehculo en el espacio.
Educar y estimular las generaciones futuras de los ingenieros aerospaciales y los cientficos con un
interdisciplinario programa de formacin.
Promover y comercializar nano -, bio -, y las tecnologas de informacin en la colaboracin con su
socio industrial
Center for Nanoscopic Materials Design, Virginia University
Este centro define nuevas direcciones en el diseo a nanoescala y el control del auto - ensamblaje
epitaxial semiconductor de puntos cunticos (quantum dots) mediante nuevos algoritmos para la
comprensin y el control del acoplador de la orden de la gama corta, media y larga en ests
estructuras.
Los programas de investigacin estn integrados con programas de extensin y formacin.
El centro incentiva la colaboracin activa con la industria, el gobierno y universidades
Princeton Center for Complex Materials (PCCM)
Existe desde 1994 y es un centro apoyado por la National Science Foundation y el Materials Science
and Research Center (MRSEC) en la Princeton University dedicado a superar las fronteras ms
complejas en la ciencia de los materiales. El. PCCM rene ms de 30 profesores de seis
departamentos ligados a ciencias e ingeniera. El PCCM tiene normalmente tres proyectos y varios
proyectos semilla: Interaccin del magnetismo y del transporte en materiales electrnicos
correlacionados Autoensamblaje dirigido.

171

Anexo 4

Tiene en su visin identificar, desarrollar, promover, y comercializar nano-, bio-, y tecnologas de la


informacin para detectar, el control, y la integracin de sistemas naturales y artificiales de niveles
mltiples y complejos.
Para cumplir su visin el CMISE lleva a cabo:

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Center on Polymer Interfaces and Macromolecular Assemblies (CPIMA)


Centro apoyado por la National Science Foundation y producto de la asociacin entre Stanford
University, IBM Almaden Research Center, la University of California - Davis y la University of
California - Berkeley. El CPIMA se dedica a la investigacin bsica de interfaces encontrados en
sistemas que contienen polmeros y bajo peso molecular de anfifilos. La investigacin dentro del
CPIMA es llevada cabo por tres grupos interdisciplinares:
Aplicacin y sntesis de materiales nanoestructurados
Dinmica y estructura de sistemas confinados.
Anualmente se establecen nuevos programas.
Los resultados tangibles de la investigacin en CPIMA estn relacionados con los sensores qumicos
y biolgicos, las nanoestructuras para la microelectrnica, la lubricacin y la adherencia.
MRSEC de la Johns Hopkins University (JHU)

Anexo 4

Es uno de los 26 centros apoyados por la National Science Foundation, y est compuesto pro
cientficos de la propia JHU, la Brown University, y el National Institute of Standards and Technology
(NIST). La investigacin de este Centro se centra en nanoestructuras hechas a partir de nuevos
materiales que poseen notables propiedades magneto electrnicas (nanowires, magnetic
nanowires...).. El JHU MRSEC lleva a cabo programas de formacin y extensin universitaria en el
rea de investigacin de materiales.
Directed Assembly of Nanostructures (NSEC)
Tejidos con propiedades especiales, biosensores que detectan productos qumicos peligrosos;
Cristales fotnicos para filtros pticos; Compuestos funcionales cermicos o polmeros para
implantaciones biomdicas... son algunos de los nuevos productos que pueden resultar de las
investigaciones que lleva acabo en la actualidad este centro con el apoyo de la National Science
Foundation (NSF).
Forma parte de la U.S. National Nanotechnology Initiative y sus programa se ubica dentro del
Rensselaer Nanotechnology Center (RNC) y forma una sociedad entre Rensselaer, la University of
Illinois at Urbana-Champaign (UIUC), y Los Alamos National Laboratory (LANL). Rensselaer y otros
finalistas (Harvard, Columbia, Cornell, Northwestern, and Rice) fueron seleccionadas de entre ms
de 100 proyectos altamente competitivos.
Center for Materials Science and Engineering MIT
El CMSE del MIT es uno de los principales centros de investigacin en Estados Unidos en ciencia e
ingeniera de materiales apoyado por la National Science Foundation (NSF) desde 1994.
El CMSE tiene como especial misin fomentar la investigacin y la formacin interdisciplinar en la
ciencia e ingeniera de materiales para aplicaciones especficas. El CMSE no solamente promueve la
colaboracin entre el profesorado del MIT de diferentes disciplinas, sino tambin con investigadores

172

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

de otras universidades, la industria, el gobierno y laboratorios sin nimo de lucro. Entre estos grupos
caben destacar los siguientes: Microphotonic Materials and Structures; Nanostructured Polymer
Assemblies; Electronic Transport in Mesoscopic Semiconductor and Magnetic Structures; Science
and Engineering of Solid-State Portable Power Structures;
Nanoscale Science and Engineering Center, Harvard University
El NSEC es producto de la colaboracin entre varias universidades de prestigio: Harvard University,
Massachusetts Institute of Technology (MIT), University of California - Santa Barbara y el Museum of
Science, Boston) tambin participan universidades de otros pases (Delft University of Technology
(Holanda), University of Basel (Suiza), University of Tokyo (Japn), y Brookhaven, Oak Ridge y
Sandia National Laboratories.El NSEC combina aproximaciones top down y bottom up para
disear dispositivos electrnicos y magnticos a nanoescala, para entender su comportamiento, que
incluyen los fenmenos cunticos. A travs de la integracin de la investigacin, la formacin y la
extensin universitaria, el centro anima y promueve el entrenamiento de diversos grupos de
personas para ser lderes en este nuevo campo interdisciplinario. El NSEC est apoyado por la
National Science Foundation.

Fundado en el 2001, el "nanocentro" destac por su experiencia en la sntesis qumica para disear
estructuras moleculares. Estos programas de investigacin tienen potenciales impactos en
electrnica, fotnica, biologa, neurociencia y medicina.
Center for Electron Transport in Molecular Nanostructures, Columbia University
El objetivo de Nanocentro de la Universidad de Columbia es desarrollar nuevos paradigmas para el
procesamiento de la informacin en el cual se utiliza las caractersticas del transporte del electrn en
Nanoestructuras moleculares.Fundado en el 2001, el "nanocentro" destac por su experiencia en la
sntesis qumica para disear estructuras moleculares. Estos programas de investigacin tienen
potenciales impactos en electrnica, fotnica, biologa, neurociencia y medicina.
NSF Nanoscale Science and Engineering Center (NSEC), Northwestern University
El NU-NSEC rene prestigiosos expertos de diversas universidades (Northwestern University,
University of Chicago, University of Illinois/Urbana-Champaign, and Argonne National Laboratory)
quienes disean herramientas y mtodos sintticos que contribuyen con avances en el desarrollo de
tcnicas avanzadas en nanopatrones y sensores a nanoescala. Se prev que el potencial de las
aplicaciones para sistemas de deteccin de enfermedades o productos biolgicos - qumicos sea
enorme. Est lnea de investigacin tambin tendra impactos directos en nuevos enfoques
tecnolgicos (electrnica molecular, catlisis, almacenamiento de informacin y teraputicas).

173

Anexo 4

El objetivo de Nanocentro de la Universidad de Columbia es desarrollar nuevos paradigmas para el


procesamiento de la informacin en el cual se utiliza las caractersticas del transporte del electrn en
Nanoestructuras moleculares.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

National Aeronautics and Space Administration (NASA) - Nanotechnology


La NASA impulsa programas en nanotecnologa para facilitar y mejorar el rendimiento de futuras
misiones espaciales. Sus lneas de investigacin, programas y bsqueda de potenciales
aplicaciones son muy diversas y centradas en sensores, nanoelectrnica y computacin y materiales
funcionales. Por ejemplo: sensores ultrapequeos, fuentes de energa, comunicacin, navegacin,
sistemas de propulsin con muy baja masa y consumo energtico; nuevos avances en electrnica y
computacin capaces de reconfigurar o reprogramar una nave espacial, mediciones, anlisis de
superficies, etc. etc.
Center for Biological and Environmental Nanotechnology (CBEN), Rice University
El Objetivo del CBEN es transformar la nanotecnologa en una herramienta para resolver problemas
del mundo real. Su investigacin se centra en desarrollar la nanociencia en in interface "hmedo /
seco". Explora interfaces entre nanomateriales y sistemas acuosos en mltiples escalas: interaccin
con solventes, biomolculas, clulas organismos completos y medio ambiente.
El Centro desarrolla tambin diversos programas educaciones destinados a la formacin de recursos
humanos en este campos a diversos niveles (Extensin universitaria, cursos, tutoras, semestres
sabticos, cursos de verano, etc...).El centro apoya las conexiones con la industria, y concede
especial importancia a compaas "startup" que se basan en desarrollos de alta tecnologa.
Anexo 4

Center for Nanoscale Science and Technology (CNST), Rice University


El CNST es un centro universitario dedicado a impulsar la ciencia y la tecnologa a escala
nanomtrica. Pone su nfasis tanto en la formacin de futuros cientficos como ingenieros. Su misin
es proporcionar un lugar de encuentro donde investigadores de todas las disciplinas de la ciencia y
la ingeniera puedan debatir sus ideas y puntos de vista sobre la nanociencia, nanoingeniera y la
nanotecnologa.
El CNST proporciona apoyo administrativo al profesorado para proyectos y programas conjuntos,
apoya iniciativas de investigacin conjuntas, moviliza fondos para seminarios y conferencias, anima
y apoya el emprendimiento, incentiva colaboraciones internas y externas, y apoya proyectos
formativos dirigidos a la infancia o a la formacin adulta.
The Center for NanoTechnology (CNTech) University Wisconsin Madison
El CNtech es un centro de investigacin interdisciplinar del College of Engineering en la University of
Wisconsin-Madison. TEl centro fue creado en 1984 para aprovechar el nuevo "anillo de
almacenamiento de electrones" Aladdin, recientemente concedido por el Synchrotron Radiation
Center. La radiacin emitida por este acelerador - rayos x dbiles -importantes para aplicaciones
tcnicas en el sector de la fabricacin de semiconductores. El CNTech se estableci para facilitar el
desarrollo de este tipo de tecnologa y su transferencia a las industrias de los Estados Unidos.El
Centro ha trabajado con muchas industrias desde su puesta en marcha. Es un centro de excelencia
avalado por el SRC (Semiconductor Research Corporation) y ha contratado proyectos o

174

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

colaboraciones con las ms importantes empresas fabricantes de chip (IBM, AT&T, Motorola, Digital
Equipment Corp, AMD, Intel).
Center for Biologic Nanotechnology, University of Michigan Medical School
El Center for Biologic Nanotechnology trata de descubrir y aplicar nuevos materiales a nanoescala
para el sistema biolgico. El objetivo ltimo es desarrollar nanomateriales para usos en seres
humanos, fundamentalmente en beneficio de la salud.
Se parte de un especial nfasis dirigido a las aplicaciones de la nanotecnologa en el campo de la
biologa. Una comprensin de las propiedades de los materiales y sus aplicaciones biolgicas
potenciales, as como la habilidad de sintetizar y caracterizar estas sustancias exige de un nico
medio. La Universidad de Michigan es una de las pocas instituciones educativas en los Estados
Unidos que tiene expertos en ciencias de la fsica, biologa y de los materiales junto con un centro de
investigacin clnico, esencial para el xito de los cometidos. Se parte de que slo una combinacin
de expertos y de infraestructuras puede llevar a cabo experimentacin de nanomateriales biolgicos.

El National Institute for Nanotechnology es una institucin multidisciplinar que integra investigadores
de muy diversos campos: fsicos, qumicos, ingenieros, bilogos, informticos y especialistas en
farmacia y medicina. Fundado en 2001 opera como consecuencia de una asociacin entre el
National Research Council y la University of Alberta, y fue conjuntamente fundado pro el Gobierno
de Canad, el gobierno de Alberta y la universidad.
Sus investigadores centran su atencin en el mundo de los tomos y las molculas a una escala
nanomtrica. Su principal foco de investigacin es la integracin de nano dispositivos y materiales
dentro del complejo nanosistema que est conectado a nuestro mundo. A largo plazo, el objetivo es
descubrir "reglas de diseo" para la nanotecnologa y desarrollar plataformas para la construccin de
nanosistemas y materiales que pueden ser construidos y programados para una particular
aplicacin.
The Center for Nanotechnology at the University of Washington
El centro dirigido por los profesores David Castner y Francois Baneyx, se impuls con el apoyo de la
University of Washington en 1997. En la actualidad lo componen ms 55 profesores miembros de los
departamentos de Qumica, Fsica, Bioingeniera, Ingeniera Qumica, Ingeniera Elctrica, Ciencia
de los Materiales, Bioqumica, Ciencias del Genoma, Fisiologa, Biofsica y Microbiologa. Su Ph. D.
Program en Nanotechnology se fund por la National Science Foundation' que integra los Programas
Graduate Education and Research Traineeshi. Ofrecen un opcional Ph.D. Program in
Nanotechnology, el primero en su clase en Estados Unidos, que proporciona a los estudiantes
graduados una excelente formacin interdisciplinar en nanotecnologa y ciencia a nanoescala. En la
Universidad de Washington hay otros centros que juegan un papel importante en telas de
Nanotecnologa: University of Washington Engineered Biomaterials (UWEB); Microscale Life Science
Center (MLSC); Center for Materials and Devices for Information Technology Research (MDITR); etc

175

Anexo 4

National Institute for Nanotechnology, Alberta University, Canad

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Center for Nanoscale Systems, Cornell University


La misin del CNS es desarrollar sistemas a nanoescala de innovacin electrnica, fotnica,
magntica que tengan el potencial de revolucionar la tecnologa de la informacin, que incluyen
electrnica, comunicaciones, almacenamiento de informacin y sensores.
The Nanobiotechnology Center (NBTC), Cornell University
Entre su misin tambin est la de atraer y educar una poblacin estudiantil en el campo cientfico
de que se desarrolla a nano escala, as como ayudar a la instituciones educativas preuniversitarias a
programas de educacin en esta materia.
The Nanobiotechnology Center (NBTC), Cornell University

Anexo 4

El (NBTC) se estableci en el ao 2000 como un centro de ciencia y tecnologa con financiacin de


la National Science Foundation.La nanobiotecnologa es una rea emergente con prometedoras
oportunidades cientficas y tecnolgicas que integra la nano/microfabricacin y los biosistemas con
mutuos beneficios. El NBTC est caracterizado por su alta interdisciplinariedad y una estrecha
colaboracin entre bilogos, fsicos e ingenieros. Se hace un gran esfuerzo en integrar los curriculos
formativos. El centro rene expertos de prestigiosas universidades: la propia Cornell University,
Wadsworth Center (New York State Health Department in Albany), Princeton University, Oregon
Health & Science University, Clark Atlanta University, y Howard University.Tambin se lleva a cabo
una activa colaboracin con proyectos gubernamentales, la industria privada y la educacin
secundaria.
Empresas nanotecnolgicas.
Las empresas que desarrollan e investigan en el campo de la nanotecnologa son las siguientes.
Tabla 31. Empresas nanotecnolgicas

Empresa

Pas

Producto o servicio especializado dentro del sector de


la nanotecnologa.

Advance Nanotech
Accelrys Inc
Albany Molecular Research
Inc
Altair Nanotechnologies
AmberWave

Estados Unidos
Estados Unidos
Estados Unidos

Inversin y comercializacin de nuevos productos.


Tecnologas
I+D en medicinas

Estados Unidos
Estados Unidos

American Pharmaceutical
Partners
American Superconductor
Corp.
ANU
Apex Nanomaterials
Applied Films
Arryx
Atomate

Estados Unidos

Nanocristalinos y nanopartculas.
"Strained silicon" que permite que los microchips funcionan de
forma ms rpida y consumen menos energa
Productos farmacuticos inyectables

Estados Unidos

Superconductores

Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos

Nanotubos de carbn y ntrido Boron


Nanotubos de carbn monocapas
Capas ultrafinas
Lser, sistemas hologrficos
Reactores CVD para sntesis de nanotubos y nanocables.

176

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Continuacin Tabla 31
BuckyUSA
Caliper Life Sciences

Pas

Estados Unidos
Estados Unidos

CALMEC
Cambridge Display
Technology
CarboLex
Carbon Nanotechnologies,
Inc. (CNI)
Carbon Solutions
CombiMatrix
Cyrano Sciences
DayStar Technologies
DEAL International Inc.
eSpin
FEI Company

Estados Unidos
Reino Unido

First Nano
Genus
Guangzhou
Hyperion
Immunicon Corp
Invest Technologies
Insert Therapeutics

Estados Unidos
Estados Unidos
China
Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos

Isonics Corp.
Nanocarblab (NCL)
Materials and Electrochemical
Research (MER)
Continuacin Tabla 31
Kopin HBT Corporation
Kaweenaw Nanoscience
Center
Lucent Technologies
Lumera Corp.
Luxtera
Molecular Manufacturing
Enterprises
Magma Design Automation
Molecular Robotics
Mitre

Estados Unidos
Rusia
Estados Unidos

Moore Nanotechology
Systems
Nanocs
Nanocor
Nanocyl
Nanogen
NanoLab
Nanoledge

Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos

Producto o servicio especializado dentro del sector de


la nanotecnologa.
Fullerenes y nanotubos
Herramientas para descubrir medicinas y mejorar procesos de
diagnstico
Patentes, tecnologa, I+D
Tecnologas relacionadas con PLED.
Nanotubos de carbn monocapas
Nanotubos de carbn monocapas (PLV and HiPCO),
buckytubos, polmeros.
Nanotubos de carbn
Genmica, Protemica
Redes de sensores
Energa renovable
Nanotubos de carbn
Fibras polmeras
Tecnologas para crear muestras en 3 dimensiones a nano
escala
Nanotubos de carbn, herramientas y aparatos.
Atomic Layer Deposition (Depositar capas atmicas)
Nanotubos mono y multicapas
Nanotubos multicapas
Plataforma para diagnosticar cncer. Nanopartculas ferrofluids
Nano-polvos de metal
Sistemas de distribucin intracelular de pequeas medicinas
moleculares y genes
Nanotubos monocapa
Nanotubos de carbn mono- y multicapas.

Estados Unidos
I+D, consultora
Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos

Nanotecnologa y telecomunicaciones.
Materiales y productos polmeros
Productos fotnicos.
Servicios de asesora

Estados Unidos
Estados Unidos
Estados Unidos

Circuitos integrados complejos


Nanotubos, MEMS, AFM, computadoras cuanticos.
Investigacin para el sector pblico

Estados Unidos

Sistema maquinarios de ultra-precisin

China
Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos
Francia

Materiales de carbn para la nanotecnologa


Nano minerales para resinas de plstico
Nanotubos de carbn CVD
Microchip para anlisis biolgico
Nanotubos CVD
Nanotubos de carbn monocapa

177

Anexo 4

Empresa

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Empresa
Continuacin Tabla 31
Nanometrics
Nanomat
Nanomaterials Research
Corporation
Nanomix
Nanovation
NanoPierce
NanoPowders Industries
NanoPhase Technologies
Corporation
Nanospectra Biosciences Inc
Nanosys
Nanotec
NanoWave
Nantero
Neah Power Systems
Novavax
http://www.nve.com/NEC
NVE Corporation

Pas

Producto o servicio especializado dentro del sector de


la nanotecnologa.

Estados Unidos
Estados Unidos
Estados Unidos

Lminas ultrafinas
Materiales nanocristalinos y nanoestructuras
Polvos y materiales derivados

Estados Unidos
Alemania
Estados Unidos
Israel
Estados Unidos

Sensores.
Componentes fotnicos
Conexiones elctricas de nanopartculas
Polvos de metales preciosos y base
Polvos y productos polmeros

Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos
Japn
Estados Unidos

Anexo 4

Orthovita
Physical Sciences Inc.
Quantum Dot (Qdot)
Rockwell Scientifics
Rosseter Holdings Ltd
SEOCAL
SES Research
Technanogy
SIMAGIS
Sun Nanotech
Continuacin Tabla 31
Thomas Swan & Co
Timesnano/Chinese Academy
of Sciences
Ultratech
Veeco
Zyvex
Nanoespacio S.L.

Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos
Chipre
Estados Unidos
Estados Unidos
Estados Unidos
Estados Unidos
China

Nanopartculas para aplicaciones mdicas. Nanoshells.


Sistemas de nanotecnologa y nanoelectrnica.
Polmeros para tejidos inteligentes
I+D
Nanotubos de carbn
Silicona porosa
Productos farmacuticos, vacunas
Telecomunicaciones, Internet, I+D
Spintronics que utiliza el movimiento de un electrn para
detectar, almacenar o transmitir informacin digital
Biomateriales, nanopartculas.
Membrana de nanotubos de carbn
Qdot nanocristales
Nanomateriales, fabricacin, y nanopartculas magnticas
Carbn mono y multicapas
Reactores CVD para nanotubos
Fullerenes y Nanotubos
Capital riesgo y propiedad intelectual
Software para anlisis automatizada de imgenes de nanotubos
Nanotubos de carbn multicapas

Estados Unidos
China

Nanotubos de carbn monocapa Elicarb (proceso CVD)


Nanotubos de carbn y productos relacionados

Estados Unidos
Estados Unidos
Estados Unidos
Espaa

Avanzare. Innovacin
Tecnolgica

Espaa

Nanotec Electrnica

Espaa

Nanosphere

Estados Unidos

Herramientas para nanotecnologa


Instrumentos para medir a nano escala
Manipulador Zybot, Sistema de montaje Rotapod y MNT.
Consultora en Nanotecnologa Distribucin de Productos
Nanotecnolgicos Investigacin en Nanotecnologa para el
Espacio
Realizacin de dispositivos basados en el empleo de
nanomateriales. Realizacin de proyectos de I+D+I. Sensores
para industria del vino, agroalimentaria y medioambiente
Diseo, fabricacin y venta de microscopios de campo cercano
AFM y STM, as como sistemas de control para microscopa de
campo cercano. Tambin desarrolla el software gratuito WSxM.
La misin es la de crear estndares en asistencia medica y
seguridad pblica

Total

87 Empresas de nanotecnologa.
Fuente: Recopilado por Colciencias (2007)

178

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Investigadores con formacin avanzada en los grupos de investigacin de Colombia en


nanotecnologa
Se presenta el listado de investigadores con formacin avanzada que conforman la masa crtica que
pertenecen a los 20 grupos de investigacin en nanotecnologa colombianos.
Tabla 32. Investigadores con formacin avanzada.

Grupo de Investigacin
Ciencia de Materiales Avanzados
Universidad Nacional (Medelln)
Ciencia y Caracterizacin de Materiales
Universidad Del Atlntico
CMUA - Centro de Microelectrnica
Universidad de los Andes

Investigadores

Formacin

Alejandro Ramrez Vlez


Elizabeth Pabn Gelves
Zulia Isabel Caamao De
vila
Libardo Jos Ruz Ruz
Antonio Jos Martnez Charris
Alba Graciela vila Bernal
Jos Fernando Jimnez
Vargas
Fernando Enrique Lozano
Mauricio Guerrero Hurtado
Elkin Eduardo Garca Daz
David Camilo Olea Salgado
Fredy Enrique Segura Quijano
Henry Medina Silva
Carlos Ernesto Villarraga
Pinzn

Doctorado
Doctorado
Doctorado

179

Magster
Magster
Doctorado
Doctorado
Doctorado
Doctorado
Magster
Magster
Magster
Magster
Magster

Anexo 5

Anexo N 5. Capacidades Colombianas en Nanotecnologa


Financiados
anciados y
(Investigadores, Perfiles de Centros y Proyectos Fin
Fichas de Capacidades Colombianas)
Colombianas)

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Grupo de Investigacin

Investigadores

Formacin

Continuacin Tabla 32

Anexo 5

Lorena Garca Posada


Mario Alberto Pinilla Gamboa
Andrs Eduardo Gaona
Grupo de Automtica y Robtica
Andrs Jaramillo Botero
Freddy Naranjo Prez
Eugenio Tamura Morimitsu
Ferney Orlando Amaya
Jaime Alberto Parra Plaza
Alexander Martnez lvarez
Jaime Alberto Aguilar
Mara Constanza Pabn
Antal Alexander Buss Molina
Grupo de Fsica de la Materia Condensada
Jairo Arbey Rodrguez
Jereson Silva Valencia
Jos Jairo Giraldo Gallo
Karen Milena Fonseca
Roberto Emilio Franco
Fabio Enrique Fajardo Tolosa
Adriana Gutirrez Rodrguez
Lus Demetrio Lpez Carreo
Jos Edgar Alfonso Orjuela
Rafael Ramn Rey Gonzlez
Ramiro Cardona Cardona
Nstor Jaime Torres Salcedo
Sergio Andrs Galindo Torres
Edgardo David Gutierrez
ngela Patricia Pardo
Adalberto de Jess Iglesias
Hugo Fernando Pacheco
Lus Cobacy Garca Pea
Jos Del Carmen Otalora
Lady Andrea Salguero Cruz
Grupo de Fsica Terica de la Materia Gabriel Tllez Acosta
Condensada
Hctor Fabio Castro Serrato
Carlos Eduardo lvarez
Ferney Javier Rodrguez
Lus Quiroga Puello
ngela Stella Camacho
Jos de Jess Reslen
Juan Andrs Len Gmez
Grupo de Investigacin en Fisicoqumica Cristian Blanco Tirado
Terica y Experimental GIFTEX
Marianny Yajaira Combariza

Magster
Magster
Magster
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Magster
Magster
Magster
Magster
Posdoctorado
Posdoctorado
Posdoctorado
Posdoctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Posdoctorado
Posdoctorado
Doctorado
Doctorado
Doctorado
Doctorado
Magster
Magster
Posdoctorado
Posdoctorado

Grupo de Investigaciones en Transporte Amanda Luca Chaparro


Molecular (GITRAM)
Alberto Bocanegra Daz
Omar Geovanny Prez Ortiz

Doctorado
Doctorado
Doctorado

180

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Investigadores

Formacin

Continuacin Tabla 32
Rosemary Ochoa Bejarano
Juliette Rivero Castro
Adriana Maldonado Rozo
Grupo de Materiales Electroactivos
Jorge Andrs Caldern
Franklin Jaramillo Isaza
Paula Marcela Montoya
Grupo de ptica y Tratamiento de Seales
Jaime Enrique Meneses
Johnson Garzn Reyes
Yezid Torres Moreno
Hernando Rafael Altamar
Arturo Plata Gmez
Mara del Carmen Lasprilla
Martha Lucia Molina Prado
Nstor Alonso Arias
Vladimir Albeiro Jerez
Jder Enrique Guerrero
Cristian David Ariza Ariza
Dorian Caraballo Ledesma
Ernesto Aguilera Bermdez
Idriss Tyler Sandoval
Jos Lus Aguilar Siado
Lenny Alexandra Romero
Lus Gabriel Valdivieso
Giovanni Francisco Manotas
Miguel ngel Sotaquir
Euclides Alfonso Rueda Daz
Genny Ruiz Joya
Omar Javier Tjaro Rojas
Rafael ngel Torres Amaris
Dafne Cecilia Amaya Robayo
Zandra Yoana Lizarazo Meja
Zayra Milena Prez
Grupo de Optoelectrnica y Microelectrnica
Andrs Lombo Carrasquilla
UD
Gloria Esperanza Becerra
Lus Alejandro Arias Barragn
Grupo de Sistemas Correlacionados
Jereson Silva Valencia
Roberto Emilio Franco
Integrar
Jairo Alonso Mendoza Surez
Ariel Rey Becerra Becerra
Csar Augusto Pea Corts
Investigacin en Ciencias Bsicas en Ingeniera Henry Mauricio Ortz
ICIBAIN

Doctorado
Magster
Magster
Posdoctorado
Doctorado
Doctorado
Posdoctorado
Posdoctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Doctorado
Doctorado
Magster
Posdoctorado
Posdoctorado
Doctorado
Doctorado
Magster
Magster

La Quima de la interfase inorgnica-orgnica


Laboratorio de Fsica del Plasma

Doctorado
Posdoctorado

Andreas Reiber
Alfonso Devia Cubillos

181

Anexo 5

Grupo de Investigacin

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Grupo de Investigacin

Investigadores

Anexo 5

Continuacin Tabla 32
Diana Marcela Devia Narvez
Diego Fernando Arias Mateus
Harvi Alirio Castillo Cuero
Jorge Hernn Quintero
Pedro Jos Arango Arango
Rogelio Ospina Ospina
Vicente Javier Benavides
Victoria Mejia Bernal
Willfrand Prez Urbano
Yulieth Cristina Arango
Hernando Augusto Jimnez
Juan David Caon Bermdez
Juan Manuel Gonzlez
Liliam Cristina Agudelo
Lus Alpidio Garca Garca
Oscar Alexander Trujillo
Santiago Vargas Giraldo
Gonzalo Ivn Alzate Lpez
Vctor Hugo Salazar Gonzlez
Diana Shirley Galeano Osorio
Diana Maria Grajales Medina
Alexander Ruden Muoz
Magnetismo e Interacciones Hiperfinas
Efren de Jess Muoz Prieto
Juan Mauricio Salamanca
Nelson Marin Ramos Cuadros
Segundo Agustn Martnez
William Alfonso Pacheco
Manuel Gmez Sanchez
Nuevos Materiales: Fullerenos y Nanotubos de Alvaro Duarte Ruiz
Carbono
Procesos
Qumicos
Biotecnolgicos

Catalticos

y Carlos Ariel Cardona Alzate


Carlos Eduardo Orrego Alzate
Franz Edwin Lpez Surez
Isabel Cristina Paz Astudillo
Juan Carlos Higuita Vsquez
Lus Fernando Corts Henao
Lus Fernando Gutirrez
Lus Gernimo Matallana
Maria Isabel Montoya
Oscar Hernn Giraldo Osorio
Oscar Julin Snchez Toro
Javier Andrs Dvila Rincn
Julin Andrs Quintero
lvaro Gmez Pea
Kelly Johana Dussn Medina

182

Formacin

Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Magster
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Magster
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Magster
Magster
Magster
Magster

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Grupo de Investigacin

Slidos Porosos y Calorimetra

Investigadores

Formacin

Continuacin Tabla 32
Nayda Patricia Arias Duque
Jorge Andrs Moncada
Vctor Manuel Sarria Muoz
Guillermo Alberto lvarez
Carlos Enrique de las Pozas
Csar Augusto Garca
Edgar Francisco Vargas
Juan Manuel Diez Tascn
Juan Carlos Moreno Pirajn
Liliana Giraldo Gutierrez
Francisco Rodrguez Reinoso
Jos Efran Ruz Seplveda

Magster
Magster
Posdoctorado
Posdoctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado
Doctorado

Fuente: Colciencias, calculos basados en informacin de la base de datos de la Plataforma ScienTI. Mayo de 2007

Anexo 5

En la Tabla 33 se presenta un resumen de la produccin de cada uno de los grupos de investigacin


identificados en el informe. La informacin se relaciona con el nmero de proyectos, nmero de
integrantes y productos discriminados de cada grupo de investigacin.

183

Anexo 5
PRODUCCIN DE LOS GRUPOS

GRUPOS DE INVESTIGACIN

184

Ciencia
de
Materiales
Avanzados
Ciencia y Caracterizacin de
Materiales
CMUA
Centro
de
Microelectrnica
Grupo de Automtica y
Robtica
Grupo de Fsica de la Materia
Condensada
Grupo de Fsica Terica de la
Materia Condensada
Grupo de Investigacin en
Fisicoqumica Terica y
Experimental - GIFTEX
Grupo de Investigaciones en
Transporte
Molecular
(GITRAM)
Grupo
de
Materiales
Electroactivos
Grupo
de
ptica
y
Tratamiento de Seales
Grupo de Optoelectrnica y
Microelectrnica UD
Grupo
de
Sistemas
Correlacionados
Integrar
Investigacin en Ciencias
Bsicas
en
Ingeniera
ICIBAIN
La Quima de la interfase
inorgnica-orgnica
Laboratorio de Fsica del
Plasma
Magnetismo e Interacciones
Hiperfinas
Nuevos Materiales: Fullerenos
y Nanotubos de Carbono
Procesos
Qumicos
Catalticos y Biotecnolgicos
Slidos
Porosos
y
Calorimetra

Total

PROYECTOS DE
LOS GRUPOS

INTEGRANTES DE
LOS GRUPOS

Artculos de
investigacin

Captulos de Libros de
libro
investigacin

Produccin
artstica/cultural

Productos de
Productos asociados a divulgacin o
servicios tcnicos o popularizacin de
consultora cualificada resultados de
investigacin

Literatura Gris

Productos o procesos
tecnolgicos
patentados o
registrados

Productos o procesos
tecnolgicos
Tesis y
usualmente no
trabajos de
patentables o
grado
protegidas por secreto
industrial

Otros

Produccin Total

15

30

20

10

137

55

11

231

28

40

10

59

14

24

11

129

22

41

167

25

262

32

97

584

12

20

117

69

39

46

271

10

23

27

17

14

21

37

56

16

26

145

30

110

384

4
2

7
8

24
7

0
0

0
0

0
0

0
1

4
12

0
0

0
0

4
0

11
0

55

121

727
27

59
39

43
20
5

21

54

228

19

262

34

24

13

19

10

13

40

58

75

16

160

53

102

23

20

262

150

33

85

549

322

1031

53

97

11

1312

42

21

331

641

3551

37
420

259

Fuente: Colciencias, calculos basados en informacin de la base de datos de la Plataforma ScienTI. Mayo de 2007.

Informe de Vigilancia Tecnolgica.Mtodos de Fabricacin de Nanotecnologa

Tabla 33. Perfil general de produccin de los grupos de investigacin.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Proyectos financiados Por Colciencias en nanotecnologa (2002 nov de 2006)

1. Aproximacin Adiabtica para Sistemas de pocas Partculas en Puntos Cunticos Auto ensamblados
2. Crecimiento de xidos multifuncionales a escala nanomtrica: desde la sntesis y caracterizacin
hasta sus aplicaciones en espintronica
3. Desarrollo de bionanocomposites a partir de microfibrillas de celulosa aisladas de residuos
procedentes de la agroindustria de Musceas comestibles cultivadas en Colombia
4. Desarrollo de materiales compuestos de altas prestaciones mecnicas a partir de reforzantes
aislados de fibras vegetales colombianas
5. Desarrollo de nuevos materiales para la fabricacin de pelculas para cubierta de casas de
cultivo
6. Diseo y construccin de un prototipo electro -mecnico para la implementacin de la tcnica
electrospinning en aplicaciones farmacolgicas
7. Efecto del Nb en las propiedades magnticas y estructurales de imanes permanentes
nanocompuestos de NdFeB
8. Estudio molecular de la absorcin, difusin y reactividad de molculas orgnicas sobre la
superficie externa de zeolitas
9. Fenmenos de transporte dirigido en nanosistemas electrnicos y biolgicos
10. Microorganismos con propiedades Magnticas
11. Propiedades magnticas de xidos de hierro nanoestructurados: Experimentacin y simulacin
12. Reforzamiento de fibras textiles con nanomateriales
Perfil institucional de los centros de investigacin colombianos en nanotecnologa
A continuacin se presenta una descripcin general de los centros de investigacin colombianos en
nanotecnologa.


Centro de Excelencia en Nuevos Materiales CENM (http://calima.univalle.edu.co/cenm/)

De acuerdo con la propuesta presentada a Colciencias Creacin de un Centro de Excelencia en


Nuevos Materiales CENM el centro de investigacin est integrado por 19 grupos de investigacin
de varias universidades colombianas en campos de aplicacin como materia condensada y ciencia
de los materiales. Entre sus objetivos principales se encuentran explorar el desarrollo de programas
de educacin en la ciencia de los materiales; administrar y promover varias instalaciones de
laboratorios de investigacin; y hacer posible que la red de investigacin pueda operar eficazmente
dentro de un marco de apoyo nacional a la investigacin auspiciada.
Como red de trabajo y cooperacin se plantean unir esfuerzos entre los miembros de cada una de
las reas de fsica, qumica e ingeniera, los cuales se unirn para investigar sobre asuntos
fundamentales y aplicados de las ciencias de los materiales y compartan sus laboratorios para la
sntesis, procesamiento, fabricacin e innovacin de materiales modernos.

185

Anexo 5

Los proyectos financiados por Colciencias en el perodo correspondiente entre el 2002 y noviembre
de 2006 son los siguientes

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

El trabajo de investigacin en el CENM se encuentra organizado alrededor de cuatro temas de


investigacin interdisciplinaria, y estos son:
- Recubrimientos Avanzados
- Materiales Compuestos
- Nanomagnetismo
- Dispositivos de Estado Slido, Sensores y Sistemas Masoscpicos
Otra de las caractersticas distintivas del CENM es el apoyo y cooperacin internacional con
renombrados centros de investigacin internacionales de nanotecnologa, como lo son: el Centro de
Nanotecnologa de la Universidad de Northwestern, Illinois; el Grupo de Nanociencias y Pelculas
Delgadas de la Universidad de California, San Diego; el Centro Internacional para la Investigacin
Interdisciplinaria en Materiales, CIMAT, en Santiago de Chile.


Centro de Ciencia y Tecnologa Nanoescalar. Nanocitec (http://www.nanocitec.org/)

El Centro de Ciencia y Tecnologa Nanoescalar corresponde a una Asociacin sin nimo de lucro. El
centro se encuentra conformado por profesionales de las diferentes reas del conocimiento
interesados en participar activamente en investigacin y desarrollo en el rea de la ciencia y
tecnologa nanoescalar.
Anexo 5

Son objetivos del Centro:








Promover, coordinar y realizar tareas de divulgacin, investigacin y desarrollo tecnolgico


en el rea de la nanociencia y nanotecnologa.
Favorecer la existencia de espacios de participacin mancomunada entre la academia, la
industria y el estado a nivel local y regional para hacer viable una aplicacin del
conocimiento en nanociencia y nanotecnologa.
Contribuir a la creacin de infraestructura para investigacin terica y experimental en el
rea de la nanociencia y nanotecnologa
Contribuir a la formacin de redes nacionales e internacionales de nanociencia y
nanotecnologa.

Las reas especficas de inters del Centro de Ciencia y Tecnologa Nanoescalar son:






Ciencia y Tecnologa de nanopartculas y nanoestructuras orgnicas e inorgnicas.


Nanociencia computacional.
Bionanotecnologa.
Nanociencia, nanotecnologa y salud.
Implicaciones ticas y sociales de la nanociencia y nanotecnologa

Las actividades del Centro estarn orientadas principalmente a:




Creacin de grupos interdisciplinarios de investigacin en el rea de la ciencia y tecnologa


nanoescalar.

186

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Realizacin de proyectos de investigacin inscritos dentro de las reas de inters.


Realizacin de simposios, seminarios, congresos y dems actividades comprometidas con
divulgacin, promocin y desarrollo de la nanociencia y nanotecnologa en el pas.
Gestin para la realizacin de actividades interinstitucionales en fomento, investigacin y
desarrollo en el rea de la nanociencia y nanotecnologa.





Programa: Cncer y Nanotecnologa


Con el uso de la nanotecnologa e investigacin interdisciplinaria, contribuir al desarrollo,
caracterizacin e implementacin de nuevas herramientas y procedimientos para la prevencin,
diagnstico y tratamiento del cncer.
reas de Investigacin
Nanopartculas para diagnstico y tratamiento del cncer.
Nanosensnica
Desarrollo de dispositivos nanoescalares con funciones teraputicas y de diagnstico.





Nanocolombia. (http://www.nanocolombia.com)

Nanocolombia es una entidad dedicada a la creacin y promocin del desarrollo de la


nanotecnologa en Colombia. Est empresa tiene su sede en Bogot. Prximamente, se realizar el
lanzamiento de un libro sobre nanotecnologa en colaboracin con el Consejo Nacional de
Nanociencia y Nanotecnologa.


Consejo Nacional de Nanociencia y Nanotecnologa.

El Consejo es uno de los primeros conformados en Suramrica. Est liderado por la Universidad
Javeriana. Las reas de estudio e investigacin se concentran principalmente en cncer
Nanotecnologa y la otra es materia programable, a travs de lo que se denominan puntos cunticos,
tomos artificiales que pueden fluir por el cuerpo humano y al detectar una zona cancergena
cambian de color para diagnosticar la enfermedad.
En palabras del Director del Consejo si el desarrollo de la nanotecnologa sigue como va, dentro de
20 aos habr evolucionado tanto, que los actores protagnicos como son el tomo, el gen y el
ordenador jugarn un papel trascendental en la construccin de grandes procesos y aplicaciones del
pas


Red de Investigacin y Desarrollo de la Nanotecnociencia

Red de trabajo e investigacin en nanotecnologa conformada por las siguientes universidades


colombianas



Pontificia Universidad Javeriana


Universidad Distrital

187

Anexo 5

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa






Universidad del Bosque


Universidad San Buenaventura
Universidad Santo Tomas
Consejo Nacional de Nanociencia y Nanotecnologa.

Anexo 5

188

Ficha de Capacidades Colombianas en Nanotecnologa


Ficha de capacidades en ScienTI grupos de investigacin
Perfil

Base de datos

Total registros

Fecha del Informe

Ventana de tiempo
analizada
Mayo de 2007
Cierre a septiembre
2006
Total de instituciones
20
Instituciones

Vantage Point y Microsoft Excel

Grupos de
SCienTi
4818
Investigacin
Nmero de Grupos de Investigacin
20 Grupos
Creacin de grupos por aos
3

Nanotecnologa 27
Herramienta de Procesamiento

Total de departamentos
8
Grupos de investigacin por
departamento
3

1
1

Universidad Nacional

Universidad de los Andes

Atlntico

189

Santander

Norte de Santander

Caldas

Universidad de Pamplona

Universidad Industrial de
Santander

Pontificia Universidad
Javeriana

Universidad de Antioquia

Universidad del Atlntico

U. Distrital Fco Jos de


Caldas

Universidad Libre

Antioquia

Distrito Capital

7
0

19
84
19
85
19
86
19
87
19
88
19
89
19
90
19
91
19
92
19
93
19
94
19
95
19
96
19
97
19
98
19
99
20
00
20
01
20
02
20
03
20
04
20
05

UPTC

Formacin Avanzada
Masa Critica 49% del total de investigadores
Masa Critica (49% de la comunidad) 158 investigadores

Genero de investigador lder


Total Investigadores 322

Afiliacin a Programa Nacional

Femenino; 1
14

Ciencias Bsicas

Posdoctores;
10%
ETI

Maestra; 41%

Doctores; 49%

Ciencia y Tecnologa
de la Salud

Desarrollo Industrial

Energa y Mneria

Masculino; 19

27

Nanotecnologia, Nanocompuestos, Nanomateriales, Nanosistemas, Nanotubos, Nanoestructura, Nanoescala

Anexo 5

10

12

14

16

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Valle

Boyaca

Anexo 5

Perfil

Base de datos

Total
registros
1495

Proyectos
SIGP
Aprobados
Nmero de Proyectos Aprobados
12
Aprobacin de proyectos por aos

Nanotecnologa 28
Herramienta de Procesamiento
Vantage Point y Microsoft Excel
Total de departamentos
4
Proyectos aprobados por departamento
Antioquia

2006

Fecha del
Informe
Mayo de 2007

Total de entidades ejecutoras


7
Entidades Ejecutoras
Universidad de
Antioquia

Universidad del Valle

Universidad Industrial
de Santander

Universidad Nacional

Universidad Pontificia
Bolivariana

Santander

2005

6
2

190

Distrito Capital

2004

Valle del Cauca

Fund. Cardiovascular
de Colombia

Universidad de los
Andes

Afiliacin a Programa Nacional

Ciencias Bsicas

Proyectos aprobados por tipo de


entidad participante

Proyectos aprobados por tipo de


entidad ejecutora
Fundaciones
17%

Desarrollo Industril

Biotecnologa

ETI

Energa y Mneria

Universidades
83%
100% Universidades

28

Ventana de
tiempo analizada
2002 a Nov/2006

Nanotecnologa, Nanoestructuras, Nanomateriales, Nanocomposites, Nanocompuestos, Nanosistemas, Nanotubos.

Informe de Vigilancia Tecnolgica.Mtodos de Fabricacin de Nanotecnologa

Ficha de capacidades en SIGP proyectos de investigacin financiados por Colciencias

Ficha de capacidades en SNIES programas de formacin

Perfil

Base de datos

Oferta Educativa

SNIES

Nanotecnologa29
Total registros
Herramienta de
Procesamiento

Ventana de
tiempo
analizada
Matheo Software y
Mayo de 2007
Cierre a
Microsoft Excel
Noviembre de
2006
Total de departamentos
Cobertura Nacional
21
66%
Distribucin Departamental

14010 Programas

Nmero de Programas de Formacin


48 Programas Educativos
Programas Por Nivel Educativo

191

Universitario
42%

Maestra
38%
Especializacin
10%

10

Bogot
Antioquia
Santander
Norte de Santander
Atlntico
Cordoba
Valle
Magdalena
Cesar
Caldas
Bolivar
Sucre
Guajira
Caqueta
Cundinamarca
Cauca
Risaralda
Tolima
Boyaca
Quindio
Nario

6
3
3
3
3
3
2
2
2
1
1
1
1
1
1
1
1
1
1
1
0

29

fsica, ciencias fsicas, Ingeniera fsica.

Anexo 5

10

12

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Doctorado
10%

Fecha del Informe

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

192

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Anexo N 6.
6. Informacin Cientfica de
de Scopus.

Los pases lderes en el campo de la nanotecnologa que se enfocan en los procesos y mtodos de
fabricacin son principalmente Estados Unidos, Japn, Alemania, China y el Reino Unido. Tales
pases han evolucionan positivamente en publicaciones cientficas desde principios presente siglo. A
continuacin se presentan los primeros cinco pases lderes en mtodos de nanofabricacin.
Tabla 34. Pases lderes en investigacin bsica de mtodos de nanofabricacin.

Pas

Estados
Unidos

N de
Publicaciones
Cientficas
2182

Evolucin
Promedio a
partir del 2001
114%

Dinmica en el tiempo

700
600
500
400
300
200
100
0

628
489
371
304
213
121

56

2001 2002 2003 2004 2005 2006 2007

Japn

661

112%

700
600
500
400
300
200
100
0

143
39

63

102

162

133
19

2001 2002 2003 2004 2005 2006 2007

193

Anexo 6

Lderes tecnolgicos en nanofabricacin - pases.

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Pas

N de
Publicaciones
Cientficas
Continuacin Tabla 34
Alemania
456

Evolucin
Promedio a
partir del 2001

Dinmica en el tiempo

110%

700
600
500
400
300
200
100
0

48

70

112

109

28

74

15

2001 2002 2003 2004 2005 2006 2007

China

387

131%

700
600
500
400
300
200
100
0

52
14

69

114

88

28

22

2001 2002 2003 2004 2005 2006 2007

Reino
Unido

308

128%

Anexo 6

700
600
500
400
300
200
100
0

13

37

44

62

82

56

14

2001 2002 2003 2004 2005 2006 2007

Fuente: Colciencias, clculos basados en informacin de la base de datos Scopus (1965-2007)

Dinmica regional en la publicacin cientfica.


En la Tabla 35 se presenta una sntesis de la dinmica de investigacin reflejada en las
publicaciones cientficas en el mbito regional.
La dinmica regional indica que la investigacin en el continente americano est sustentada slo en
el desarrollo que Estados Unidos pueda tener. Entre tanto, la investigacin en Europa y Asia est
mejor distribuida entre los pases de estos continentes, ello puede ser indicio que las polticas de
fortalecimiento de la nanotecnologa en Europa y Asia se han dirigido a fomentar la incursin de los
pases en el campo nanotecnolgico.

194

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Tabla 35. Dinmica regional en la publicacin cientfica.

Francia
Italia
Rusia
Espaa
Suiza
Holanda
Suecia
Austria
Blgica
Polonia
Dinamarca
Irlanda
Grecia
TOTAL EUROPA
ASIA
Japn
China
Corea del Sur
Taiwn
Singapur
India
TOTAL ASIA

Registros

Dinmica de Publicacin
300

2182
124
2306
26
5
3
34
24
6
2
1
1
34
1055
456
308
219
177
112
112
110
87
32
60
50
44
33
32
21
1853

281
250

250

200
195
150

112

100

94
56

50
44
7
0
2000

2001

2002

2003

2004

2005

2006

2007

250

214

200
180
157

150

Anexo 6

Regin
AMRICA
Estados Unidos
Canad
Amrica del Norte
Mxico
Puerto Rico
Cuba
Amrica Central
Brasil
Argentina
Venezuela
Chile
Colombia
Amrica del Sur
TOTAL AMRICA
EUROPA
Alemania
Reino Unido

114

100
94
50

58
39
5

0
2000

2001

2002

2003

2004

2005

2006

2007

350

661
387
215
122
103
88
1473

300

300

250

200
195
162

150
121

100
75
50

58
42
1

1999

2000

0
2001

2002

2003

2004

2005

2006

Fuente: Colciencias, clculos basados en informacin de la base de datos Scopus (1965-2007)

195

2007

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Anlisis de publicaciones cientficas en el mbito latinoamericano.


En el mbito de Latinoamrica, los pases que han presentado estudios y artculos cientficos son
Brasil y Mxico como los ms destacados, cada uno con 26 y 24 publicaciones respectivamente. Se
incluye a Argentina con seis artculos; Puerto Rico con cinco; Cuba con tres publicaciones;
Venezuela con dos; y Chile y Colombia con un artculo respectivamente. En la Tabla 36 se resume la
dinmica de los pases latinos en nanotecnologa.
Tabla 36. Dinmica cientfica latinoamericana en mtodos de nanofabricacin

Pas
Mxico
Brasil
Argentina
Puerto Rico
Cuba
Venezuela
Chile
Colombia

N de Artculos Cientficos
26
24
6
5
3
2
1
1

Ao de Incursin
2002
2001
2001
2004
2005
2003
2006
2006

Ao ms Productivo
2005 (8 artculos)
2005 (10 artculos)
2006 (3 artculos)
2004 (4 artculos)
2005 (2 artculos)
2003 - 2005
2006
2006

Fuente: Colciencias, clculos basados en informacin de la base de datos Scopus (1965-2007)

Anexo 6

La produccin de artculos cientficos de los pases latinoamericanos slo alcanza el 1% del total de
publicaciones cientficas a partir del ao 2000. Con respecto a la produccin cientfica de Europa y
de Estados Unidos, Amrica Latina slo alcanza un 3%; y en relacin a los pases asiticos, la
produccin latina representa un 4%.
Lideres acadmicos en nanofabricacin - instituciones
Las instituciones que lideran la investigacin en nanofabricacin son entes, principalmente, de los
pases lderes en este campo, tal como se comprueba en la Tabla 37.
Tabla 37. Instituciones lderes en publicaciones sobre nanofabricacin

No de Publicaciones
201
89
78
76
69
66
65
59
59
54

Institucin
Universidad de California
Academia China de Ciencias
Universidad de Purdue
Instituto Max Planck
Instituto Tecnolgico de Massachuset (MIT)
Universidad de Tokio
Agencia Japonesa de Ciencia y Tecnologa
Instituto Nacional de la Ciencias de los Materiales
Universidad de Illinois
Universidad Nacional de Singapur

Pas
Estados Unidos
China
Estados Unidos
Alemania
Estados Unidos
Japn
Japn
Japn
Estados Unidos
Singapur

Fuente: Colciencias, clculos basados en informacin de la base de datos Scopus (1965-2007)

Ahora bien, Estados Unidos y Japn son los pases con mayor nmero de instituciones de
investigacin en nanofabricacin. Entre tanto, cinco de las principales instituciones son
universidades.

196

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Lideres acadmicos en nanofabricacin investigadores.


Adicional a las instituciones lderes en publicaciones de nanofabricacin, es posible destacar los
investigadores ms relevantes en este tema. En la Tabla 38 se presentan los investigadores ms
relevantes en nanofabricacin por su nmero de publicaciones se presentan a continuacin.
Tabla 38. Investigadores destacados en nanofabricacin.

Investigadores
Li, J
Chen, Y
Wang, X
Li, Y
Zhang, Y
Chen, H
Zhang, Y
Seeman, N C
Gao H
Wang, Y
Zhang, L
Cingolani, R
Liu, J
Mirkin, C. A.

Fuente: Colciencias, clculos basados en informacin de la base de datos Scopus (1965-2007)

Los anteriores investigadores presentan clusters de trabajo para la produccin cientfica en


nanofabricacin. A continuacin, en la Tabla 39 se presentan las cinco redes de investigadores ms
destacadas:
Tabla 39. Perfiles de las redes de investigacin en nanofabricacin

Investigador

Red de
investigacin30

Li, J

Meyyappan, M.
Cassell, A.
Chen, H.
Han, J.
Herman, P. R.
Ihlemann, J.
Jiang, F.
Li, D.
Wei, M.
Yang, Y.
Zhang, J.
Cassell, A. M.
He, Q.

Entidades

Dinmica

Center for
Nanotechnology,
NASA Ames
Research Center
Chinese
Academy of
Sciences, China
Hunan University,
China
Tsinghua
University, China
University of
Toronto

12

10

10

4
3
2

2000

2001

0
2002

2003

2004

2005

2006

30 Conjunto de Investigadores que tiene artculos cientficos en comn, ya sea en el mismo centro de investigacin o en diferentes
instituciones.

197

Anexo 6

N de Publicaciones
32
27
27
24
24
23
22
21
20
20
20
19
19
19

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Investigador

Red de
investigacin30

Entidades

Continuacin
Tabla 39

He, X.
Liu, B.
Marowsky, G.
Tan, W.
Wang, K.
Wang, X.
Xu, B.
Ye, Q.
Belotti, M.
Jung, G. Y.
Roy, E.
Williams, R. S.
Andreani, L. C.
Bernas, H.
Chappert, C.
Devolder, T.
Ferre, J.
Galli, M.
Ganapathiappan,
S.
Jamet, J.-P.
Kanamori, Y.
Lebib, A.
Li, X.
Mao, C.
Mathet, V.
Ohlberg, D. A. A.
Olynick, D. L.
Pepin, A.
Ravelosona, D.
Wang, S. Y.

Yantai University,
China
CREST JST,
Japan Science
and Technology
Co., Japan

Liu, C.
Mirkin, C. A.
Zou, J.
Bullen, D. A.
Li, Y.
Ozkan, C. S.
Peng, Q.
Summers, C. J.
Wang, Z. L.
Chen, J.
Constantinou, P.
E.
Ding, B.
Ding, Y.
Israel, L. B.
Kopatsch, J.
Lake, R.

University of
Illinois
Northwestern
University,
Tsinghua
University
University of
California
Georgia Institute
of Technology,
National Center
for Nanoscience
and
Nanotechnology
New York
University
University of

Chen, Y

Anexo 6

Wang, X

Dinmica

Laboratoire de
Photonique et de
Nanostructures,
CNRS,
Ecole Normale
Superieure,
Hewlett Packard
Laboratories,
Laboratoire de
Microstructures
et de
Microelectronique
(CNRS), 1
University of
California
Lawrence
Berkeley Natl.
Laboratory,
Nanjing
University,
Purdue University

10
9

8
7
6
5

3
2
1
0
2001

2002

2003

2004

2005

2006

14
13
12

10

6
6
4

4
3

2
1
0
2001

198

2002

2003

2004

2005

2006

2007

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Red de
investigacin30

Continuacin
Tabla 39

Li, J.
Liu, J.
Ozkan, M.
Pandey, R. R.
Seeman, N. C.
Sha, R.
Sherman, W. B.
Wang, T.
Xu, B.
Zhang, H.
Zhang, X.
Zheng, J.
Liu, H.
Peng, Q.
Wang, N.
Wang, X.
Zhuang, J.
He, X.
Jiang, L.
Lee, J. W.
Li, X.
Lu, F.
Wang, L.
Wang, S.
Zhu, D.

Entidades

Dinmica

Science and
Technology of
China

Chinese
Academy of
Sciences
14
Tsinghua
University
12
12
McMaster
10
University,
Anhui Normal
8
University
6
National Center
5
for Nanoscience
4
4
and
2
Nanotechnology
2
National Chiao
0
2001
2002
2003
2004
2005
2006
Tung University
National Nano
Device
Laboratories
Hu, J.
Chinese
Zhang, Y
Li, B.
Academy of
Wang, Y.
Sciences
10
Zhang, Z.
Shanghai
9
Bai, W.
Jiaotong
9
8
Li, G.
University
7
Li, M.
Tsinghua
Li, M. Q.
University
6
6
Ouyang, S.
Fudan University
5
5
Peng, D.
East China
4
Shan, W.
Normal University
3
Tang, Y.
Luoyang
2
2
Xu, F.
Technology
1
1
Yan, H.
College
0
2001
2002
2003
2004
2005
2006
Yu, K.
Peking University
Zhang, Q.
University of
Zhou, X.
California
Zhu, Z.
Wuhan University
Fuente: Colciencias, clculos basados en informacin de la base de datos Scopus (1965-2007)

Li, Y

199

1
2007

1
2007

Anexo 6

Investigador

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Dinmica de publicaciones cientficas en nanofabricacin.


La siguiente es la evolucin general de la temtica segn los registros obtenidos en la base de datos
Scopus.
Tabla 40. Dinmica de publicacin cientfica Scopus.

Dinmica General Internacional

Dinmica Regional (Latinoamrica)

Anexo 6
Fuente: Colciencias, clculos basados en informacin de la base de datos Scopus (1965-2007)

200

Anexo N 7. Boletines de Vigilancia Tecnolgica.


Boletn de vigilancia. Nanotecnologa. Scopus

201

Temticas Ms Destacadas
1.
2.
3.
4.
5.
6.
7.
8.

Dinmica de Publicaciones de los


Investigadores Top

Nanotecnologa
Material Nanoestructurado
Microscopio de Fuerza Atmica
Auto ensamblado
Nanoestructuras
Nanoprticulas
DNA
Nanotubos de Carbono

80

Dinmica de Publicaciones de los


Instituciones Top
250

1800
1600

72

70

Fecha del Boletn


Mayo de 2007
Journal ms importantes
1. Proceedings of SPIE - The
International Society for Optical
Engineering
2. Nanotechnology
3. Nano Letters
4. Journal of Nanoscience and
Nanotechnology
5. Microelectronic Engineering
6. Applied Physics Letters
7. Journal of Physical Chemistry B
8. Journal of Vacuum Science and
Technology B: Microelectronics
and Nanometer Structures
9. Langmuir
Aos de Publicacin de la
Temtica
1550

220

200

1400

60
50

1200

170

150

1150
1050

1000

45
40

135

41

800
780

100

30

600

90

26

550

20

400

50
10

10

50

12

200

28

25

0
2001

2002

2003

2004

2005

2006

2007

100

100

0
2001

2002

2003

2004

2005

2006

2007

Fuente: Colciencias, clculos basados en informacin de la base de datos Scopus (1999-2007)

Anexo 7

2001

2002

2003

2004

2005

2006

2007

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Boletn de Vigilancia Tecnolgica.


Herramienta de Procesamiento
Nmero de Registro Procesados
Vantage Point
5498 Registros Scopus
Pases Destacados
Instituciones ms importantes
1. Estados Unidos (2182)
1. Universidad de California (201)
2. Japn (661)
2. Academia China de Ciencias
3. Alemania (456)
(89)
4. China (387)
3. Universidad de Purdue (78)
5. Reino Unido (308)
4. Instituto Max Planck (76)
6. Francia (219)
5. Inst
Tecnolgico
de
7. Corea del Sur (215)
Massachuest (69)
8. Italia (177)
6. Universidad de Tokio (66)
9. Canad (124)
7. Agencia Japonesa de Ciencia y
Tecnologa (65)
8. Inst. Nal. De la Ciencia de los
Materiales (59)
9. Universidad de Illinois (59)

Temtica
Nanofabricacin
Autores ms importantes
1. Li, J (32)
2. Chen, Y (27)
3. Wang, X (27)
4. Li, Y (24)
5. Zhang, Y (24)
6. Chen, H (23)
7. Zhang, X (22)
8. Seeman, N.C (21)
9. Gao, H (20)
10. Wang, Y (20)

Anexo 7

Boletn de Vigilancia Tecnolgica.


Herramienta de
Nmero de Registro Procesados
Procesamiento
Nanofabricacin
Vantage Point
2807 Registros ISI WoS
Autores ms importantes
Pases Destacados
Instituciones ms importantes
1. Matsui, S (19)
1. Estados Unidos (943) 1. Universidad de California
1.
2. Kim, J (15)
2. Japn (389)
2. Academia China de Ciencias
2.
3. Seeman, N.C (14)
3. Alemania (289)
3. Instituto Max Planck
3.
4. Chen, Y (12)
4. China (274)
4. Universidad
Nacional
de 4.
5. Cingolani, R (12)
5. Inglaterra (135)
Singapur
5.
6. Furuya, K (12)
6. Francia (132)
5. Inst. Nal. De la Ciencia de los 6.
7. Lee, H (11)
7. Corea del Sur (121)
Materiales
7.
8. Lee, J (11)
8. Taiwn (89)
6. Inst
Tecnolgico
de 8.
9. Li, J (11)
9. Italia (82)
Massachuset
9.
10. Namatsu, H (11)
10. Espaa (75)
7. Centro
Nacional
de 10.
Investigaciones
Cientficas 11.
(CNRS)
8. Consejo
Superior
de
Investigaciones
Cientficas
(CSIC)
Temticas Ms
Dinmica de Publicaciones de
Dinmica de Publicaciones de los
Destacadas
los Investigadores Top
Instituciones Top
1. Nanotecnologa
2. Nanoestructura
3. Auto ensamblado
4. Nanoprticula
5. Nanotubos
de
Carbono
6. Microscopio
de
Fuerza Atmica
7. DNA
8. Electrnica
Molecular
9. Quantum dot
Temtica

202

40

120

Fecha del Boletn


Mayo de 2007
Journal ms importantes
Nanotechnology
Applied Physics Letters
Nano Letters
Journal Of Physical Chemistry B
Langmuir
Journal Of Vacuum Science & Technology B
Microelectronic Engineering
Journal Of Applied Physics
Journal Of Nanoscience And Nanotechnology
Angewandte Chemie-International Edition
Thin Solid Films

Aos de Publicacin de la Temtica


800

750

36

35

107

100

30

110

700
620

98

25

26

26

600

80

20

510
500

15

60

10

11

40

39

2000

2001

400

350

2002

2003

2004

2005

2006

42

28

2007

20

22

2000

2001

2002

2003

2004

2005

2006

300
230
200

140

130
100

2007

10

1999

2000

Fuente: Colciencias, clculos basados en informacin de la base de datos ISI WoS (1965-2007)

2001

2002

2003

2004

2005

2006

2007

Informe de Vigilancia Tecnolgica Mtodos de Fabricacin de Nanotecnologa

Boletn de vigilancia nanotecnologa ISI WoS

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

A continuacin se presenta la ficha tcnica de las herramientas que se utilizaron para realizar las
bsquedas de informacin, como para realizar el procesamiento de la misma.
Tabla 41. Ficha tcnica de herramientas

Herramienta
Tipo de Herramienta
Interfaz
Funciones

Pgina Web
Herramienta
Tipo de Herramienta
Interfaz
Funciones

Pgina Web
Herramienta
Tipo de Herramienta
Interfaz

Bases de Datos de Consulta de Informacin


Google
Metabuscador de informacin no estructurada
Herramientas de Bsqueda de Informacin
Web On line
Motor de bsqueda de informacin general y especfica. Su alcance permite
profundizar en bases especializadas tales como Google Acadmico, Centros de
Noticias, Libros, Mapas, entre otros. Su ltima actualizacin plantea la intencin
de incorporar algoritmos semnticos a su motor de bsqueda.
www.google.com
Kartoo
Buscador de informacin no estructurada
Herramientas de Bsqueda de Informacin
Web On line
Es un meta-buscador de Informacin Web que presenta sus resultados en forma
de mapas. Los sitios encontrados son representados por esferas ms o menos
grandes segn su pertinencia. Su bsqueda puede ser afinada con los temas y
expresiones propuestos.
www.Kartoo.com
Vivisimo
Buscador de informacin no estructurada
Herramientas de Bsqueda de Informacin
Web On line

203

Anexo 8

Anexo N 8. Ficha Tcnica de las Herramientas de Bsqueda y


Procesamiento de Informacin

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Anexo 8

Continuacin Tabla 41
Funciones
Es un meta-buscador de ltima generacin desarrollado en la Universidad
Carnegie-Mellon. Utiliza otros motores de bsqueda y clasifica los resultados en
carpetas de acuerdo con los trminos que el algoritmo considera ms
descriptivos.
Pgina Web
www.vivisimo.com
ISI Web of Knowledge
Compaa
The Thomson Corporation
Herramienta
Buscador de informacin cientfica estructurada
Producto
Web of Science
Tipo de Herramienta Plataformas Integradas de Informacin va Web
Caractersticas
Plataforma integrada accesible va Web y diseada para brindar apoyo a todos los
niveles de investigacin cientfica y acadmica. En la actualidad cubre:
 Ms de 22,000 revistas
 23 millones de patentes
 192,000 conferencias
 5,500 Sitios Web
 5,000 libros
 millones de estructuras qumicas, etc.
Entre sus principales productos se destaca ISI Web of Science que accede a los
ndices de citaciones en Ciencias (6126 revistas incluidas), Ciencias Sociales
(1802 revistas incluidas), Artes y Humanidades (1136 revistas incluidas)
Interfaz
Web On line. Acceso Restrictivo
Funciones
Combina contenidos de calidad evaluados con herramientas diversas
herramientas que permiten usar, analizar y gestionar dichos contenidos.
Pgina Web
http://portal.isiknowledge.com/
Scopus
Compaa
Elsevier B.V.
Herramienta
Buscador de informacin cientfica estructurada
Tipo de Herramienta Plataformas Integradas de Informacin va Web
Caractersticas
Base de datos de citaciones y abstrac con ms de:
 Ventana de tiempo consultada: a partir de 1960
 Ediciones internacionales
 15.000 review
 125 colecciones de libros
 700 relaciones de conferencias
 500 accesos a publicaciones abiertas
 29 millones de registros de abstrac
 265 millones de referencias agregadas a todos los abstrac.
 Incluye ms de 265 millones de fuentes confiables en Internet
 Y 18 millones de patentes.
Interfaz
Web On line. Acceso Restrictivo.
Utilidad
Combina contenidos de calidad evaluados con herramientas diversas
herramientas que permiten usar, analizar y gestionar dichos contenidos.
Pgina Web
www.scopus.com

Herramientas de Aplicacin y Tratamiento de Informacin


Herramienta

Vantage Point
Procesamiento y Anlisis de Informacin Anlisis Cienciomtrico

204

Continuacin Tabla 41
Tipo de Herramienta Herramienta de Anlisis de cienciomtrico de informacin estructurada
Interfaz
Web On line. Acesso Restrictivo.
Caractersticas
Es una herramienta de hace posible la minera de datos y anlisis cienciomtricos
sobre gran volumen de informacin extrada de bases de datos de informacin
estructurada, con el objetivo de identificar patrones y relaciones.
Funciones
Entre las funcionalidades se encuentra:
 Depuracin y clasificacin de la informacin
 Anlisis y Tratamiento de la informacin
 Extraccin de estadsticas bsicas de actividad
 Anlisis estadsticas relacionales
 Representacin grfica de la informacin
Licencia
Colciencias. Acceso Restringido
Pagina Web
http://www.thevantagepoint.com/
Utilidad para el Permite responder de manera precisa a algunos de los objetivos especficos del
Informe
informe tales como dinmicas de publicacin cientfica, asociatividad entre
autores, relaciones entre temticas, entre otros.
Mattheo Software
Herramienta
Procesamiento y Anlisis de Informacin. Anlisis Cienciomtrico
Tipo de Herramienta Anlisis de cienciomtrico de informacin estructurada
Interfaz
Web On line. Acceso Restrictivo.
Funciones
Depura y procesa la informacin importada de las herramientas de bsqueda y
tratamiento de informacin (Scopus ISI Web of Knowledge) de tal manera que
es posible identificar los autores lderes; pases lderes; revistas indexadas;
trminos ms utilizados e instituciones ms importantes y las relaciones entre
estos.
Licencia
Colciencias. Acceso Restringido
Pgina Web
http://www.matheo-software.com/
Goldfire Innovator
Herramienta
Bsqueda de Patentes
Interfaz
Web On line. Acceso Restrictivo.
Caractersticas
Software que permite analizar a travs de algoritmos semnticos informacin
estructurada y no estructurada tales como paginas web, documentos, reportajes,
web oculta, artculos cientficos estructurados (suscripciones), patentes (oficinas
internacionales), etc.
Funciones
 Bsqueda y recuperacin de informacin a travs del uso de estrategias
puntuales tanto en lenguaje natural como en lenguaje booleano.
 Identificacin de los documentos ms relevantes que dan solucin a la
ecuacin de bsqueda
 Clasificacin semntica de documentos en categoras y subcategorias
 Anlisis comparativos entre empresas y tecnologas.
Licencia
Colciencias. Acceso Restringido
Pgina Web
www.goldfire.com
Microsoft Excel
Herramienta
Procesamiento de informacin.
Interfaz
Aplicacin PC
Utilidad para el Procesamiento de datos alfanumrcios, graficas resultados sobre dinmicas de
Informe
produccin cientfica por pases, autores, institutos, etc.
Fuente: Recopilado por Colciencias (2007)

205

Anexo 8

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Informe de Vigilancia Tecnolgica. Mtodos de Fabricacin de Nanotecnologa

Informe de Vigilancia Tecnolgica

Mtodos de Fabricacin
de

Nanotecnologa
Programa Nacional de Electrnica,
Telecomunicaciones e Informtica

Diego Zuluaga
Jenny Marcela Snchez
Alexis A. Aguilera
Javier Medina

You might also like