You are on page 1of 9

Electrnica Digital

INFORME
1. Tema
RELOJ DIGITAL
2. Objetivos

Disear, analizar y construir un reloj digital, el cual tenga la posibilidad de ser igualado.

Identificar al final de la experiencia el comportamiento interno de un reloj digital


y cada uno de sus componentes.

Disear el proyecto en protoboard utilizando Circuitos Integrados que cumplan las funciones de reloj,
empleando compuertas lgicas, contadores etc.

3. Introduccin.
Lo que se pretende hacer bsicamente, es utilizar contadores conectados en cascada de manera tal que se obtenga un
conteo similar al de un reloj digital. Para reiniciar los contadores luego de que han llegado a cierto valor, se utilizar
compuertas AND conectadas especficamente para este fin. Una vez obtenido el conteo, lo siguiente ser decodificarlo y
posteriormente mostrarlo, para esto se utilizar el decodificador BCD a 7 segmentos 7447, y displays que mostrarn el
conteo, estos debern ser de nodo comn para que puedan funcionar con el decodificador antes mencionado.
La frecuencia a la que debe trabajar el reloj debe ser lo ms aproximada a 1hz, pues con esta frecuencia conseguimos
que los contadores funcionen a 1 ciclo por cada segundo.
4. Marco Terico
Contadores
Un contador digital est constituido exactamente en igual forma que un divisor de frecuencia. En efecto, el circuito
divisor por diez es en el fondo un contador, porque cuenta hasta diez pulsos y da una salida; se repone y queda listo para
repetir el procedimiento de nuevo. Si se colocan varios flip-flops tipo JK en cascada, que inicien en el binario CERO, un
BURST de pulsos en serie, colocados en la entrada, dejar los flip-flops en estados tales que ellos indiquen en forma
binaria la cantidad de pulsos que arribaron al terminal de entrada.
Hay muchas clases distintas de flip-flops contadores en un circuito integrado IC. El mdulo de un contador especifica la
mxima cuenta que el alcanza antes de reciclar. Los contadores mdulo 10 son muy populares porque ellos reciclan
despus de caer el dcimo pulso de entrada, y por lo tanto proveen una manera fcil de contar en decimal. Ellos son a
menudo llamados CONTADORES DE DECADAS BCD (Binario Codificado a Decimal), y siempre tienen solamente cuatro
terminales de salida (representan desde el 0000 hasta el 1001).
Los contadores que estn diseados para aprovechar al mximo los cuatro bits del word nibble (medio byte) de salida, se
llaman contadores HEXADECIMALES (representan desde el 0000 hasta el 1111).

Electrnica Digital
Los contadores pueden tener una variedad de controles de entrada. Un contador tpico, por ejemplo, se puede programar
para que cuente hacia arriba o hacia abajo (Up/Down). Puede tambin tener entradas de control para regresar la cuenta
a 0's, iniciar la cuenta en cualquier valor deseado, o para indicar los momentos en los cuales el contador debe trabajar.
Estos ltimos terminales son los habilitadores, o entradas ENABLE. Debido a que los contadores almacenan la cuenta
acumulada hasta que llegue el prximo pulso del reloj, ellos pueden ser considerados STORAGE REGISTERS.
Decodificador
El decodificador es un circuito que recibe n entradas y produce 2 n salidas. Puede verse como un generador de min
trminos, puesto que cada salida corresponde a una de las 2n combinaciones de entrada. De todas las salidas, solo se
generar un 1 en la salida cuyo subndice corresponde al cdigo binario de la combinacin de entrada.
Los decodificadores se encuentran como circuitos integrados en diferentes presentaciones de 2 a 4, 3 a 8 ,4 a 16, BCD a
decimal, BCD a 7 segmentos.
Muchos utilizan como salida activa el 0 en lugar del 1. Con esto todas las salidas estarn en 1 menos la correspondiente a
la combinacin de entrada
Display 7 segmentos
Son elementos que nos sirven para representar de forma visual informacin, por lo general datos numricos. Estos
elementos estn constituidos por diodos emisores de luz y pueden ser de 2 tipos: nodo comn y ctodo comn.
Este elemento se ensambla o arma de manera que se pueda activar cada segmento por separado logrando de esta manera
combinar los elementos y representar todos los nmeros en el display (del 0 al 9).
Cada elemento del display tiene asignado una letra que identifica su posicin en el arreglo del display.

Si se activan o encienden todos los segmentos se forma el nmero "8"


Si se activan solo los segmentos: "a, b, c, d, f," se forma el nmero "0"
Si se activan solo los segmentos: "a, b, g, e, d," se forma el nmero "2"
Si se activan solo los segmentos: "b, c, f, g," se forma el nmero "4"
p.d. representa el punto decimal.

5. Diseo del circuito.

Electrnica Digital
Como punto de partida para el diseo del reloj, se requiere de una secuencia de pulsos que activen los contadores, para
nuestro caso estos pulsos fueron obtenidos mediante un temporizador LM555 configurado para operacin como
multivibrador astable.
Los valores de los elementos externos para el 555 fueron calculados de manera que podamos obtener aproximadamente
una frecuencia de 1hz.
Los valores obtenidos fueron:
RA=1k

; RB=100k ; C1=10 F ; C2=0.01 F

(capacitor de desacoplo)

Ya que la frecuencia no es exactamente de 1hz, se coloc un potencimetro de 100K

en lugar de la resistencia fija

RB, con lo cual podemos realizar un ajuste ms fino de la frecuencia.

Una vez obtenido el generador para el funcionamiento, lo siguiente es emplear contadores para obtener la secuencia de
nmeros en binario, que luego sern decodificados y mostrados.
El CI contador que se utiliz, es el 74192, este es un contador dcada, sncrono, ascendente/descendente.
A continuacin se muestra un esquema del circuito, con su respectiva distribucin de pines:

Electrnica Digital

Los pines 16 y 8 son alimentacin (Vcc) y tierra, respectivamente.


Los pines 15, 1, 10 y 9 son entradas de datos, para establecer valor desde el cual se quiere que arranque el conteo.

Para nuestro caso, estos pines no se utilizarn, por lo que irn conectados a tierra.
Los pines 3, 2, 6 y 7 son las salidas de donde obtenemos el conteo.
Los pines 4 y 5 son las entradas descendente y ascendente de reloj, respectivamente. Para nuestra aplicacin, solo

utilizaremos la entrada ascendente de reloj, es decir el pin nmero 5.


Los pines 12 y 13 generan un pulso activo en bajo cuando se ha completado un ciclo de conteo, estos pines se utilizan
si se requiere conectar ms contadores de manera que se pueda extender el MOD para el conteo. El pin 12 genera un

pulso para conteo ascendente, mientras que el pin 13 lo hace para conteo descendente.
El pin 14, al recibir un pulso reinicia el conteo, por lo que ser utilizado para controlar el conteo requerido para un
reloj.

Para mostrar el conteo con nmeros decimales, necesitamos usar los decodificadores 7447.
El circuito Integrado 7447, es un decodificador de Binario a Decimal.

Las interconexiones necesarias entre el 74192 al 7447 son las siguientes:


QA, QB, QC y QD de un 74192 a los pines 7, 1, 2 y 6 de los 7447, respectivamente. Considerando que cada led interno de
los displays consume una corriente aproximada de 15mA, y que la alimentacin es de +5V (5/0.015=333.33), conectamos
resistencias de 330-ohm a los pines 13, 12, 11, 10, 9, 15 y 14 de los 7447, y posteriormente conectamos esas resistencias
a los puntos, b, c, d, e, f, y g de los displays de 7 segmentos, esto sin olvidar que el nodo comn de los display de 7segmentos debe ir a +5 voltios.

Electrnica Digital

Ya que se requiere contar solamente desde 00 hasta 59 en los segundos y minutos, como vamos a utilizar un formato de
24H00, de 00 a 24 en para las horas, es necesario reiniciar los contadores para que cumplan con los requerimientos
especificados. Para esto, usaremos un integrado 7408, que consta de 4 compuertas AND de 2 entradas, del cual
utilizaremos 3, 1 para reiniciar los segundos, otro para reiniciar los minutos y un ltimo para reiniciar las horas.
Para poder igualar el reloj, implementamos pulsantes que envan la seal del reloj directamente al pin ascendente de los
contadores de minutos y horas.
Ya que cada vez que se reinician los minutos, se enva un pulso del RESET del ultimo contador de minutos al UP del
contador de las horas, esto har que, cuando mandamos el pulso del reloj para igualar las horas, se reinicien tambin los
minutos, pues UP de horas y RESET de minutos estn conectados. Este problema lo arreglamos colocando un diodo, de
manera que el pulso que va del RESET del contador de minutos hacia el UP del contador de horas viaje solo en ese
sentido.
A continuacin, se muestran capturas de la simulacin del circuito funcionando en PROTEUS:

Electrnica Digital

El diagrama visible del circuito se presenta en la siguiente pgina:

6. Materiales Utilizados:

(6) Circuitos Integrados 74192.

(6) Circuitos Integrados 7447.

(1) Circuito Integrado 7408.

(1) Circuito Integrado LM555.

(1) Protoboard.

(6) Display de nodo comn.

(42) resistencias de 330 ohm.

(1) Potenciometro de 100 K.

(1) condensador de 10uF.

(1) condensador de 0.01uF.

Electrnica Digital
(1) Fuente de 5 Voltios.

(2) Pulsantes.

(3) Resistencias de 1k.

(1) Diodo.

Pinzas, y alambres conectores.

7. Conclusiones:

Se utiliz un Circuito Integrado LM555 para generar los pulsos sincrnicos que maneja el reloj, sin embargo no es
recomendable hacerlo porque se corre el riesgo de cometer errores de precisin que influyen directamente en el
manejo del tiempo, se recomienda como alternativa un Transformador AC/DC y utilizar, mediante un puente
rectificador la frecuencia de 60 Hz que proviene de la red pblica de Corriente alterna.

Al utilizar el 74192 optimizamos el circuito ya que nos ahorramos algunas compuertas que hubieran hecho el
circuito ms grande.

Por ltimo se puede decir que utilizando los conocimientos adquiridos durante todo este ciclo se logr construir
un circuito capaz de realizar un conteo aproximado de segundos, minutos y horas, muy similar a un reloj digital
comn. Adems pudimos desarrollar criterios de diseo.

Electrnica Digital

UNIVERSIDAD DE CUENCA
FACULTAD DE INGENIERIA
ESCUELA DE INGENIERIA ELCTRICA
ASIGNATURA:
ELECTRNICA DIGITAL
INFORME SOBRE:

Electrnica Digital
RELOJ DIGITAL
INEGRANTES:
Francisco Alonso
Diego Pea
Antonio Pesntez
Cuenca-Ecuador
2012

You might also like