You are on page 1of 30

DECODIFICADORES Y

DECODIFICADORES Y
DEMULTIPLEXORES
DEMULTIPLEXORES
Grupo +:
Grupo +:
Walid
Walid
Zakkour
Zakkour
David
David
!b
!b
a
a
nez
nez
David Rojo
David Rojo
DECODIFICADORES
DECODIFICADORES
-
-
FUNC!ONAN!ENTO
FUNC!ONAN!ENTO
-
-
TABLA DE vERDAD DE UN
TABLA DE vERDAD DE UN
DECOD!F!CADOR
DECOD!F!CADOR
-
-
T!POS DE DECOD!F!CADORES
T!POS DE DECOD!F!CADORES
-
-
DECOD!F!CADORES CONERC!ALES
DECOD!F!CADORES CONERC!ALES
-
-
FUNC!ONAN!ENTO
FUNC!ONAN!ENTO
-
-
TABLA DE vERDAD
TABLA DE vERDAD
-
-
CONEX!ON DE DECOD!F!CADORES
CONEX!ON DE DECOD!F!CADORES
FUNC!ONAN!ENTO
FUNC!ONAN!ENTO
Se trata de un circuito que actua de forma inversa aI codificador.
Es decir, por Ias entradas recibira informacion codificada en
binario naturaI y se activara una unica saIida. Por tanto, si eI
decodificador posee n entradas, eI numero de saIidas vendra
dado por Ia siguiente ecuacion:
TABLA DE vERDAD
TABLA DE vERDAD
DEL DECOD!F!CADOR
DEL DECOD!F!CADOR
VALIDACIO VALIDACIO
N N ENTRADAS ENTRADAS SALIDAS SALIDAS
E E I2 I2 I1 I1 I0 I0 Z7 Z7 Z6 Z6 Z5 Z5 Z4 Z4 Z3 Z3 Z2 Z2 Z1 Z1 Z0 Z0
0 0 X X X X X X 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1
1 1 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0
1 1 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0
1 1 0 0 1 1 1 1 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0
1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0
1 1 1 1 0 0 1 1 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0
1 1 1 1 1 1 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0
1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0
DESCR!PC!ON vHDL
DESCR!PC!ON vHDL
librery librery ieee ieee; ;
use.ieee.std_logic use.ieee.std_logic_1164. _1164.all all; ;
entity entity dec2to4 dec2to4 is is
Port(A Port(A: in : in std_logic_vector std_logic_vector(1 (1 downto downto 0); 0);
E: in E: in std_logic std_logic; ;
O: out O: out std_logic_vector std_logic_vector(3 (3 downto downto 0)); 0));
end end dec2to4 dec2to4
;
;
architecture architecture DEC DEC of of dec2to4 dec2to4 is is
begin begin
process(A,E process(A,E) )
begin begin
if if E = E = 0 0 then then
O <= O <= 0000 0000 ; ;
else else
case A case A is is
when when 00 00 => O <= => O <= 0001 0001 ; ;
when when 01 01 => O <= => O <= 0010 0010 ; ;
when when 10 10 => O <= => O <= 0100 0100 ; ;
when when 11 11 => O <= => O <= 1000 1000 ; ;
when when others others => O <= => O <= 0000 0000 ; ;
end end case; case;
end end if if; ;
end end process process; ;
end end DEC; DEC;
DEMULTIPLEXORES
DEMULTIPLEXORES
Grupo 4
Grupo 4
Electronica
Electronica
Digital I
Digital I
!NTRODUCC!
!NTRODUCC!
O
O
N
N
Los demultiplexores (Demux) realizan b Los demultiplexores (Demux) realizan b sicamente la sicamente la
funci funci n contraria a la del multiplexor. Recogen los n contraria a la del multiplexor. Recogen los
datos de una l datos de una l nea y las distribuye a un n nea y las distribuye a un n mero mero
determinado de l determinado de l neas de salida. neas de salida.
ANALOG
ANALOG

A
A
El demultiplexor es un circuito destinado a El demultiplexor es un circuito destinado a
transmitir una se transmitir una se al binaria a una determinada l al binaria a una determinada l nea, nea,
elegida mediante un seleccionador, de entre las elegida mediante un seleccionador, de entre las
diversas l diversas l neas existentes . neas existentes .
La analog La analog a mec a mec nica de un demultiplexor es un nica de un demultiplexor es un
selector con una entrada y varias posiciones de selector con una entrada y varias posiciones de
salida. salida.
DECODIFICADOR
DECODIFICADOR


DEMUX
DEMUX
Un decodificador se convierte en un demultiplexor Un decodificador se convierte en un demultiplexor
a a adi adi ndole una se ndole una se al m al m s a su circuiter s a su circuiter a interna. Si se a interna. Si se
aplica esta se aplica esta se al, la salida ser al, la salida ser el complemento de dicha el complemento de dicha
se se al, ya que la salida es 0 si todas las entradas son 1, y al, ya que la salida es 0 si todas las entradas son 1, y
aparecer aparecer nicamente en la l nicamente en la l nea seleccionada. nea seleccionada.
Se puede aplicar a un demultiplexor una se Se puede aplicar a un demultiplexor una se al de al de
habilitaci habilitaci n o "enable", conect n o "enable", conect ndose en cascada el ndose en cascada el
decodificador con el circuito compuesto de una puerta AND y decodificador con el circuito compuesto de una puerta AND y
dos puertas NOT cuyas entradas son la se dos puertas NOT cuyas entradas son la se al de habilitaci al de habilitaci n n
y el dato que queremos transmitir. y el dato que queremos transmitir.
Si la entrada de habilitaci Si la entrada de habilitaci n es 0, la salida ser n es 0, la salida ser el el
complemento del dato, es decir, que el dato aparecer complemento del dato, es decir, que el dato aparecer en la en la
l l nea con el c nea con el c digo deseado. Si la entrada de "enable" es digo deseado. Si la entrada de "enable" es
1, la salida ser 1, la salida ser 0, se inhiben los datos en cualquier 0, se inhiben los datos en cualquier
l l nea y todas las entradas permanecen en 1. nea y todas las entradas permanecen en 1.
RELACION:
RELACION:
SELECTORES/SALIDAS
SELECTORES/SALIDAS
La relaci La relaci n selectores/salidas es: n selectores/salidas es:
(Siendo q entradas y p las salidas) (Siendo q entradas y p las salidas)
DESCRIPCION VHDL
DESCRIPCION VHDL
librery librery ieee ieee; ;
use.ieee.std_logic use.ieee.std_logic_1164. _1164.all all; ;
entity entity dec2to4 dec2to4 is is
Port(A Port(A: in : in std_logic_vector std_logic_vector(1 (1 downto downto 0); 0);
E: in E: in std_logic std_logic; ;
O: out O: out std_logic_vector std_logic_vector(3 (3 downto downto 0)); 0));
end end dec2to4; dec2to4;
architecture architecture DEMUX DEMUX of of dec2to4 dec2to4 is is
begin begin
process(A,E process(A,E) )
begin begin
case A case A is is
when when 00 00 => O(0) <= E; O(1) <= => O(0) <= E; O(1) <= 0 0 ; O(2) <= ; O(2) <= 0 0 ; O(3) <= ; O(3) <= 0 0 ; ;
when when 01 01 => O(0) <= => O(0) <= 0 0 ; O(1) <= E; O(2) <= ; O(1) <= E; O(2) <= 0 0 ; O(3) <= ; O(3) <= 0 0 ; ;
when when 10 10 => O(0) <= => O(0) <= 0 0 ; O(1) <= ; O(1) <= 0 0 ; O(2) <= E; O(3) <= ; O(2) <= E; O(3) <= 0 0 ; ;
when when 11 11 => O(0) <= => O(0) <= 0 0 ; O(1) <= ; O(1) <= 0 0 ; O(2) <= ; O(2) <= 0 0 ; O(3) <= E; ; O(3) <= E;
when when others others 00 00 => O(0) <= => O(0) <= 0 0 ; O(1) <= ; O(1) <= 0 0 ; O(2) <= ; O(2) <= 0 0 ; O(3) <= ; O(3) <= 0 0 ; ;
end end case; case;
end end process process; ;
end end DEMUX; DEMUX;
Ejercicio
Ejercicio
n
n

1
1
demultiplexores
demultiplexores
- !mplementar un decodificador de cuatro a
dos mediante el uso de puertas lgicas
AND y NOT.
- !mplementar un demultiplexor de cuatro a
uno canales mediante el uso de puertas
lgicas AND, OR y NOT.
FUNCIONAMIENTO DE
FUNCIONAMIENTO DE
74LS154
74LS154
FUNCIONAMIENTO DE
FUNCIONAMIENTO DE
74LS154
74LS154
El 74LS154 es un decodificador de (4 a 16 l El 74LS154 es un decodificador de (4 a 16 l neas). neas).
Se puede utilizar en diversas aplicaciones como Se puede utilizar en diversas aplicaciones como
demultiplexor demultiplexor. .
Las l Las l neas de entrada se usan como l neas de entrada se usan como l neas de neas de
selecci selecci n. n.
Una de las entradas de activaci Una de las entradas de activaci n se usa como l n se usa como l nea nea
de entrada de datos y la otra se mantiene a nivel de entrada de datos y la otra se mantiene a nivel
bajo para activar la puerta interna negativa bajo para activar la puerta interna negativa- -AND AND
( (enable enable). ).
Ejemplo:
Ejemplo:
Dise Dise ar un ar un demultiplexor demultiplexor 2_a_4 utilizando llaves de 2_a_4 utilizando llaves de
paso MOS: paso MOS:
Ejemplo:
Ejemplo:
* * Dise Dise ar un ar un demultiplexor demultiplexor 2a4 utilizando un 2a4 utilizando un
decodificador y decodificador y buffers buffers de 3 estados. de 3 estados.
Problema: Dise Problema: Dise ar un multiplexor de 4 entradas realizando ar un multiplexor de 4 entradas realizando
un peque un peque o cambio estructural en este dise o cambio estructural en este dise o. o.
Ejercicio propuesto:
Ejercicio propuesto:
Dise Dise ar un ar un demultiplexor demultiplexor 3 a 8 utilizando 3 a 8 utilizando
demultiplexores demultiplexores m m s peque s peque os. os.
Se quiere dise Se quiere dise ar un decodificador de 12 direcciones de ar un decodificador de 12 direcciones de
0 a 11 utilizando decodificadores binarios (2 a 4, 3 a 0 a 11 utilizando decodificadores binarios (2 a 4, 3 a
8, 8, etc etc). Indicar cu ). Indicar cu l es el n l es el n mero m mero m nimo de nimo de
decodificadores binarios que hay que utilizar y realizar decodificadores binarios que hay que utilizar y realizar
el dise el dise o del decodificador utilizando los o del decodificador utilizando los
decodificadores binarios y las puertas l decodificadores binarios y las puertas l gicas que sean gicas que sean
necesarias (un inversor). necesarias (un inversor).
Dos
Dos
tipos
tipos
de
de
decodificadores
decodificadores
:
:
-
-
No
No
excitadores
excitadores
A
A
su
su
salida
salida
se
se
obtiene
obtiene
una
una
corriente
corriente
muy
muy
peque
peque
n
n
a
a
,
,
por
por
tanto
tanto
,
,
unicamente
unicamente
pueden
pueden
conectarse
conectarse
a
a
circuitos
circuitos
digitales
digitales
de la
de la
misma
misma
familia
familia
-
-
Excitadores
Excitadores
A
A
su
su
salida
salida
son
son
capaces
capaces
de
de
suministrar
suministrar
la
la
suficiente
suficiente
intensidad
intensidad
como
como
para
para
ser
ser
conectados
conectados
a
a
otros
otros
circuitos
circuitos
tales
tales
como
como
displays,
displays,
reles
reles
.
.
Decodificador
Decodificador
BCD
BCD
-
-
7
7
segmentos
segmentos
A
A
diferencia
diferencia
de los
de los
decodificadores
decodificadores
tradicionales
tradicionales
,
,
estos
estos
pueden
pueden
activar
activar
varias
varias
salidas
salidas
al
al
mismo
mismo
tiempo
tiempo
y son
y son
capaces
capaces
de
de
proporcionar
proporcionar
o
o
absorver
absorver
mas
mas
corriente
corriente
para
para
excitar
excitar
unidades
unidades
de
de
presentacion
presentacion
visual.
visual.
*
*
Decodificador
Decodificador
comercial
comercial
5+f7++7A
5+f7++7A
-
-
5+LSf7+LS+7 (BCD
5+LSf7+LS+7 (BCD
-
-
7
7
segmentos
segmentos
)
)
Formado
Formado
por
por
:
:
-
-
+
+
entradas
entradas
:
:
para
para
los
los
numeros
numeros
bcd
bcd
-
-
7
7
salidas
salidas
:
:
activas
activas
a
a
nivel
nivel
bajo
bajo
-
-
Entradas
Entradas
y
y
salidas
salidas
adicionales
adicionales
-
-
LT.L
LT.L
(Lamp test input)
(Lamp test input)
-
-
RB!.L
RB!.L
(Ripple Blanking !nput)
(Ripple Blanking !nput)
-
-
B!fRBO.L
B!fRBO.L
(Blanking !nputfRipple Blanking
(Blanking !nputfRipple Blanking
Output)
Output)
X
X
4
7
Funcionamiento
Funcionamiento
de
de
las
las
entradas
entradas
y
y
salidas
salidas
adicionales
adicionales
LT.L LT.L RBI.L RBI.L BIJRBO.L BIJRBO.L A A







FUNCION FUNCION
0 0 X X 1 { 1 {salida salida) ) X X
Enciende Enciende todos todos los los
segmentos segmentos
X X X X
0 0
{ {entrada entrada) ) X X
Se apagan todos los Se apagan todos los
segmentos segmentos
1 1 0 0 0 { 0 {salida salida) ) 0 0 0 0 {cero) 0 0 0 0 {cero)
Se apagan todos los Se apagan todos los
segmentos segmentos
1 1 1 1 1 { 1 {salida salida) ) 0 0 0 0 {cero) 0 0 0 0 {cero) Aparece Aparece el cero el cero
1 1 X X 1 { 1 {salida salida) )
Cualquier Cualquier
numero numero
menos el menos el
cero cero
Decodificacion Decodificacion normal normal
Tabla
Tabla
de
de
verdad
verdad
del
del
decodificador
decodificador
comercial
comercial
NUM NUM
ENTRADAS ENTRADAS EJS EJS SALIDAS SALIDAS
LT LT RBI RBI A A
3 3
A A
2 2
A A
1 1
A A
0 0
RIJRB RIJRB
O O a a b b c c d d e e f f g g
0 0 1 1 1 1 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1
1 1 1 1 X X 0 0 0 0 0 0 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1
2 2 1 1 X X 0 0 0 0 1 1 0 0 1 1 0 0 1 1 1 1 0 0 0 0 1 1 0 0
3 3 1 1 X X 0 0 0 0 1 1 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 0 0
4 4 1 1 X X 0 0 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0
5 5 1 1 X X 0 0 1 1 0 0 1 1 1 1 0 0 1 1 0 0 0 0 1 1 0 0 0 0
6 6 1 1 X X 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 0 0 0 0 0 0 0 0 0
7 7 1 1 X X 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 1 1 1 1 1 1 1 1
S S 1 1 X X 1 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0
9 9 1 1 X X 1 1 0 0 0 0 1 1 1 1 0 0 0 0 0 0 1 1 1 1 0 0 0 0
10 10 1 1 X X 1 1 0 0 1 1 0 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 0 0
11 11 1 1 X X 1 1 0 0 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 0 0
12 12 1 1 X X 1 1 1 1 0 0 0 0 1 1 1 1 0 0 1 1 1 1 1 1 0 0 0 0
13 13 1 1 X X 1 1 1 1 0 0 1 1 1 1 0 0 1 1 1 1 0 0 1 1 0 0 0 0
14 14 1 1 X X 1 1 1 1 1 1 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0
15 15 1 1 X X 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
BI BI X X X X X X X X X X X X 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
RBI RBI 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
LT LT 0 0 X X X X X X X X X X 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0
A
l

n
o

v
i
s
u
a
l
i
z
a
r
s
e
s
e

p
o
n
e

a

c
e
r
o
CONEX!ON DECOD!F!CADORES
CONEX!ON DECOD!F!CADORES
A
l

n
o

v
i
s
u
a
l
i
z
a
r
s
e
s
e

p
o
n
e

a

c
e
r
o
A
l

n
o

v
i
s
u
a
l
i
z
a
r
s
e
s
e

p
o
n
e

a

c
e
r
o
A
l

v
i
s
u
a
l
i
z
a
r
s
e
s
e

p
o
n
e

a

u
n
o
Ejercicio practico n
Ejercicio practico n

1:
1:
-
-
* Se quiere dise
* Se quiere dise
n
n
ar un sistema de un
ar un sistema de un
ascensor que nos permita saber en que
ascensor que nos permita saber en que
n
n
u
u
mero de planta nos encontramos en un
mero de planta nos encontramos en un
edificio de 7 plantas?
edificio de 7 plantas?
NOTA:
NOTA:
-
-
> Decodificador de prioridad m
> Decodificador de prioridad m
a
a
s un
s un
decodificador BCD
decodificador BCD
-
-
7segmentos.
7segmentos.
CONTROL DE P!SO EN UN
CONTROL DE P!SO EN UN
ASCENSOR
ASCENSOR
Ejercicio practico
Ejercicio practico
n
n

2
2
:
:
-
-
* Se desea saber la cantidad de combustible que
* Se desea saber la cantidad de combustible que
hay en el deposito de una motocicleta, sabiendo
hay en el deposito de una motocicleta, sabiendo
que el deposito puede contener como m
que el deposito puede contener como m
a
a
ximo
ximo
15L?
15L?
-
- NOTA NOTA - -> >
Este ejercicio lleva la
Este ejercicio lleva la
union
union
de varios
de varios
dispositivos q veremos posteriormente en la
dispositivos q veremos posteriormente en la
asignatura pero es muy bueno para comprender
asignatura pero es muy bueno para comprender
sus verdaderas
sus verdaderas
aplicaiones
aplicaiones
pr
pr
a
a
cticas.
cticas.
Narcador digital con 2
Narcador digital con 2
displays
displays

You might also like