You are on page 1of 4

techtrends By Brian Dipert, Senior Technical Editor

INNOVATIVE FPGA DESIGNS CAN MODERATE


THE ESCALATING POWER PROBLEM AT THE
EXPENSE OF PERFORMANCE, BUT A TECHNOLOGY
SHIFT MAY PROVE TO BE YOUR BEST BET.

Heat
wave
FPGAs
confront
increasing,
evolving
power H
istorically, those of you who include programmable-logic
devices in your system designs probably focused your power-
consumption prediction and reduction efforts on dynamic, or “ac-
consumption tive,” power draw. This emphasis existed for good reason: Until re-
cently, dynamic power consumption dominated the total power
profile of a chip, and it was a factor you coming an increasingly significant factor
could influence through your design de- in overall FPGA power consumption, too
cisions. Static power consumption was (Figure 1). If you employ FPGAs that are
primarily an issue with CPLDs’ sense am- based on SRAM configuration elements,
plifiers, whose power-versus-perform- power-up current surges also demand at-
At a glance ..........................62 ance behavior you often could also con- tention. Although the chip vendor’s de-
trol. EDN’s coverage of programmable- sign decisions, rather than yours, define
CPLDs also suspect ..........64
logic power consumption, whose recom- a device’s static power consumption, an
Web-site launching mended design techniques remain valid, understanding of static power consump-
pads ......................................67 appropriately focused on these topics tion’s root causes can guide your FPGA-
For more information ......67 (Reference 1). technology, vendor, architecture, device,
Fast-forward to today, though, and and packaging selections. Accurate pow-
static, or “standby,” power draw is be- er-consumption prediction, before pro-
www.edn.com August 5, 2004 | edn 61
techtrends FPGA static power

totype implementation, also enables you sistor operating frequencies and number
AT A GLANCE
to appropriately design your system’s of per-chip transistors.
power-generation and -distribution sub- 컄 FPGAs aren’t immune from the power Low-voltage-driven transistors, how-
systems, along with its heat-removal ap- crisis now facing modern CMOS-based ever, switch more slowly than high-volt-
paratus, thereby maximizing the proba- chips. age-driven ones unless process- and
bility that your system correctly chip-design engineers also scale down
functions the first time you turn it on. 컄 Leakage-current effects are now hinder- the switching threshold voltage, VTH, or
ing shrinking transistors’ performance im- VT. After all, ever-faster switching with
WHAT’S CHANGED? provements. incremental process generations is also
Escalating power consumption isn’t an an objective of Moore’s Law’s various
issue that’s restricted to FPGAs, of 컄 SRAM-based FPGA leaders’ application- corollaries. Unfortunately, at 130 nm and
course; it’s a phenomenon that’s generic tuned transistors reduce the leakage-current smaller process nodes, the decreasing
to any CMOS-based device that vendors problem. threshold voltage combines with the ear-
manufacture on today’s advanced near- lier mentioned decreasing transistor di-
and less-than-100-nm lithographies. Any 컄 Switching to an antifuse- or flash-based mensions to create transistors that are
of you who’ve, for example, followed In- alternative enables additional improve- never fully off or on. They constantly leak
tel’s travails associated with its 130-nm- ments. current; to use a bipolar-transistor anal-
Northwood-to-90-nm-Prescott-CPU ogy, they operate in the active, or linear,
transition are familiar with the topic. In- 컄 EDA tools are making incremental region, and they exponentially leak more
tel to date has been unable to translate progress in enabling you to accurately pre- current with each lithography reduction.
the 130-to-90-nm transistor reduction to dict chips’ power consumption on your This static current comes in subthresh-
appreciable speed gains, as it has accom- design. old, gate, and reverse-biased leakage
plished in past lithography conversions, types.
because to do so would have further
bloated the chips’ already-hefty 100W cost-effective piece of silicon (Reference WHAT CAN YOU DO?
and larger power budgets. 2). The larger the number of convention-
Semiconductors are now at a point, Today’s transistors’ narrow oxides al CMOS transistors on a chip, pre-
with conventional silicon-dioxide proc- mean that they cannot tolerate the high dictably, the bigger the leakage-current
ess technology, at which ever-shrinking voltages of times past, but, from a dy- problem. SRAM-based FPGAs, which
transistor dimensions have in effect run namic power consumption standpoint, use these transistors not only for logic-
into a power-consumption brick wall. this increased voltage sensitivity is a plus. and embedded-memory-array func-
Two transistor specifications are of par- Look at the equation P⫽1/2CV2f for dy- tions, but also for chip-configuration el-
ticular interest: oxide thickness and min- namic power, where C is the capacitance ements, garner the bulk of today’s FPGA
imum channel length. The well-known, being charged or discharged, V is the business but are most susceptible to leak-
oft-quoted Moore’s Law neatly explains voltage swing the transistor experiences age-current effects. To combat the prob-
why these dimensions are decreasing; during its transition, and f is the transis- lem, Altera employs two types of transis-
chip designers can squeeze more of the tor switching frequency. Decreasing volt- tors on its ultradense Stratix II FPGAs.
smaller transistors onto a given-sized age counterbalances the increasing tran- A high-performance transistor with low
voltage threshold and small minimal
channel length finds use in speed-critical
areas of the chip such as DSP blocks and
TOTAL the circuitry within a logic element. A
POWER
low-power transistor with higher thresh-
old voltage and larger minimum channel
DYNAMIC POWER length implements less performance-de-
POWER manding areas of the chip, such as con-
figuration RAM and memory blocks.
Altera’s lower-density Cyclone II chips
are intended for more cost-sensitive sys-
tem designs that can’t afford exotic pow-
STATIC POWER er supplies and expensive thermal-man-
agement schemes, such as thermal-slug-
0
0.25 MICRON 0.18 MICRON 0.13 MICRON 90 nm 65 nm 45 nm inclusive ceramic-chip packages, heat
(1.8V) (1.5V) (1.2V) (0.8V) sinks, and multiple high-output system
Figure 1 fans (Reference 3). Therefore, Cyclone II
PROCESS
employs a much higher percentage of
Without architecture and transistor optimizations, whose results this graphic omits, newer FPGAs Stratix II’s power-thrifty transistor op-
would exhibit much higher dynamic and—especially—static power draw, even with the same num- tion. Conversely, Xilinx’s Virtex-4 chips
ber of I/O buffers and at lower operating voltages than predecessors, due to increased transistor sell into the same high-end system de-
integration, faster clock frequencies, and higher leakage current (courtesy Altera). signs that Stratix II targets. Xilinx and
62 edn | August 5, 2004 www.edn.com
techtrends FPGA static power

foundry partner UMC have reportedly process. Drive the device’s inputs to full
figured out how to fabricate, within a sin- CPLDs ALSO SUSPECT CMOS levels and connect unused inputs
gle device, three 90-nm transistor struc- Although the primary focus of this article to VCC, too, but accept that you can’t ul-
tures with varying oxide thicknesses to is FPGAs, any transistor-rich device manu- timately control the leakage current of
hit differing performance and leakage- factured on advanced-process lithogra- internal device nodes. However, the chip
current targets. phies is also susceptible to high static- vendors are addressing your reasonable
Transmeta’s upcoming 90-nm variants power consumption. Specifically, SRAM- request for an early estimate of power
of the Efficeon CPU family will take tran- based CPLDs that are or will soon be can- consumption before you fire up your first
sistor customization to an even higher didates for the leakage-induced phenome- system prototype.
level by using the LongRun2 technique, non include Altera’s Max II, which, despite Most vendors’ Web sites provide for
dynamically altering transistors’ thresh- its market positioning, is an FPGA; Cypress download, at a minimum, various pow-
old voltages as system-performance Semiconductor’s Delta39K; and Lattice er calculators, which they often base on
needs change. No FPGA vendor has yet Semiconductor’s ispXPLD. See “EDN’s Excel spreadsheets (see sidebar “Web-site
announced a similarly complex response fourth annual programmable-logic directo- launching pads”). Some of them are
to the static-power problem. But perhaps ry,” EDN, June 10, 2004, pg 49, for more frankly crude, although, as a rule, they’re
Lattice Semiconductor, which, like Trans- information. more robust than the last time I exam-
meta, uses Fujitsu as its foundry partner, ined them in 1997. They typically allow
will employ the technique in some future nonvolatile-to-volatile-memory-config- you to specify only a device name, there-
90-nm-based FPGA family. And, longer uration-transfer process, nor the redun- by determining its transistor count; an
term, a number of semiconductor com- dant power draw of the separate non- internal-clock frequency; and the per-
panies, including Intel, as it announced volatile-configuration-storage device. centage of the device’s internal registers
last November, are investigating and im- Alternatively, you could employ a mask- that your design employs, thereby pre-
plementing high-k dielectric materials programmable HardCopy FPGA variant dominantly focusing their attention on
that can construct thick, leakage-resist- from Altera in your system design or a nominal active-power consumption.
ant transistor gates that don’t suffer from device with user-programmable logic but Others are more sophisticated; compre-
silicon-dioxide’s degraded performance. hard-wired routing from eASIC or Leop- hending static-power estimates and the
Actel and competitor QuickLogic have ard Logic. full spectrum of the device’s operating
another idea: Dispense with the transis- temperature and voltage ranges, enabling
tor-gobbling SRAM-based configuration POWER-COGNIZANT EDA you to account for the presence of mul-
elements (see sidebar “CPLDs also sus- Once you select an FPGA technology, tiple internal clocks and including the in-
pect”). Actel offers two technologies for vendor, product family, and device, you cremental power consumption of I/O
your consideration: its multiple antifuse- can do little in the design process to in- buffers, DLLs and PLLs, DSP and mem-
based FPGA families, along the flash fluence its static-power draw. Compile ory block, and other dedicated-function
memory-based ProASIC and Pro-ASIC your design to be as compact as possible, circuits.
Plus chips, whose standby current, ac- therefore fitting into the smallest device After you synthesize your design, you
cording to the company, is as low as 15 with the fewest transistors, but don’t un- have a more detailed understanding of
mA for commercial-temperature devices duly constrain its performance in the the device logic and memory resources it
and 20 mA for industrial-temperature-
screened parts. QuickLogic’s latest POWER-ON INRUSH
Eclipse II antifuse family runs at 1.8V
and specifies standby current as low as 17
␮A for the smallest device in the family
and with internal charge pumps disabled. ACTIVE (FREQUENCY
More generally, both Actel and Quick- DEPENDENT)
SYSTEM-
Logic point out that, because their prod-
SUPPLY
ucts run on less aggressive and less leaky CURRENT VOLTAGE
0.13-micron and older processes, their
devices’ transistors aren’t to the same de-
gree subject to static-power effects as the CONFIGURATION
latest generation Altera and Xilinx prod-
ucts are.
Because antifuse and flash FPGAs STATIC

power up in predefined logic and rout-


ing states, you don’t need to compensate
in your system design for the significant TIME OR FREQUENCY

inrush current that results from SRAM


SRAM-based FPGAs’ unpredictable Figure 2 FLASH AND ANTIFUSE
start-up configurations (Figure 2). Your
system also needs to endure neither the SRAM-based FPGAs are subject to high start-up currents and lengthy configurations that don’t
power draw of the SRAM-based FPGAs’ plague nonvolatile antifuse- and flash-based alternatives (courtesy Actel).
64 edn | August 5, 2004 www.edn.com
techtrends FPGA static power

device resource is still missing at the


WEB-SITE postsynthesis point, though; you can fac-
tor in routing effects on the device’s op-
LAUNCHING PADS erating speed and power only after the
In researching this article, I found the fol- design successfully completes the subse-
lowing power-centric Web pages. Other quent placement-and-routing process.
companies’ Web-site resources, although, Actel’s SmartPower, Altera’s Quartus II
in some cases, as equally comprehensive Simulator, and Xilinx’s Xpower, for ex-
as the following list, aren’t centralized and ample, can all operate at the postlayout
therefore require a bit more search- stage. SmartPower and Quartus II even
engine assistance to uncover. On the estimate power consumption based on a
other hand, I may not have stumbled design-specific simulation vector test
across the relevant summary pages: suite you create, for the—theoretically—
• Actel: www.actel.com/products/ most accurate result.
rescenter/power/ Nothing beats real life for ultimate
• Altera: ww.altera.com/support/ precision, though. For that, you need to
devices/dvs-timing_power.html, toss your design at one of the vendor’s
• QuickLogic: www.quicklogic.com/ or its partners’ evaluation boards (Ref-
lowpower, and erence 4). QuickLogic, which, with its
• Xilinx: www.xilinx.com/products/ FPGAs, hopes to claim power as a lead-
design_resources/design_tool/group- ership plank, just as Xilinx does with
ing/power_tools.htm. CoolRunner in CPLDs, has even crafted
a power-centric daughtercard for its
employs and how fast they’re running Eclipse II evaluation platform, contain-
than you did when it existed only as HDL ing analog circuitry, a microcontroller
code. Some of the tools import the com- and an LCD (Figure 3).왏
piled design files for a more accurate es-
timate of the power consumption you’ll Author’s bio graphy
ultimately see in real life. One important Technical editor Brian
Dipert sends good-luck
vibes to the engineers
and solid-state physicists
hard at work solving the
static-power problem
and thereby extending
Moore’s Law’s incredible run. Reach him
at 1-916-454-5242, fax 1-617-558-4470,
bdipert@edn.com, and www.bdipert.com.

References
You can find the references to this arti-
cle on the Web version at www.edn.com.
Figure 3 Nothing beats lab-bench
testing for the most accurate estimates of your Talk to us
design’s power-consumption profile (courtesy Post comments via TalkBack at the online
QuickLogic). version of this article at www.edn.com.

FOR MORE INFORMATION...


For more information on products such as those discussed in this article, contact any of the following man-
ufacturers directly, and please let them know you read about their products in EDN.
Actel eASIC Leopard Logic Xilinx
www.actel.com www.easic.com www.leopardlogic.com www.xilinx.com

Altera Fujitsu QuickLogic


www.altera.com www.fujitsu.com www.quicklogic.com

Cypress Lattice Transmeta


Semiconductor Semiconductor www.transmeta.com
www.cypress.com www.latticesemi.com

www.edn.com August 5, 2004 | edn 67

You might also like