You are on page 1of 205

INSTITUTO TECNOLGICO DE TOLUCA

INGENIERA ELECTROMECNICA
CRESTOMATIA DE
ELECTRNICA
PROFESOR: ING. HCTOR GARCA DE LA ROSA
ING. OMAR GARCIA DE LA ROSA
AGOSTO 2011
CURSO DE ELECTRNICA
UNIDAD I
DIODO
UNIDAD II
TRANSISTOR BIPOLAR DE UNIN
UNIDAD III
AMPLIFICADORES OPERACIONALES Y CIRCUITOS
DE TIEMPO
UNIDAD IV
PRINCIPIOS DE ELECTRNICA DIGITAL
UNIDAD V
PRINCIPIOS DE ELECTRNICA DE POTENCIA
UNIDAD VI
CONTROLADORES LGICOS PROGRAMABLES
(PLC
UNIDAD I
DIODOS
1.1 C!"#$%%&'" (" )(*&%!"#$%+!,().
1.2 S(*&%!"#$%+!,() %!"+-*&"-#!) . $"&'" PN.
1.3 C$,/- %-,-%+(,0)+&%- . ()1(%&2&%-%&!"().
1.4 C&,%$&+!) ,(%+&2&%-#!,().
1.5 C&,%$&+!) 3&*&+-#!,() #( "&/(3.
1.6 D&!#! 4("(,.
1.5 R(6$3-%&'" %!" #&!#! 4("(,.
DIODOS
Los diodos realizan una gran variedad de funciones entre ellas, la rectificacin de
seales de corriente alterna en fuentes de poder y en radios AM, reguladores de
voltaje, formadores de onda, duplicadores de voltaje, selectores de frecuencia,
detectores de FM, disparadores, indicadores luminosos, detectores de haz,
generadores lser, etc. Las aplicaciones de los diodos son muchas y muy
variadas; de ah la importancia de conocerlos mas a fondo.
Los diodos semiconductores como su nombre lo indica, son dispositivos
conformados por dos uniones de material semiconductor, una tipo P y otra tipo N.
Su nombre proviene de la contraccin de las palabrasdos electrones, en ingles.
En la actualidad, la palabra diodo se utiliza de manera ms amplia para definir
muchos dispositivos semiconductores que nicamente tienen dos terminales de
conexin; esto a pesar de que su formacin interna sea de mas de dos secciones
de material semiconductor.
A la seccin P de un diodo se le conoce con el nombre de 7"!#! y a la seccin
N con el %7+!#!.
En la codificacin de diodos se distinguen tres cdigos fundamentales, que son:
Europeo (PROELECTRN)
Americano (JEDEC)
Japons (JS)
Habitualmente se utiliza la codificacin europea o americana.
1. Cdigo europeo (PROELECTRN).-Dispone de dos o tres letras seguidas
de un nmero (que tambin puede tener alguna letra intermedia).La primera
letra indica el material utilizado (A al Germanio, B al Silicio). Las otras letras
son relativas a la aplicacin.
2. Cdigo americano (JEDEC).- El cdigo americano empieza con 1N (una
unin) seguido de un nmero sin ninguna significacin especial que no sea
de identificacin en catlogo.
Evidentemente estas distintas codificaciones dan lugar a que diodos con cdigos
diferentes puedan ser equivalentes.
Cuando un diodo se encuentra en polarizacin directa, los electrones libres de la
seccin N y los huecos de la seccin P son repelidos hacia la unin P-N debido al
voltaje aplicado por la fuente externa.
Si el voltaje de polarizacin es mas que el de la barrera de potencial, entonces un
electrn de la seccin N cruzara a travs de la unin para recombinarse con un
hueco de la seccin P, el desplazamiento de los electrones hacia la unin, genera
iones positivos dentro de la seccin N, los cuales atraen a los electrones del
conductor externo hacia el interior de cristal.
Una vez dentro, los electrones pueden desplazarse tambin hacia la unin para
recombinarse con los electrones de la unin P, mismos que se convierten en
electrones de valencia y son atrados por el polo positivo del conductor externo;
entonces salen del cristal (semiconductor P), y de ah se dirigen hacia la batera.
El hecho de que un electrn de valencia en la seccin P se mueva hacia el
extremo izquierdo, es equivalente a que un hueco se desplace hacia la unin.
Este proceso de flujo de corriente en el diodo se mantiene en tanto exista la
polarizacin directa con el voltaje mayor a la barrera de potencial.
Si el diodo esta polarizado de manera inversa, los huecos de la seccin P son
atrados hacia el polo negativo de la batera y los electrones de la seccin N son
atrados hacia el polo positivo. Puesto que huecos y electrones se alejan de la
unin, la zona de deplexin crece de acuerdo con el valor del voltaje inverso
aplicado a las terminales del diodo. Por tanto, la zona de deplexin deja de
aumentar cuando tiene una diferencia de potencial igual al valor de la tensin
inversa aplicada con la zona de deplexin aumentada, no circula entonces la
corriente elctrica; la razn es que el positivo, en cierta forma, aument el mximo
de sus resistencia elctrica interna.
Aunque de manera practica consideramos que no hay flujo de corriente elctrica a
travs del diodo de polarizacin inversa, realmente si se genera un pequeo flujo
de corriente elctrica inversa.
El calor del ambiente hace que de manera espontnea se generen pares (hueco-
electrn) suficiente para mantener un diminuto flujo de corriente elctrica. A la
corriente elctrica tambin se ele conoce como "corriente de portadores
minoritarios.
Hay otra corriente que se genera de manera paralela a la corriente inversa, y es la
elctrica superficial de fugas; esta es producida por impurezas de la superficie del
cristal e imperfecciones en sus estructura interna.
1.1 C!"#$%%&'" (" )(*&%!"#$%+!,()
Un semiconductor es un componente que no es directamente un conductor de
corriente, pero tampoco es un aislante. En un conductor la corriente es debida al
movimiento de las cargas negativas (electrones). En los semiconductores se
producen corrientes producidas por el movimiento de electrones como de las
cargas positivas (huecos). Los semiconductores son aquellos elementos
pertenecientes al grupo V de la tabla Peridica (Silicio, Germanio, etc.).
En los tomos de silicio y germanio, los electrones se mantienen juntos con
suficiente fuerza. Los electrones interiores se encuentran a gran profundidad
dentro del tomo, mientras que los electrones de valencia son parte del enlace
covalente: no pueden desprenderse sin recibir una considerable cantidad de
energa. Generalmente a estos se le introducen tomos de otros elementos,
denominados impurezas, de forma que la corriente se deba primordialmente a los
electrones o a los huecos, dependiendo de la impureza introducida. Otra
caracterstica que los diferencia se refiere a su resistividad, estando sta
comprendida entre la de los metales y la de los aislantes.
El germanio es un ejemplo de semiconductor, tiene cuatro electrones en su orbital
de valencia. Hace unos aos el germanio era el nico material adecuado para la
fabricacin de dispositivos semiconductores. Sin embargo estos dispositivos de
germanio tenan un grave inconveniente, que no pudo ser resuelto por los
ingenieros: su excesiva corriente inversa.
Mas tarde otro semiconductor, el silicio, se hizo mas practico dejando obsoleto al
germanio en la mayora de las aplicaciones electrnicas. Despus del oxigeno el
silicio es el elemento mas abundante en la tierra.
El funcionamiento del diodo ideal es el de un componente que presenta resistencia
nula al paso de la corriente en un determinado sentido, y resistencia infinita en el
sentido opuesto. La punta de la flecha del smbolo circuital, representada en la
figura 1, indica el sentido permitido de la corriente.
presenta resistencia nula.
presenta resistencia infinita.
Mediante el siguiente ejemplo se pretende mostrar el funcionamiento ideal de un
diodo en circuito sencillo.

Figura 1.1: Ejemplo de funcionamiento del diodo ideal.
Segn est colocada la fuente, la corriente debe circular en sentido horario.
En el circuito de la izquierda, el diodo permite dicha circulacin, ya que la corriente
entra por el nodo, y ste se comporta como un interruptor cerrado. Debido a esto,
se produce una cada de tensin de 10V en la resistencia, y se obtiene una
corriente de 5mA.
En el circuito de la derecha, el diodo impide el paso de corriente, comportndose
como un interruptor abierto, y la cada de tensin en la resistencia es nula: los 10V
se aplican al diodo.
2.2.1 P,&"%&1-3() %-,-%+(,0)+&%-) %!*(,%&-3()
A la hora de elegir un diodo para una aplicacin concreta se debe cuidar que
presente unas caractersticas apropiadas para dicha aplicacin. Para ello, se debe
examinar cuidadosamente la hoja de especificaciones que el fabricante provee.
Las caractersticas comerciales ms importantes de los diodos que aparecen en
cualquier hoja de especificaciones son:
1. Corriente mxima en directa, Fmax o FM (DC forward current): Es la
corriente continua mxima que puede atravesar el diodo en directa sin que
este sufra ningn dao, puesto que una alta corriente puede provocar un
calentamiento por efecto Joule excesivo. Los fabricantes suelen distinguir
tres lmites:
o Corriente mxima continua (FM)
o Corriente de pico transitoria (Peak forward surge current), en la que
se especifica tambin el tiempo que dura el pico
o Corriente de pico repetitivo (Recurrent peak forward current), en la
que se especifica la frecuencia mxima del pico
1. Tensin de ruptura en polarizacin inversa (Breakdown Voltage,
BV; Peak Inverse Voltage, PIV): Es la tensin a la que se produce el
fenmeno de ruptura por avalancha.
2. Tensin mxima de trabajo en inversa (Max!un "orkng Inverse
Voltage): Es la tensin que el fabricante recomienda no sobrepasar
para una operacin en inversa segura.
3. C!,,&("+( (" &"/(,)-8 R (Reverse current): Es habitual que se
exprese para diferentes valores de la tensin inversa
4. Cada de tensin en PD, VF (#orward Voltage): Pese a que se ha
sealado anteriormente los 0.7V como valor tpico, en muchas
ocasiones los fabricantes aportan datos detallados de esta cada de
tensin, mediante la grfica -V del dispositivo.
Adems, es frecuente que los fabricantes suministren datos adicionales a cerca
del comportamiento del dispositivo para otras temperaturas diferentes a la
nominal. En el Anejo A.1 de este documento se incluyen unas hojas de datos de
diodos a modo de ejemplo.
1.2 S(*&%!"#$%+!,() %!"+-*&"-#!) . $"&'" PN.
La conductividad de un semiconductor se puede aumentar en forma considerable
cuando se introducen cantidades pequeas de impurezas especficas en el cristal.
Este procedimiento se llama dopado. Si las sustancias contaminantes tienen
electrones libres extra, se conoce como donador, y el semiconductor contaminado
es de tipo n. Los portadores mayoritarios son electrones y los portadores
minoritarios son huecos, pues existen ms electrones que huecos. Si la sustancia
contaminante tiene huecos extra, se conoce como aceptor o receptor, y el
semiconductor contaminado es de tipo p. Los portadores mayoritarios son huecos
y los minoritarios son electrones. Los materiales contaminados se conocen como
semiconductores extrnsecos, mientras que las sustancias puras son materiales
intrnsecos.
2.9 D&!#! #( $"&'" PN
Actualmente los diodos se fabrican a partir de la unin de dos materiales
semiconductores de caractersticas opuestas, es decir, uno de tipo N y otro de tipo
P. A esta estructura se le aaden dos terminales metlicos para la conexin con el
resto del circuito. En la Figura 1.2: se presenta el esquema de los dos tipos de
diodos que se fabrican actualmente, el diodo vertcal y el plano.

Figura 1.2: Esquemas de diodos de unin PN.
El hecho de que los diodos se fabriquen con estos materiales conlleva algunas
desviaciones de comportamiento con respecto al diodo ideal.
En este apartado se presenta en primer lugar el proceso de formacin de los
diodos de semiconductores para pasar despus a exponer el comportamiento
elctrico y las desviaciones con respecto al comportamiento ideal.
2.9.1 F!,*-%&'" #( 3- $"&'" PN
Supongamos que se dispone de un monocristal de silicio puro, dividido en dos
zonas con una frontera ntida, definida por un plano. Una zona se dopa con
impurezas de tipo P y la otra de tipo N (Figura 1.3). La zona P tiene un exceso de
huecos, y se obtiene introduciendo tomos del grupo en la red cristalina (por
ejemplo, boro). La zona N dispone de electrones en exceso, procedentes de
tomos del grupo V (fsforo). En ambos casos se tienen tambin portadores de
signo contrario, aunque en una concentracin varios rdenes de magnitud inferior
(portadores minoritarios).
Figura 1.3: mpurificacin del silicio para la obtencin de diodos PN.
En cada zona la carga total es neutra: por cada electrn hay un ion positivo, y por
cada hueco un ion negativo, es decir, no existen distribuciones de carga neta, ni
campos elctricos internos. En el momento mismo de crear dos zonas de diferente
concentracin de portadores, entra en juego el mecanismo de la difusin. Como se
recordar, este fenmeno tiende a llevar partculas de donde $a% !&s a donde
$a% !enos. El efecto es que los electrones y los huecos cercanos a la unin de las
dos zonas la cruzan y se instalan en la zona contraria, es decir:
Electrones de la zona N pasan a la zona P.
Huecos de la zona P pasan a la zona N.
Este movimiento de portadores de carga tiene un doble efecto. Centrmonos en la
regin de la zona P cercana a la unin:
1. El electrn que pasa la unin se recombina con un hueco. Aparece una
carga negativa, ya que antes de que llegara el electrn la carga total era
nula.
2. Al pasar el hueco de la zona P a la zona N, provoca un defecto de carga
positiva en la zona P, con lo que tambin aparece una carga negativa.
El mismo razonamiento, aunque con signos opuestos puede realizarse para la
zona N. En consecuencia, a ambos lados de la unin se va creando una zona de
carga, que es positiva en la zona N y negativa en la zona P (Figura 1.4).
Figura 1.4: Formacin de la unin PN.
La distribucin de cargas formada en la regin de la unin provoca un campo
elctrico desde la zona N a la zona P. Este campo elctrico se opone al
movimiento de portadores segn la difusin, y va creciendo conforme pasan ms
cargas a la zona opuesta. Al final la fuerza de la difusin y la del campo elctrico
se equilibran y cesa el trasiego de portadores. En ese momento est ya formado el
diodo de unin PN, y como resultado del proceso se ha obtenido:
Zona P, semiconductora, con una resistencia RP.
Zona N, semiconductora, con una resistencia .
Zona de aotamiento !depleccin": No es conductora, puesto que no
posee portadores de carga libres. En ella acta un campo elctrico, o bien
entre los extremos acta una 'arrera de potencal.
Hay que tener en cuenta que este proceso sucede instantneamente en el
momento en el que se ponen en contacto las zonas N y P, y no necesita de ningn
aporte de energa, excepto el de la agitacin trmica.
2.9.2 P!3-,&:-%&'" #&,(%+-
El bloque PN descrito en el apartado anterior (Figura 1.5) en principio no permite
el establecimiento de una corriente elctrica entre sus terminales puesto que la
zona de depleccin no es conductora.

Figura 1.5: Diodo PN durante la aplicacin de una tensin inferior a la de barrera.
Sin embargo, si se aplica una tensin positiva en el nodo, se generar un campo
elctrico que "empujar" los huecos hacia la unin, provocando un estrechamiento
de la zona de depleccin (Figura 1.6). Sin embargo, mientras sta exista no ser
posible la conduccin.


Figura 1.6: Diodo PN bajo la accin de una tensin mayor que la de barrera.
Si la tensin aplicada supera a la de barrera, desaparece la zona de depleccin y
el dispositivo conduce. De forma simplificada e ideal, lo que sucede es lo siguiente
(Figura 1.6):
1. Electrones y huecos se dirigen a la unin.
2. En la unin se recombinan.
En resumen, polarizar un diodo PN en drecta es aplicar tensin positiva a la zona
P y negativa a la zona N. Un diodo PN conduce en directa porque se inunda de
cargas mviles la zona de depleccin.
La tensin aplicada se emplea en:
Vencer la barrera de potencial.
Mover los portadores de carga.
2.9.9 P!3-,&:-%&'" &"/(,)-
Al contrario que en el apartado anterior, al aplicar una tensin positiva a la zona N
y negativa a la zona P, se retiran portadores mayoritarios prximos a la unin.
Estos portadores son atrados hacia los contactos aumentando la anchura de la
zona de depleccin. Esto hace que la corriente debido a los portadores
mayoritarios sea nula (Figura 1.7). Ahora bien, en ambas zonas hay portadores
minoritarios. Un diodo polarizado en inversa lo est en directa para los
minoritarios, que son atrados hacia la unin. El movimiento de estos portadores
minoritarios crea una corriente, aunque muy inferior que la obtenida en
polarizacin directa para los mismos niveles de tensin.
Figura 1.7: Diodo PN polarizado en inversa.
Al aumentar la tensin inversa, llega un momento en que se produce la ruptura de
la zona de depleccin, al igual que sucede en un material aislante: el campo
elctrico puede ser tan elevado que arranque electrones que forman los enlaces
covalentes entre los tomos de silicio, originando un proceso de ,!+$,- 1!,
-/-3-"%;-. (Nota: Sin embargo, ello no conlleva necesariamente la destruccin
del diodo, mientras la potencia consumida por el diodo se mantenga en niveles
admisibles).
M!#(3!) #(3 #&!#! #( $"&'" PN
A continuacin se van a explicar los diferentes tipos de modelos propuestos para
el funcionamiento de un diodo de unin PN.
M!#(3!) 1-,- )(<-3() %!"+&"$-)
Bajo el trmino seales continuas se engloban en este apartado tanto las seales
constantes en el tiempo como aquellas que varan con una frecuencia muy baja.
M!#(3! DC #(3 #&!#! ,(-3
El comportamiento del diodo real se corresponde con el indicado por la siguiente
expresin:
En donde:
", es el 2-%+!, #( &#(-3&#-#. El valor n se ubica dentro del rango entre 1 y
2. Depende de las dimensiones del diodo, del material semiconductor, de la
magnitud de la corriente directa y del valor de S.
VT, es el 1!+("%&-3 +=,*&%! del diodo y es funcin de la constante de
Boltzmann (K), la carga del electrn (q) y la temperatura absoluta del diodo
T(K). La siguiente expresin permite el clculo de VT:
Con y .
El potencial trmico a temperatura ambiente, T=25C, es VT=25.71mV.
R es la resistencia combinada de las zonas P y N, de manera que V>IR es
la tensin que se est aplicando en la unin PN, siendo la intensidad que
circula por el componente y V la tensin entre terminales externos.
IS, es la %!,,&("+( &"/(,)- #( )-+$,-%&'" del diodo. Depende de la
estructura, del material, del dopado y fuertemente de la temperatura.
La representacin grfica de este modelo se muestra en la Figura 1.8:

Figura 1.8: Representacin grfica del modelo del diodo real.
Como puede apreciarse, este modelo no da cuenta de la tensin de ruptura en
inversa.
El modelo puede completarse mediante la adicin de nuevos parmetros que
incluyan efectos no contemplados en la teora bsica. Por ejemplo, algunos
modelos empleados en los programas simulacin por ordenador constan de hasta
quince parmetros. Sin embargo, a la hora de realizar clculos sobre el papel
resulta poco prctico. Por ello es habitual realizar simplificaciones del modelo para
obtener soluciones de modo ms simple.
2.9.9.1 M!#(3! &#(-3 #(3 #&!#! #( $"&'" PN.
El modelo ideal del diodo de unin PN se obtiene asumiendo las siguientes
simplificaciones:
Se toma el factor de idealidad como la unidad, n=1.
Se supone que la resistencia interna del diodo es muy pequea y que, por
lo tanto, la cada de tensin en las zonas P y N es muy pequea, frente a la
cada de tensin en la unin PN.
Para V<0, el trmino exponencial es muy pequeo, despreciable frente a la
unidad. Entonces la intensidad tiende al valor S, que como ya se haba indicado
anteriormente, es la corriente inversa del diodo. Para V>0, la exponencial crece
rpidamente por encima de la unidad.
2.9.9.2 M!#(3! 3&"(-3 1!, +,-*!)
Al igual que el modelo real, el modelo ideal sigue siendo poco prctico, dado su
carcter no lineal. El modelo lineal por tramos se obtiene como una aproximacin
del modelo ideal del diodo de unin PN, considerando las siguientes
simplificaciones:
En inversa, la corriente a travs de la unin es nula.
En directa, la cada de tensin en la unin PN (VON) es constante e
independiente de la intensidad que circule por el diodo.
Para calcular el valor de VON se considera un diodo de unin PN de silicio con una
S= 85 fA a una temperatura ambiente de T=25 C. El potencial trmico a esa
temperatura es VT=25.7 mV. Tomando como variable independiente la intensidad
, la ecuacin ideal del diodo queda:
A partir de esta expresin, se puede calcular la cada de tensin en el diodo para
las magnitudes de corriente habituales en los circuitos electrnicos. Por ejemplo,
para un intervalo de corrientes 1 mA < < 1 A se tienen tensiones 0.6 V <VDODO<
0.77 V. Como se puede apreciar, mientras que la corriente ha variado 3 rdenes
de magnitud, la tensin apenas ha experimentado un cambio de 200 mV, por lo
que es posible aproximar la cada de tensin en la unin PN a un valor constante
de 0.7 V.
Con estas simplificaciones se consigue evitar las expresiones exponenciales que
complican los clculos en la resolucin del circuito. Sin embargo, se divide el
modelo en dos tramos lineales denominados inversa y directa (o corte y
conduccin), cada uno de los cuales obedece a ecuaciones diferentes: el diodo
queda convertido en un componente 'estado.
El modelo lineal por tramos queda sintetizado en la siguiente tabla:
Estado Modelo Condicin
Conduccin
Corte
La Figura 1.9 muestra la curva caracterstica V- del modelo lineal
Figura 1.9: Modelo lineal por tramos del diodo.
En la Figura 9, quedan reflejados los dos posibles estados del diodo el diodo:
Conduccin o Polarizacin Directa "On", donde la tensin es VON para
cualquier valor de la corriente.
Corte o Polarizacin nversa "Off", donde la corriente es nula para cualquier
valor de tensin menor que VON.
El uso de este modelo slo est justificado en aquellas ocasiones en las que no se
requiere una gran exactitud en los clculos.
Cuando a un material se le agregan impurezas para provocar un dficit de
electrones.
A las impurezas se las clasifica en donadoras y aceptadoras. Si a la estructura del
semiconductor de silicio se le aade alguna impureza, como puede ser el arsnico
(As), que tiene cinco electrones externos ligados al ncleo con carga positiva +5,
se obtiene una forma distinta. Ahora, bien para aumentar la conduccin de
cualquier semiconductor se recurre a un proceso denominado "dopado" o
"envenenamiento". El objeto del mencionado proceso es el del aumentar la
cantidad de portadores libres en el cristal provocando un aumento en la
conductividad del mismo (recordar que la corriente es el flujo de portadores)
El dopado del cristal es realizado con tomos trivalentes (con tres electrones en su
ltima rbita) o pentavalentes (con cinco). Esta eleccin no es resultado de un
proceso azaroso sino que uno u otro tipo de tomo aumentar a su vez la
presencia de uno u otro tipo de portador. Cmo es esto?: el silicio, como ya se ha
dicho, tiene cuatro electrones en su ltima rbita que se combinan a su vez con
otros tomos para formar un cristal. Al introducir un tomo penta o trivalente en
dicho cristal, se provocar un aumento o un defecto de electrones que har
aumentar la cantidad portadores. Si se introduce un tomo pentavalente (P, Sb,
As) en un cristal puro, cuatro de sus electrones se unirn a cuatro electrones de
los tomos de silicio vecinos, pero el quinto queda libre, sin formar parte de
ninguna unin, por lo que est dbilmente ligado al tomo: este electrn libre,
requerir muy poca energa para "saltar" a la banda de conduccin. La energa
trmica del ambiente basta para provocar este salto. De esta forma al agregar
tomos pentavalentes agregamos electrones en la banda de conduccin, es decir,
agregamos portadores.
Cabe mencionar que los mencionados tomos pentavalentes se ubican en un nivel
de energa mucho ms cercano a la banda de conduccin que la banda de
valencia, denominado "nivel donador" este nivel se ubica a una distancia,
energticamente hablando, de 0,05 electrn-volt, mientras que la distancia entre
las bandas de un semiconductor es de 0,7 eV.
De la misma forma, podemos dopar al cristal con tomos trivalentes (como el boro,
el Aluminio, el Galio, etc.), esto provocar un exceso de electrones en el cristal, ya
tres de los cuatro electrones de la ltima rbita del Silicio se combinan con los tres
electrones del anterior tomo. Esto trae como consecuencia la generacin de un
espacio sin electrones, que tendr carga positiva, es decir, esto generar un
hueco.
De esta forma podemos controlar de manera casi definida, a travs del dopado, la
cantidad de electrones o huecos que existen en un cristal. A este tipo de cristal se
le denomina extrnseco, ya que fue modificado por elementos exteriores.
1.9 C$,/- %-,-%+(,0)+&%- . ()1(%&2&%-%&!"().
El diodo ideal es un componente discreto que permite la circulacin de corriente
entre sus terminales en un determinado sentido, mientras que la bloquea en el
sentido contrario. En la Figura 1 se muestran el smbolo y la curva caracterstica
tens(n)ntensdad del funcionamiento del diodo ideal. El sentido permitido para la
corriente es de A a K.
Figura 1.10: Smbolo y curva caracterstica tensin-corriente del diodo ideal.
2.9.? C-,-%+(,0)+&%- +(")&'">%!,,&("+(
La Figura 1.11 muestra la caracterstica V- (tensin-corriente) tpica de un diodo
real.

Figura 1.11: Caracterstica V- de un diodo de unin PN.
En la grfica se aprecian claramente diferenciadas las diversas regiones de
funcionamiento explicadas en el apartado anterior:
Regin de conduccin en polarizacin directa (PD).
o Regin de corte en polarizacin inversa (P).
o Regin de conduccin en polarizacin inversa.
Por encima de 0 Voltios, la corriente que circula es muy pequea, hasta que no se
alcanza la tensin de barrera (VON). El paso de conduccin a corte no es
instantneo: a partir de VON la resistencia que ofrece el componente al paso de la
corriente disminuye progresivamente, hasta quedar limitada slo por las
resistencias internas de las zonas P y N. La intensidad que circula por la unin
aumenta rpidamente. En el caso de los diodos de silicio, VON se sita en torno a
0,7 V.
Cuando se polariza con tensiones menores de 0 Voltios, la corriente es mucho
menor que la que se obtiene para los mismos niveles de tensin que en directa,
hasta llegar a la ruptura, en la que de nuevo aumenta.
2.9.@ D&2(,("%&-) ("+,( (3 #&!#! #( $"&'" PN . (3 #&!#! &#(-3
Las principales diferencias entre el comportamiento real e ideal son:
1. La resistencia del diodo en polarizacin directa no es nula.
2. La tensin para la que comienza la conduccin es VON.
3. En polarizacin inversa aparece una pequea corriente.
4. A partir de una tensin en inversa el dispositivo entra en conduccin por
avalancha.
En la Figura 1.12 vemos representadas ms claramente estas diferencias entre los
comportamientos del diodo de unin PN e ideal.

Figura 1.12: Diferencias entre el comportamiento del diodo de unin PN y del
diodo ideal.
1.? C&,%$&+!) ,(%+&2&%-#!,().
R(%+&2&%-%&'" #( *(#&- !"#-

La red ms simple que se examinar con una seal variable en el tiempo aparece
en la figura 1.13. (En este caso utilizaremos el modelo ideal).

Figura 1.13. Rectificador de media onda.

A travs de un ciclo completo, definido por el periodo T de la figura 1.13, el valor
promedio es cero. El circuito rectificador de media onda que se muestra en la
figura 1.13 generar una forma de onda vo, la cual tendr un valor promedio de
uso particular en el proceso de conversin de ac a dc. Cuando un diodo es usado
para el proceso de rectificacin, es comn que se le llame rectificados. Sus
valores nominales de potencia y corriente son normalmente mucho ms altos que
los de los diodos que se usan en otras aplicaciones, como en computadoras o
sistemas de comunicacin.

Durante el intervalo t=0 => T/2, la polaridad del voltaje aplicado vi es como para
establecer "presin" en la direccin que se indica, y encender el diodo con la
polaridad indicada arriba del diodo.
Al proceso de eliminacin de la mitad de la seal de entrada para establecer un
nivel dc se le llama rectificacin de media onda.
El efecto del uso de un diodo de silicio con VT = 0.7 V se seala en la siguiente
figura par regin de polarizacin directa. La seal aplicada debe ser ahora de por
lo menos 0.7 antes de que el diodo pueda "encender". Para los niveles de vi
menores de 0.7 V el diodo an est en estado de circuito abierto y v0 = 0 V, como
indica la misma figura. Cuando conduce, la diferencia entre v0 y vi se encuentra
en un nivel fijo de VT = 0.7 V y v0 = vi - VT, segn se indica en la figura. El efecto
neto es una reduccin en el rea arriba del eje, la cual reduce de manera natural
el nivel resultante de voltaje dc.
R(%+&2&%-%&'" #( !"#- %!*13(+-
P$("+( #( #&!#!)
El nivel de CD que se obtiene a partir de una entrada senoidal puede mejorar al
100% si se utiliza un proceso que se llama rectificacin de onda completa. La red
ms familiar para llevar a cabo tal funcin aparece en la figura 1.14 con sus cuatro
diodos en una configuracin en forma de puente durante el periodo t = 0 a T/2 la
polaridad de la entrada se muestra en la figura 1.15 para mostrar que D2 y D3
estn conduciendo, en tanto que D1 y D4 se hallan en estado "apagado". El
resultado neto es la configuracin de la figura 1.16, con su corriente y polaridad
indicadas a travs de R. Debido a que los diodos son ideales, el voltaje de carga
vo = vi, segn se muestra en la misma figura.
Figura 1.14. Puente rectificador de onda completa.
Figura 1.15. Rectificador de onda completa para el periodo 0? T/ 2 de voltaje de
entrada vi.
Figura 1.16. Trayectoria de conduccin para la regin positiva de vi.
Figura 1.17: Tensiones en el rectificador de onda completa.
Si ahora se filtrara esta seal mediante un condensador, mejorara su rizado.
R(%+&2&%-%&'" %!" %!"#(")-#!,
En la Figura 1.18 se presenta el esquema elctrico que aplica este principio a la
rectificacin. Lo que se pretende es que sea el condensador el que alimente a la
carga cuando no pueda hacerlo la fuente de alimentacin.
Figura 1.18: Esquema de rectificador con condensador.
La corriente no puede circular dado que el diodo est en inversa para ese sentido
de circulacin, con lo que C no puede descargarse y mantiene fija la tensin VM.
La siguiente figura refleja la carga y descarga del condensador:
Figura 1.19: Funcionamiento del condensador.
Figura 1.20: Tensiones en el circuito de la Figura 1.18.
Funcionamiento en carga:
El funcionamiento en carga es el que se obtiene al conectar una carga RL al
dispositivo objeto de estudio.
Figura 1.21: Dispositivo en carga.
El condensador va perdiendo su carga al poder cerrarse una corriente a travs de
RL. De este modo, se cumple el objetivo de este diseo: C alimenta a la carga.
Volviendo al circuito original. D estar en corte mientras VB sea menor que VA. Por
lo tanto hay un punto en el que D vuelve a conducir (VB=VA), repitindose a partir
de aqu toda la secuencia. Dicho funcionamiento se muestra en la Figura 1.22.
Figura 1.22: Tensiones en el circuito de la Figura 1.21.
Tal como se aprecia en la figura 1.22, el rizado obtenido es menor que el del
esquema anterior. Su valor depende de la rapidez con que se descargue C a
travs de la resistencia. Como se recordar, cuanto mayor sea el valor de C,
mayor ser el tiempo que necesita para descargarse, y menor el rizado. Como
contrapartida, si C es muy grande es posible que no tenga tiempo suficiente para
cargarse durante el tiempo de conduccin de D.
1.@ C&,%$&+!) 3&*&+-#!,() #( "&/(3.
Reciben este nombre debido a que limitan el nivel de amplitud de una seal a un
valor predeterminado.
L&*&+-#!, 1!)&+&/!
La forma de un limitador positivo es la siguiente:
Figura 1.23
Se tomo RL >> R para que en el semiciclo negativo vaya todo a la salida.
Figura 1.24
Recorta los semiciclos positivos, limita o recorta. Si se usa la 2 aproximacin:
Figura 1.25
No recorta de forma perfecta por no ser ideal el diodo.
L&*&+-#!, 1!)&+&/! 1!3-,&:-#!
Es como el anterior pero con una pila.
Figura 1.26
L&*&+-#!, "(6-+&/!
La diferencia con el limitador positivo radica en el cambio de direccin del diodo.
Figura 1.27
Para explicar el comportamiento del limitador negativo se analizara un limitador
doble, que esta compuesto por un limitador polarizado positivo y otro limitador
polarizado negativo.
Figura 1.28: Anlisis del limitador doble.
Figura 1.29: Forma de onda del limitador doble.
Esto era para RL >> R. Si no se cumpliera esto no sera una senoidal cuando no
conducen los diodos.
Es un circuito recortador (limitador), es un circuito limitador positivo polarizado y
limitador negativo polarizado.
Transformar una Senoidal a Cuadrada; si se mete una onda de pico muy grande a
la entrada, aparece una onda prcticamente cuadrada a la salida, que aunque no
sea tan parecida se toma como si fuese una onda cuadrada (es imposible hacer
una onda cuadrada perfecta). Se puede aprovechar esto para electrnica digital.
Figura 1.30: Onda cuadrada.
Aplicacin: Si tenemos un circuito que da alterna a su salida que es variable y
nosotros queremos transmitir esa onda a la carga, podemos estropear la carga si
conectamos directamente la carga a ese circuito.
Figura 1.31
Por eso ponemos un recortador o limitador entre la carga y ese circuito para que
no se estropee la carga. Es para proteccin de la carga (se puede limitar la parte
positiva, la negativa o las dos dependiendo del limitador que se utilice).
Figura 1.32: Aplicacin del limitador al circuito de la figura 1.31.
1.A D&!#! 4("(,
El diodo zener trabaja exclusivamente en la zona de caracterstica inversa y, en
particular, en la zona del punto de ruptura de su caracterstica inversa.
Esta tensin de ruptura depende de las caractersticas de construccin del diodo,
se fabrican desde 2 a 200 voltios. Polarizado en directa acta como un diodo
normal y por tanto no se utiliza en dicho estado.
Figura 1.33: El diodo zener.
De acuerdo con la definicin, se puede decir que el diodo Zener ha sido diseado
para trabajar con voltajes negativos (con respecto a l mismo). Es importante
mencionar que la regin Zener (en un diodo Zener) se controla o se manipula
variando los niveles de dopado. Un incremento en el nmero de impurezas
agregadas, disminuye el potencial o el voltaje de Zener VZ.
As, se obtienen diodos Zener con potenciales o voltajes de Zener desde -1.8 V a
-200 V y potencias de 1/4 a 50 W. El diodo Zener se puede ver como un
dispositivo el cual cuando ha alcanzado su potencial VZ se comporta como un
corto. Es un "switch" o interruptor que se activa con VZ volts. Se aplica en
reguladores de voltaje o en fuentes.
E2(%+! :("(,
El efecto zener se basa en la aplicacin de tensiones inversas que originan,
debido a la caracterstica constitucin de los mismos, fuertes campos elctricos
que causan la rotura de los enlaces entre los tomos dejando as electrones libres
capaces de establecer la conduccin. Su caracterstica es tal que una vez
alcanzado el valor de su tensin inversa nominal y superando la corriente a su
travs un determinado valor mnimo, la tensin en bornes del diodo se mantiene
constante e independiente de la corriente que circula por l.
En el circuito que se muestra en la figura 1.34, se desea proteger la carga contra
sobre voltajes, el mximo voltaje que la carga puede soportar es 4.8 volts. Si se
elige un diodo Zener cuyo VZ sea 4.8 volts, entonces este se activar cuando el
voltaje en la carga sea 4.8 volts, protegindola de esta manera.
Figura 1.34: Ejemplo de aplicacin de un zener
De acuerdo a otras consideraciones, el funcionamiento de este diodo, a grandes
rasgos es la siguiente:
En la zona directa lo podemos considerar como un generador de tensin continua
(tensin de codo). En la zona de disrupcin, entre la tensin de codo y la tensin
zener (Vz nom) lo podemos considerar un circuito abierto. Cuando trabaja en la
zona de disrupcin se puede considerar como un generador de tensin de valor
Vf= -Vz.
El zener se usa principalmente en la estabilidad de tensin trabajando en la zona
de disrupcin o ruptura.
Podemos distinguir:
Vz nom, Vz: Tensin nominal del zener (tensin en cuyo entorno trabaja
adecuadamente el zener).
z min.: Mnima corriente inversa que tiene que atravesar al diodo a partir de
la cual se garantiza el adecuado funcionamiento en la zona de disrupcin
(Vz min.).
z mx.: Mxima corriente inversa que puede atravesar el diodo a partir de
la cual el dispositivo se destruye (Vz mx.).
Pz: Potencia nominal que no debe sobrepasar el componente.
Aproximadamente se corresponde con el producto de Vz nom e z mx.
Las curvas caractersticas de este tipo de diodo son:
Figura 1.35
4("(,) ,(-3() %!"+,- &#(-3()
Si un diodo Zener se comportara "perfectamente el voltaje a travs de l sera el
voltaje Zener, sin importar cuantos amperios fluyesen a travs del diodo. Esto est
ilustrado por la curva verde en el grafico inferior. Desgraciadamente, el voltaje
Zener crece con corrientes grandes como se muestra abajo en la curva roja. Nota
que cuando el diodo Zener est conectado al revs acta como un diodo normal
de silicio conectado correctamente. La conduccin comienza a aproximadamente
0,6 voltios. Los Zeners reales se ven como diodos de silicio normales
correctamente polarizados. Lo que se llama conduccin "directa para un diodo es
llamada conduccin "inversa para un Zener.
Figura 1.36: Zener real e ideal.
Cuando usamos un diodo zener en un circuito se deben tener en cuenta las
siguientes consideraciones (a partir de las hojas de caractersticas suministradas
por el fabricante):
Para un correcto funcionamiento, por el zener debe circular una corriente
inversa mayor o igual a z min.
La corriente mxima en sentido inverso ha de ser siempre menor que z
mx.
La potencia nominal Pz que puede disipar el zener ha de ser mayor (del
orden del doble) que la mxima que este va a soportar en el circuito.
Tres son las caractersticas que diferencian a los diversos diodos Zener entre si:
Tensiones de polarizacin inversa, conocida como tensin zener.- Es la
tensin que el zener va a mantener constante.
Corriente mnima de funcionamiento.- Si la corriente a travs del zener es
menor, no hay seguridad en que el Zener mantenga constante la tensin en
sus bornes.
Potencia mxima de disipacin. Puesto que la tensin es constante, nos
indica el mximo valor de la corriente que puede soportar el Zener.
Por tanto el Zener es un diodo que al polarizarlo inversamente mantiene constante
la tensin en sus bornes a un valor llamado tensin de Zener, pudiendo variar la
corriente que lo atraviesa entre el margen de valores comprendidos entre el valor
mnimo de funcionamiento y el correspondiente a la potencia de zener mxima
que puede disipar. Si superamos el valor de esta corriente el zener se destruye.
1.5 R(6$3-%&'" %!" #&!#! 4("(,
Un regulador de tensin se utiliza para obtener una tensin continua eliminando
las fluctuaciones que pueda producir tanto la tensin de lnea como las cargas
variables.
La regulacin de lnea es la medida de cunto cambia la tensin en la carga
cuando va cambiando la tensin de la fuente primaria.
Regulacin de lnea = V carga / V fuete x100
La regulacin a plena carga es una medida del cambio de la tensin de la carga a
medida que cambia la corriente en la carga.
dealmente, la regulacin a plena carga y de lnea deberan ser cero para la
mayora de las aplicaciones.
Se llama voltaje no regulado aquel que disminuye cuando el circuito conectado a
l consume ms corriente, esto ocurre en las fuentes DC construidas con solo el
rectificador y el condensador de filtro, en los adaptadores AC-DC y en las bateras.
Un voltaje regulado mantiene su valor constante aunque aumente o disminuya el
consumo de corriente.
Problemas que podemos tener:
RL variable (variaciones de carga).
Variaciones de tensin de red (variaciones de red).
Debido a estos dos problemas la onda de salida de ese circuito puede variar entre
dos valores y como nuestro objetivo es obtener una tensin constante a la salida
tendremos que hacer algo. Para resolver este problema ponemos un regulador de
tensin basado en el diodo zener.
Figura 1.37: Circuito con regulador.
El circuito regulador con zener proporciona una tensin de salida casi constante a
partir de una fuente variable.
R(6$3-#!, #( +(")&'" (" /-%0! ()&" %-,6-
Figura 1.38: Regulador de tensin en vaco.
Vs estar entre un mnimo y un mximo, y el regulador tiene que funcionar bien
entre esos 2 valores (Vs mx. y Vs mn.). En este caso Vs lo pondremos como una
pila variable.
Adems para que funcione correctamente el zener tiene que trabajar en la zona de
ruptura.
Figura 1.39
Para que est en ruptura se tiene que cumplir:
R(6$3-#!, #( +(")&'" %!" %-,6-
Figura 1.40: Regulador de tensin con carga.
Para comprobar que estamos en ruptura calculamos el equivalente de Thevenin
desde las bornes de la tensin VZ:
Figura 1.41: Anlisis del regulador con carga.
El zener absorbe la corriente sobrante (Z variable) y la resistencia (R) la tensin
sobrante. Entonces a la salida la forma de la onda es la siguiente:
Figura 1.42: Forma de onda.
Con esto se ve que lo que hace el zener es "Amortiguar el rizado". Si se requiere
disminuir ms el rizado se pondra otro regulador que disminuira ms el rizado
pico a pico:
Figura 1.43: Circuito con doble regulador.
UNIDAD II
TRANSISTOR BIPOLAR DE UNIN
2.1 U"&!"() NPN8 PNP.
2.2 C$,/-) %-,-%+(,0)+&%- . ()1(%&2&%-%&!"().
2.3 C!"2&6$,-%&!"() #( 3!) +,-")&)+!,() . %&,%$&+!) #(
1!3-,&:-%&'".
2.4 E3 +,-")&)+!, %!*! -*13&2&%-#!,.
2.5 A*13&2&%-#!,() (" %-)%-#-.
TRANSISTOR BIPOLAR DE UNIN
La mayora de nosotros tiene que ver con algo con los productos electrnicos de
un modo rutinario y tiene cierta experiencia con las computadoras personales. Un
componente bsico de los circuitos integrados que se encuentran en estos
productos electrnicos y en las computadoras es el dispositivo activo de tres
terminales que se conoce como transistor. Entender este componente es esencial
antes de que un ingeniero pueda iniciar el diseo de un circuito electrnico. La
figura representa varios tipos de transistores que se consiguen comercialmente
.Hay dos tipos bsicos de transistores: transistores de unin bipolar (BJT) y
transistores de efecto de campo (FET).Aqu solamente consideraremos al BJT que
fue el primero de los dos y contina utilizndose en la actualidad. Nuestro objetivo
es presentar al BJT, a fin de que nos permita aplicar las tcnicas para analizar los
circuitos transistorizados.
2.1 U"&!"() NPN8 PNP
En 1948, los laboratorios Bell desarrollaron un dispositivo semiconductor con tres
secciones de materiales semiconductores (una configuracin NPN y PNP); nos
referimos al transistor. Cada transistor cuenta con dos secciones de material N
separadas por una seccin de material P; en tanto, cada transistor PNP cuenta
con dos secciones de material P y una seccin de material N. El nombre de
transistor se deriva de la transferencia de resistencia. En su momento, este
dispositivo fue el reemplazado directo de la vlvula trodo, que durante esa poca
dominaba el mundo. Por motivos de claridad, basaremos nuestra explicacin en
transistores de tipo NPN. Al igual que el diodo el transistor requiere ser polarizado
para que realice la funcin en la que ser empleado, para ello se coloca una
fuente de alimentacin (una batera) entre el colector y el emisor , de tal manera
que el polo negativo de la fuente quede conectado al emisor del transistor. En la
construccin interna de un transistor, existe una tercer zona llamada base; sta
separa al colector del emisor, mientras que no exista una polarizacin en la base,
el circuito descrito se mantendr en un estado de no conduccin; esto se debe a
que la barreras de potencial de las uniones se hacen tan grandes que no permiten
el paso de electrones a travs de ellas.
Figura 2.1: Uniones PNP y NPN.
Si se aplica un voltaje positivo entre la base y el emisor, se fomentara la
produccin de portadores mayoritarios: es decir, huecos que pueden servir de
transporte a los electrones que se encuentran en el emisor. Esto genera el paso
de la corriente elctrica desde el emisor a travs de la base, saliendo por la
terminal externa del colector; de esta forma se reduce la resistencia de las
barreras de potencial. Mientras se mantenga el voltaje aplicado en la base, por el
contrario se aplica un voltaje negativo no se generan portadores mayoritarios;
entonces la corriente elctrica del transistor se interrumpir, y las barreras de
potencial en las uniones se harn mas grandes.
Ahora ya sabemos que la corriente a travs de un transistor puede ser controlada
mediante la aplicacin de un voltaje positivo o negativo en la base del mismo.
Existe una caracterstica que hace a los transistores especialmente tiles, sobre
todo en los que se refiere a la aplicacin de seales electrnicas: El flujo de
corriente elctrica que circula entre el emisor y el colector, puede ser de valores
que oscilan entre un rango de los miliampers hasta los amperes (una corriente
muy grande), pero para controlar el flujo de corriente entre el emisor y el colector
se requiere de corrientes del orden de los microampers.
El transistor esta compuesto por tres zonas de dopado, como se ve en la figura:
Figura 2.2
La zona superior es el "Colector", la zona central es la "Base" y la zona inferior es
el "Emisor". El Emisor est muy impurificado, la Base tiene una impurificacin muy
baja, mientras que el Colector posee una impurificacin intermedia.
En este ejemplo concreto el transistor es un dispositivo npn, aunque tambin
podra ser un pnp.
En principio es similar a dos diodos:
Un transistor es similar a dos diodos, el transistor tiene dos uniones: una entre el
emisor y la base y la otra entre la base y el colector. El emisor y la base forman
uno de los diodos, mientras que el colector y la base forman el otro. Estos diodos
son denominados: "Diodo de emisor" (el de la izquierda en este caso) y "Diodo de
colector" (el de la derecha en este caso).
Figura 2.3
Antes y despus de la difusin:
Vamos a hacer un estudio del transistor npn, primeramente cuando est sin
polarizar (sin pilas y en circuito abierto) se produce una "Difusin" (como un gas
en una botella), donde los electrones cruzan de la zona n a la zona p, se difunden,
encuentran un hueco y se recombinan. Esto hace que en las uniones entre las
zonas n y p se creen iones positivos y negativos.
Figura 2.4
Esta difusin y recombinacin se da hasta llegar al equilibrio, hasta conseguir una
barrera de potencial de 0,7 V (para el Si). Se crean 2 z.c.e., una en la unin E-B
(WE) y otra en la unin C-B.
2.2 C$,/-) %-,-%+(,0)+&%-) . ()1(%&2&%-%&!"()
Zonas de funcionamiento del transistor bipolar:
1. ACTVA DRECTA: El transistor slo amplifica en esta zona, y se comporta
como una fuente de corriente constante controlada por la intensidad de
base (ganancia de corriente).Este parmetro lo suele proporcionar el
fabricante dndonos un mximo y un mnimo para una corriente de colector
dada (c); adems de esto, suele presentar una variacin acusada con la
temperatura y con la corriente de colector, por lo que en principio no
podemos conocer su valor. Algunos polmetros son capaces de medir este
parmetro pero esta medida hay que tomarla solamente como una
indicacin, ya que el polmetro mide este parmetro para un valor de
corriente de colector distinta a la que circular por el BJT una vez en el
circuito.
2. SATURACN: En esta zona el transistor es utilizado para aplicaciones de
conmutacin (potencia, circuitos digitales, etc.), y lo podemos considerar
como un cortocircuito entre el colector y el emisor.
3. CORTE: el transistor es utilizado para aplicaciones de conmutacin
(potencia, circuitos digitales, etc.), y podemos considerar las corrientes que
lo atraviesan prcticamente nulas (y en especial c).
4. ACTVA NVERSA: Esta zona se puede considerar como carente de
inters.
Figura 2.5: Curvas caractersticas del BJT.
El transistor PNP es complemento del NPN de forma que todos los voltajes y
corrientes son opuestos a los del transistor NPN.
Para encontrar el circuito PNP complementario:
1. Se sustituye el transistor NPN por un PNP.
2. Se invierten todos los voltajes y corrientes.
C$,/-) 6-"-"%&- > %!,,&("+( #( %!3(%+!,
Figura 2.6: Transistor BUD600
Nos muestra la variacin de la ganancia de corriente continua hFE con la corriente
de colector C y con la temperatura.
Podemos apreciar que a una temperatura fija, la ganancia crece hasta un mximo
cuando la corriente de colector aumenta. Si esta corriente contina aumentando,
la ganancia comienza a disminuir.
Por otro lado, tambin se observa que al aumentar la temperatura, aumenta la
ganancia para una corriente de colector dada.
C$,/-) %-,-%+(,0)+&%-) #( ("+,-#-
Figura 2.7: Transistor BU1506DX
Relaciona la intensidad de base con la tensin base-emisor.
En ella podemos ver la caracterstica de entrada que nos da el fabricante, para
temperaturas de 25 a 125C del encapsulado y para distintas corrientes de
colector. As, cuando la temperatura de la cpsula vale 25C, observamos que
para una variacin pequea de VBE, tenemos una variacin de B mayor.
C$,/-) %-,-%+(,0)+&%-) #( )-3&#-
Figura 2.8: Transistor BUD600
Relacionan la intensidad de colector y la tensin colector-emisor para una
intensidad de base constante.
En este caso no se limitan las curvas con la curva de mxima disipacin de
potencia (en algunas hojas vienen limitadas), as que hay que tenerlo muy en
cuenta a la hora de realizar los clculos, ya que no se debe trabajar por encima de
dicha curva.
C$,/-) %-,-%+(,0)+&%-) #( +,-")2(,("%&-
Figura 2.9: Transistor NZT44H8
Relacionan la intensidad de colector con la tensin base-emisor, para una tensin
constante de VCE, en este caso de 5V.
En esta grfica, vemos como la relacin C-VBE es mucho ms lineal a 25C que
a 125C, querindonos decir el fabricante que a altas temperaturas nos
encontramos con una distorsin considerable, consecuencia directa de la
alinealidad del transistor.
C$,/-) VCE)-+ B IC
Figura 2.10: Transistor BU1506DX
Nos relacionan la tensin colector-emisor de saturacin con la intensidad de
colector. Los otros dos parmetros caractersticos son la temperatura de la
cpsula y la ganancia de corriente en continua (C/B).
Como podemos apreciar, para mayor temperatura habr mayores valores de
tensin e intensidad.
2.9 C!"2&6$,-%&!"() #( 3!) +,-")&)+!,() . %&,%$&+!) #( 1!3-,&:-%&'"
Para la polarizacin las terminales que se muestran en la figura 2.11 las
terminales se indican mediante las literales E para el emisor, C para el colector y B
para la base. Se desarrollar una apreciacin de la eleccin de esta notacin
cuando se analice la operacin bsica del transistor. La abreviatura BJT, de
transistor bipolar de unin (del ingles, Bipolar Junction Transistor), suele aplicarse
a este dispositivo de tres terminales. El trmino bipolar refleja el hecho de que los
huecos y los electrones participan en el proceso de inyeccin hacia el material
polarizado de forma opuesta. Si slo se utiliza un portador (electrn o hueco),
entonces se considera un dispositivo unipolar.
a) b)
Figura 2.11: Tipos de transistores: a) pnp; b) npn.
O1(,-%&'" #( T,-")&)+!,()
Se describir la operacin bsica del transistor utilizando el transistor pnp de la
figura 2.11a. La operacin del transistor npn es exactamente la misma que si
intercambiaran las funciones que cumplen el electrn y el hueco. En la figura 2.12
se dibujo de nuevo el transistor pnp sin la polarizacin base-colector. El espesor
de la regin de agotamiento se redujo debido a al polarizacin aplicada, lo que da
por resultado un flujo muy considerable de portadores mayoritarios desde el
material tipo p hacia el tipo n.
Figura 2.12: Unin con polarizacin directa de un transistor pnp.
Ahora se eliminar la polarizacin base-colector del transistor pnp de la figura
2.11a, segn se muestra en la figura 2.13.
Una unin p-n de un transistor tiene polarizacin inversa, mientras que la otra
tiene polarizacin inversa.
Ambos potenciales de polarizacin se aplicaron a un transistor pnp, con el flujo
resultante indicado de portadores mayoritarios y minoritarios. Los espesores de las
regiones de agotamiento, que indican con claridad cul unin tiene polarizacin
directa y cul polarizacin inversa. Habr una gran difusin de portadores
mayoritarios a travs de la unin p-n con polarizacin directa hacia el material tipo
n. As, la pregunta sera si acaso estos portadores contribuirn de forma directa a
la corriente de base B o si pasarn directamente al material tipo p. Debido a que
material tipo n del centro es muy delgado y tiene baja conductividad, un nmero
muy pequeo de estos portadores tomar esta trayectoria de alta resistencia hacia
la terminal de la base.
La magnitud de la corriente de base casi siempre se encuentra en el orden de los
microamperes, comparando con mili amperes para las corrientes del emisor y del
colector. La mayor cantidad de estos portadores mayoritarios se difundir a travs
de la unin con polarizacin inversa, hacia el material tipo p conectado a la
terminal del colector. La razn de esta relativa facilidad con la cual los portadores
mayoritarios pueden atravesar la unin con polarizacin inversa se comprender
con facilidad si se considera que para el diodo con polarizacin inversa, los
portadores mayoritarios inyectados aparecern como portadores con polarizacin
inversa, los portadores mayoritarios inyectados aparecern como portadores
minoritarios en el material tipo n.
En otras palabras, tuvo lugar una inyeccin de portadores minoritarios al material
de la regin de la base tipo n. A la combinacin de esto con el hecho de que todos
los portadores minoritarios en la regin de agotamiento atravesarn la unin con
polarizacin inversa de un diodo puede atribursele el flujo.
Figura 2.13: Unin con polarizacin inversa de un transistor pnp.
C!"2&6$,-%&'" #( B-)( C!*C"
Para la configuracin de base comn con transistores pnp y npn. La terminologa
de la base comn se deriva del hecho de que la base es comn tanto a la entrada
como a la salida de la configuracin. A su vez, por lo regular la base es la terminal
ms cercana a, o que se encuentra en, el potencial de tierra. A lo largo de este
libro todas las direcciones de corriente harn referencia al flujo convencional
(huecos) en lugar de hacerlo respecto al flujo de electrones. Para el transistor la
flecha en el smbolo grfico define la direccin de la corriente del emisor (flujo
convencional) a travs del dispositivo.
Para describir en su totalidad el comportamiento de un dispositivo de tres
terminales, como los amplificadores de base comn se requiere de dos conjuntos
de caractersticas, uno para el punto de excitacin o parmetros de entrada y el
otro para el lado de la salida. El conjunto de entrada para el amplificador de base
comn relacionar la corriente de entrada (E). El conjunto de caractersticas de la
salida o colector tiene tres regiones bsicas de inters: la regiones activa, de corte
y de saturacin. La regin activa es la que suele utilizarse para los amplificadores
lineales (sin distorsin). En particular:
En la regin activa la unin base - colector se polariza inversamente, mientras que
la unin emisor - base se polariza directamente.
La regin activa se define mediante los arreglos de polarizacin de la figura 2.14.
En el extremo ms bajo de la regin activa, la corriente del emisor (E) es cero;
esa es la verdadera corriente del colector, y se debe a la corriente de saturacin
inversa CO, como lo seala la figura 2.15.
La corriente CO real es tan pequea (micro amperes) en magnitud si se compara
con la escala vertical de C = 0. Las condiciones del circuito que existen cuando E
= 0 para la configuracin de base comn se muestra en la figura 2.16. La notacin
que con ms frecuencia se utiliza para CO en los datos y las hojas de
especificaciones es, como se indica en la figura 2.16, CBO.
Debido a las mejoras en las tcnicas de fabricacin, el nivel de CBO para los
transistores de propsito general (en especial los de silicio) en los rangos de
potencia baja y mediana, por lo regular es tan bajo que puede ignorarse su efecto.
Sin embargo, para las unidades de mayor potencia CBO, as como s, para el
diodo (ambas corrientes de fuga inversas) son sensibles a la temperatura. A
mayores temperaturas, el efecto de CBO puede convertirse en un factor
importante debido a que aumenta muy rpidamente con la temperatura.
En la regin de corte, tanto la unin base-colector como la unin emisor-base de
un transistor tienen polarizacin inversa.
En la regin de saturacin, tanto la unin como la emisor - base estn en
polarizacin directa.
a) b)
Figura 2.14: Smbolos utilizados con la configuracin comn: a) transistor pnp; b)
transistor npn.
Figura 2.15: Caractersticas de salida o colector para un amplificador a transistor
de base comn.
Figura 2.16: Corriente de saturacin inversa.
C!"2&6$,-%&'" #( E*&)!, C!*C"
La configuracin de transistor que se encuentra ms a menudo aparece en la
figura 2.17 para los transistores pnp y npn. Se le denomina configuracin de
emisor comn debido a que el emisor es comn o hace referencia a las terminales
tanto de entrada como de salida (en este caso, es comn tanto a la terminal de
base como a la de colector). Una vez ms, se necesitan dos conjuntos de
caractersticas para describir por completo el comportamiento de la configuracin
de emisor comn: uno para el circuito de entrada o base-emisor y otro para el
circuito de salida o colector-emisor.
En la regin activa de un amplificador de base comn la unin del colector-base se
encuentra polarizada inversamente, mientras que la unin base-emisor se
encuentra polarizada directamente.
Para propsitos de amplificacin lineal (la menor distorsin), el corte para la
configuracin de emisor comn se definir mediante C = CEO.

a) b)
Figura 2.17: smbolos utilizados con la configuracin de emisor comn: a)
transistor npn; b) transistor pnp.
C!"2&6$,-%&'" #( C!3(%+!, C!*C"
La configuracin de colector comn se utiliza sobre todo para propsitos de
acoplamiento de impedancia, debido a que tiene una alta impedancia de entrada y
una baja impedancia de salida, contrariamente a alas de las configuraciones de
base comn y de un emisor comn.
La figura 2.18 muestra una configuracin de circuito de colector comn con la
resistencia de carga conectada del emisor a la tierra. Obsrvese que el colector se
encuentra conectado a la tierra aunque el transistor est conectado de manera
similar a la configuracin del emisor comn. Desde un punto de vista de diseo, no
se requiere de un conjunto de caractersticas de colector comn para elegir los
parmetros del circuito de la figura 2.18. Puede disearse utilizando las
caractersticas de salida para la configuracin de colector comn son la mismas
que para la configuracin de emisor comn.
Figura 2.18: Configuracin de colector comn utilizado para propsitos de
acoplamiento de impedancia.
P!3-,&:-%&'"
La polarizacin adecuada de la base comn puede determinarse rpidamente
empleando la aproximacin C E y suponiendo por el momento que B 0 A.
El resultado es la configuracin de la figura 2.19 para el transistor pnp. La flecha
del smbolo define la direccin del flujo convencional para C E. Las
alimentaciones de cd se insertan entonces con una polaridad que sostendr la
direccin de la comente resultante. En el transistor npn las polaridades estarn
invertidas.
Figura 2.19
2.? E3 +,-")&)+!, %!*! -*13&2&%-#!,.
Supongamos un transistor pnp conectado, tal como se muestra en la Error:
Reference source not found2.20. Como ya hemos visto, el nmero de huecos que
atraviesan la base vendr dado fundamentalmente por la facilidad que tengan
estos huecos de atravesar la unin emisorbase. Esta barrera est controlada por
la tensin emisorbase, V*B, siendo, por tanto, ste el nico parmetro externo
que controla el valor de la corriente de huecos que atraviesa el transistor. Por ello,
la intensidad de colector, IC, est gobernada por V*B.


I
*

I
B

P
E*&)!,
I
C

N
B-)(
P
C!3(%+!,
R
+

Figura 2.20: El transistor como amplificador
Si conectamos a la salida del colector una resistencia R+, la diferencia de potencial
entre los terminales de esta resistencia ser: V,D - R+ IC. Dado que la resistencia
R+ es constante, cualquier variacin de la intensidad de colector afectar a V,D
segn la relacin:
V,D - R+IC
El valor de la intensidad de colector, as como el de su variacin, dependen del
valor de la tensin emisorbase y de cmo vare sta. La relacin entre ambos
viene dada por la expresin
()IC) - g! V*B
Siendo g! un parmetro denominado transconductancia y que depende del punto
de funcionamiento del transistor. Entonces, la variacin de la tensin entre los
terminales de la resistencia ser:

V,D - R+ g! V*B

Para un mismo incremento de la tensin emisorbase, el valor de la tensin en
bornes de la resistencia depender de R+. Cuanto mayor sea R+ mayor ser V,D,
pudindose obtener grandes aumentos de tensin respecto a la tensin aplicada
V*B.
Se denomina "factor de ganancia a la relacin existente entre la variacin de la
tensin a la salida y a la entrada del circuito. En nuestro caso:
! +
*B
,D
g R
V
V
=

Entonces si, por ejemplo, introducimos una seal senoidal superpuesta a VED,
podremos obtener entre los terminales A y D una seal senoidal mucho mayor
superpuesta a la tensin VAD. Habremos obtenido una amplificacin de la seal
introducida.
Figura 2.21: Caractersticas del punto de entrada o manejo para un amplificador a
transistor de silicio de base comn.
Se puede explicar la accin bsica de amplificacin del transistor sobre un nivel
superficial utilizando la red de la figura 2.22. La polaridad de corriente directa no
aparece en la figura debido a que nuestro inters se limita a la respuesta en
corriente alterna. Para la configuracin de base comn, la resistencia de corriente
alterna de entrada determinada por las caractersticas de la figura 2.21 es muy
pequea y casi siempre vara entre 10 y 100 . La resistencia de salida, segn se
determin en las curvas de la figura 2.15 es muy alta (mientras ms horizontales
sean las curvas, mayor ser la resistencia) y suele variar entre 50 k y 1 M . La
diferencia en cuanto a resistencia se debe a la unin con polarizacin directa en la
entrada (base-emisor) y a la unin con polarizacin inversa en la salida (base-
colector). Utilizando un valor comn de 20 para la resistencia de entrada, se
encuentra que:
i = Vi / Ri = 200mV / 20 = 10 mA
L = i +10 mA
VL = LR
= (10 mA) (5 k )
= 50 V
Figura 2.22: Accin bsica de amplificador
de voltaje de la configuracin base comn.
AV = VL / Vi = 50 V / 200 mV = 250
Los valores tpicos de la amplificacin de voltaje para la configuracin de base
comn varan entre 50 y 300. La amplificacin de corriente (C / E) es siempre
menor que 1 para la configuracin de la base comn.
La accin bsica de amplificacin se produjo mediante la transferencia de una
corriente i desde un circuito de aja resistencia a uno de alta.
Transferencia + Resistor ==> Transistor
2.@ A*13&2&%-#!,() (" %-)%-#-
Cuando vamos a trabajar un sistema de amplificacin este esta conformando
varias etapas que contribuyen a que al final tengamos el resultado deseado.
Figura 2.23: Diagrama en bloques sistema en cascada.
Supongamos que cada bloque es una configuracin de un amplificador de las que
hemos estudiado.
Sabemos que Av= ganancia de voltaje de un amplificador es la relacin entre el
voltaje de salida y el voltaje de entrada.
i
o
V
V
Av =
Entonces Donde:

i
V
V
Av
1
1
=

i
V Av V *
1 1
=
1
2
2
V
V
Av =

i
V Av Av V Av V * * *
1 2 1 2 2
= =

2
3
V
V
Av
o
=

3 1 2 2 3
* * * * Av V Av Av V Av V
i o
= =

Por tanto:
3 2 1
* * Av Av Av Av
V
V
T
i
o
= =

Lo que indica que la ganancia de un sistema en cascada es la multiplicacin de
cada una de las ganancias de cada amplificador y la impedancia de entrada del
sistema ser la Zi de la primera etapa y la de salida la de la ultima etapa.
Calculada como se realizo en las diferentes configuraciones anteriores. Para
acoplar las diferentes etapas de un sistema en cascada podemos realizar
diferentes acoples tales como:
A%!13( D&,(%+!
La seal de un amplificador se conecta directamente a la entrada del otro, esto
ocasiona que los niveles DC de la etapa anterior se sobrepongan sobre la
siguiente etapa, moviendo el punto de trabajo, para compensar los niveles DC
que vienen de las otras etapas se deben trabajar con diferentes fuentes de voltaje
DC.
Este tipo de acople tiene una buena respuesta en frecuencia ya que no existen
elementos sensibles a la frecuencia que afecten la respuesta de la seal en baja
frecuencia, es usado generalmente en el diseo de circuitos integrados.
Figura 2.24: Ejemplo de acople directo.
A%!13( 1!, C!"#(")-#!,
Es el ms utilizado y permite en una forma sencilla desacoplar niveles DC de la
etapa anterior. El condensador es el elemento que permite que pase la seal
alterna y bloquea la seal directa DC. Para que este elemento no afecte el circuito
se desea que el condensador se comporte como un corto circuito para todas las
frecuencias de trabajo.

Figura 2.25: Ejemplo de acople por condensador.
A%!13( 1!, +,-")2!,*-#!,
Es usado para trabajar a altas frecuencias tiene como desventaja que los
transformadores son mas costosos que los condensadores. Son usados para
aumentar la ganancia de voltaje o corriente.
Ejemplos:
En la etapa de salida de un amplificador el transformador se puede usar
para aumentar ganancia de corriente.
Se puede conectar para resonar a cierta frecuencia convirtindose en un
filtro, este mtodo es usado en televisin y radio.
Usado para acoplar la ultima etapa de un amplificador a la carga.

Figura 2.26: Ejemplo de acople por transformador.
A%!13( 1+&%!
Dispositivos pticos como:
Dispositivos emisores y receptores de luz, detectores y emisores para fibra ptica,
aisladores y acopladores que transmiten seales elctricas si conexiones.
Usado principalmente para aislar seales.
C!"(D&'" D-,3&"6+!"
Conexin especial que hace que el transistor opere como un transistor con un
sper

.






1





2



2 1
* =
D

Figura 2.27: Conexin Darlington.
El transistor compuesto acta como un solo transistor con una ganancia de
corriente que es el producto de las dos ganancias.
Este tipo de configuracin ya viene encapsulada en una solo. Y la hoja de
caractersticas brinda hfe, VBE, Cmax entre otras.

Se caracterizan por tener una alta impedancia de entrada, baja impedancia de
salida y alta ganancia de corriente.
Regresar al ndice
UNIDAD III
AMPLIFICADORES OPERACIONALES Y CIRCUITOS DE TIEMPO
9.1 CONSTRUCCIN Y TIPOS DE CIRCUITOS INTEGRADOS
9.2ESTRUCTURA Y ESPECIFICACIONES DE LOS AMPLIFICADORES
OPERACIONALES
9.9 CONFIGURACIONES BSICAS DE LOS AMPLIFICADORES
OPERACIONALES
9.? MULTIVIBRADORES
9.@ ESTRUCTURA Y ESPECIFICACIONES DE LOS CIRCUITOS
TEMPORI4ADORES INTEGRADOS
9.ACIRCUITOS DE TIEMPO
UNIDAD III
AMPLIFICADORES OPERACIONALES Y CIRCUITOS DE
TIEMPO
La introduccin de los tubos de vaco a comienzos del siglo XX propici el rpido
crecimiento de la electrnica moderna. Con estos dispositivos se hizo posible la
manipulacin de seales, algo que no poda realizarse en los antiguos circuitos
telegrficos y telefnicos, ni con los primeros transmisores que utilizaban chispas
de alta tensin para generar ondas de radio. Por ejemplo, con los tubos de vaco
pudieron amplificarse las seales de radio y de sonido dbiles, y adems podan
superponerse seales de sonido a las ondas de radio. El desarrollo de una amplia
variedad de tubos, diseados para funciones especializadas, posibilit el rpido
avance de la tecnologa de comunicacin radial antes de la Guerra Mundial, y el
desarrollo de las primeras computadoras, durante la guerra y poco despus de
ella.

Hoy da, el transistor, inventado en 1948, ha reemplazado casi completamente al
tubo de vaco en la mayora de sus aplicaciones. Al incorporar un conjunto de
materiales semiconductores y contactos elctricos, el transistor permite las
mismas funciones que el tubo de vaco, pero con un costo, peso y potencia ms
bajos, y una mayor fiabilidad. Los progresos subsiguientes en la tecnologa de
semiconductores, atribuible en parte a la intensidad de las investigaciones
asociadas con la iniciativa de exploracin del espacio, llev al desarrollo, en la
dcada de 1970, del circuito integrado. Estos dispositivos pueden contener
centenares de miles de transistores en un pequeo trozo de material, permitiendo
la construccin de circuitos electrnicos complejos, como los de los
microordenadores o microcomputadoras, equipos de sonido y vdeo, y satlites de
comunicaciones.

El primer circuito ntegrado fue creado por Jack Kilby en la empresa Texas
nstruments en el ao de 1959; poco ms de una dcada despus de la invencin
del transistor en los laboratorios Bell en 1947.

A partir de 1966 los Circuitos ntegrados comenzaron a fabricarse por millones y
en la actualidad se considera una pieza esencial en los aparatos electrnicos.
9.1 CONSTRUCCIN Y TIPOS DE CIRCUITOS
INTEGRADOS
EUE SON LOS CIRCUITOS INTEGRADOS
Un circuito integrado o (ci) es aquel en el cual todos los componentes, incluyendo
transistores, diodos, resistencias, condensadores y alambres de conexin, se
fabrican e interconectan completamente sobre un chip o pastilla semiconductor de
silicio.
Una vez procesado, el chip se encierra en una cpsula plstica o de cermica que
contiene los pines de conexin a los circuitos externos.
Los chips digitales ms pequeos contienen varios componentes sencillos como
compuertas, inversores y flip-tops. Los ms grandes contienen circuitos y sistemas
completos como contadores, memorias, microprocesadores, etc. La mayora de
los circuitos integrados digitales vienen en presentacin tipo dip (dual in-line
package) o de doble hilera. Los ci ms comunes tipo dip son los de 8, 14, 16,24,
40 y 64 pines.
En la cpsula trae impresa la informacin respecto al fabricante, la referencia del
dispositivo y la fecha de fabricacin.
Adems del tipo dip, existen otras presentaciones comunes de los circuitos
integrados digitales como la cpsula metlica, la plana y la " chip carrier". Existen
circuitos integrados que utilizan cpsulas smt o de montaje superficial, smt son
casi 4 veces ms pequeas que los dip.
La tecnologa smt (surface-mount technology) es la que ha permitido obtener
calculadoras del tamao de una tarjeta de crdito.

HISTORIA DE LOS CIRCUITOS INTEGRADOS.
La introduccin de los tubos de vaco a comienzos del siglo XX propici el rpido
crecimiento de la electrnica moderna. Con estos dispositivos se hizo posible la
manipulacin de seales, algo que no poda realizarse en los antiguos circuitos
telegrficos y telefnicos, ni con los primeros transmisores que utilizaban chispas
de alta tensin para generar ondas de radio. Por ejemplo, con los tubos de vaco
pudieron amplificarse las seales de radio y de sonido dbiles, y adems podan
superponerse seales de sonido a las ondas de radio. El desarrollo de una amplia
variedad de tubos, diseados para funciones especializadas, posibilit el rpido
avance de la tecnologa de comunicacin radial antes de la Guerra Mundial, y el
desarrollo de las primeras computadoras, durante la guerra y poco despus de
ella.
Hoy da, el transistor, inventado en 1948, ha reemplazado casi completamente al
tubo de vaco en la mayora de sus aplicaciones. Al incorporar un conjunto de
materiales semiconductores y contactos elctricos, el transistor permite las
mismas funciones que el tubo de vaco, pero con un costo, peso y potencia ms
bajos, y una mayor fiabilidad. Los progresos subsiguientes en la tecnologa de
semiconductores, atribuible en parte a la intensidad de las investigaciones
asociadas con la iniciativa de exploracin del espacio, llev al desarrollo, en la
dcada de 1970, del circuito integrado. Estos dispositivos pueden contener
centenares de miles de transistores en un pequeo trozo de material, permitiendo
la construccin de circuitos electrnicos complejos, como los de los
microordenadores o microcomputadoras, equipos de sonido y vdeo, y satlites de
comunicaciones.
El primer circuito ntegrado fue creado por Jack Kilby en la empresa Texas
nstruments en el ao de 1959; poco ms de una dcada despus de la invencin
del transistor en los laboratorios Bell en 1947.
A partir de 1966 los Circuitos ntegrados comenzaron a fabricarse por millones y
en la actualidad se considera una pieza esencial en los aparatos electrnicos.
En este capitulo se dar a conocer la forma en que los circuitos integrados son
fabricados, as como los materiales de los cuales estn constituidos; tambin
veremos la clasificacin de dichos circuitos de acuerdo a su estructura y la
clasificacin de acuerdo a su funcin.
COMO SE FABRICAN LOS CIRCUITOS INTEGRADOS.
Los Circuitos ntegrados digitales disponibles se fabrican a partir de pastillas de
silicio. El procesamiento del silicio para obtener C o chips es relativamente
complicado.
El silicio utilizado para la fabricacin de chips es de una pureza de orden del
99.9999999%. Una vez sintetizado, el silicio se funde en una atmsfera inerte y se
cristaliza en forma de barras cilndricas de hasta 10cm de dimetro y 1 m de largo.
Cada barra se corta en pastillas de 0.25 a 0.50 mm de espesor y las superficies
de estas ultimas se pulen hasta quedar brillantes. Dependiendo de su tamao, se
obtienen varios cientos de circuitos idnticos (chips) sobre ambas superficies
mediante un proceso llamado planar, el mismo utilizado para producir transistores
en masa.
Para fabricar un chip, las pastillas de silicio se procesan primero para hacer
transistores. Una pastilla de silicio por si misma es aislante y no conduce corriente.
Los transistores se crean agregando impurezas como fsforo o arsnico a
determinadas regiones de la pastilla. Las conexiones se realizan a travs de lneas
metlicas.
Cada rasgo de forma sobre la pastilla rociando en las regiones seleccionadas un
qumico protector sensible a la luz llamado photoresist, el cual forma una pelcula
muy delgada sobre la superficie de la pastilla. La pastilla es entonces
bombardeada con luz, mediante un proyector deslizante muy preciso llamado
alineador ptico.
El alineador posee un dispositivo muy pequeo llamado mascara, que evita que
la luz incida sobre puntos especficos de la pastilla, cuando la luz alcanza un rea
determinada de la pastilla elimina el photoresist presente en esa zona. A este
proceso se le denomina fotolitografa.
Mediante un proceso de revelado, el qumico se deposita en las regiones
descubiertas por la luz e ignora las encubiertas por la mascara. Estas ltimas
zonas aun permanecen recubiertas de " photoresist".
La precisin del alineador ptico determina que tan fino puede hacerse un rasto.
A comienzos de los 70s, era difcil hacer transistores de menos de 10 micras de
tamao. Ahora, los transistores alcanzan tamaos inferiores a una velocidad de
respuesta de los dispositivos.
A continuacin, la pastilla se calienta a altas temperaturas; esto origina que el
silicio no procesado de la superficie se convierta en oxido de silicio (SiO2). El SiO2
se esparce sobre la superficie de la pastilla y forma sobre la misma una delgada
pelcula aislante de unas pocas micras de espesor.
De este modo se obtiene el primer nivel de metalizacin de chips. Para obtener
una nueva capa de metalizacin, el SiO2 se trata nuevamente con "photoresist" y
se expone al alineador ptico, repitindose el mismo procedimiento seguido con el
silicio del primer nivel.
Las diferentes capas van creciendo una sobre otra formando una estructura
parecida a un sndwich, con el SiO2 como el pan y el metal o el silicio dopado
como la salchicha, la mayora de Circuitos ntegrados no se hacen con mas de
tres capas de metalizacin.
DE EUE ESTN HECHOS LOS CIRCUITOS INTEGRADOS.
Los Circuitos ntegrados estn hechos por silicio que sirve como base donde se
fabrican transistores, diodos y resistencias. Los circuitos ntegrados contienen
cientos de estos componentes distribuidos de manera ordenada; esto se logra por
medio de la tcnica llamada fotolitografa la cual permite ordenar miles de
componentes en una pequea placa de silicio.

CLASIFICACIN DE LOS CIRCUITOS INTEGRADOS DE ACUERDO
A SU ESTRUCTURA.
La clasificacin de los Circuitos ntegrados de acuerdo a su estructura puede ser
de acuerdo a la cantidad de compuertas utilizadas para implementar la funcin
propia del chip (llamado Escalas de ntegracin) como sabemos, las compuertas
son los bloques constructivos bsicos de todos los circuitos digitales.
Las escalas de ntegracin son 4: SS, MS, LS, VLS; a continuacin veremos
cada una de ellas.
SS.- Significa Small Scale ntegration (integracin en pequea escala) y
comprende los chips que contienen menos de 13 compuertas. Ejemplos:
compuertas y flip flops. Los Circuitos ntegrados SS se fabrican empleando
tecnologas ttl, cmos y ecl. Los primeros Circuitos ntegrados eran S.
MS.- Significan Mdium Scale ntegration (integracin en mediana escala), y
comprende los chips que contienen de 13 a 100 compuertas. Ejemplos:
codificadores, registros, contadores, multiplexores, de codificadores y de
multiplexores. Los Circuitos ntegrados MS se fabrican empleando tecnologas ttl,
cmos, y ecl.
LS.- significa Large-Scale ntegration (integracin en alta escala) y comprende
los chips que contienen de 100 a 1000 compuertas. Ejemplos: memorias,
unidades aritmticas y lgicas (alu's), microprocesadores de 8 y 16 bits. Los
Circuitos ntegrados LS se fabrican principalmente empleando tecnologas.
VLS.- Significa Very Large Scale ntegration (integracin en muy alta escala) y
comprende los chips que contienen ms de 1000 compuertas ejemplos: micro-
procesadores de 32 bits, micro-controladores, sistemas de adquisicin de datos.
Los Circuitos ntegrados VSL se fabrican tambin empleando tecnologas ttl,
cmos y pmos.
CLASIFICACIN DE LOS CIRCUITOS INTEGRADOS DE ACUERDO
A SU FUNCIN.
Los Circuitos ntegrados se clasifican en C analgicos, digitales, de interfase y
de consumo. A continuacin veremos cada uno de estos.
C&,%$&+!) I"+(6,-#!) A"-3'6&%!).
Los Circuitos ntegrados analgicos se fabrican usado gran variedad de
tecnologas de semiconductores, como bipolar, efecto de campo, xidos metlicos
y combinaciones de estas tres. En la mayora de los casos el usuario no esta
interesado en este aspecto de los Circuitos ntegrados, ya que nicamente puede
basar su trabajo en las especificaciones del fabricante. La tecnologa empleada en
la fabricacin de los Circuitos ntegrados digitales es importante para el usuario,
debido a que estos se emplean en "familias lgicas, con caractersticas elctricas
comunes que garantizan su compatibilidad. Los Circuitos ntegrados analgicos se
seleccionan normalmente siguiendo criterios individuales, y solo es importante su
compatibilidad con los requisitos de alimentacin. ncluso en este aspecto, la
mayora de los Circuitos ntegrados analgicos estn disponibles con amplios
mrgenes de alimentacin, por lo que su empleo no suele estar condicionado por
su compatibilidad.
9.2ESTRUCTURA Y ESPECIFICACIONES DE LOS
AMPLIFICADORES OPERACIONALES
Para trabajar con AO reales es necesario tomar en cuenta consideraciones de tipo
prctico pues, aunque el modelo ideal se asemeja bastante al real, ste no se
comporta exactamente igual al ideal. Es importante conocer esta diferencia, ya
que de ello depende el comportamiento final de un circuito diseado con un AO.
La diferencia ms significativa entre el AO ideal y el real es la ganancia de tensin
(en lazo abierto). El AO ideal tiene ganancia infinita, mientras que la del AO real es
finita y adems disminuye a medida que aumenta la frecuencia en la cual se est
trabajando. Por lo general la ganancia de tensin se especifica en decbeles.
EL AO INTEGRADO ( OP AMP IC
El AO integrado (C) est constituido bsicamente por dos etapas de ganancia de
voltaje (una entrada diferencial y una etapa de emisor comn) seguida por una
etapa de salida clase AB de baja impedancia.
Un diagrama simplificado de este circuito integrado es mostrado en la fig. 2.2. Esta
Versin simplificada de un AO integrado es equivalente a un AO de propsito
general, similar al LM101, mA 741, o versiones de AO mltiples. Este circuito
permite entender el funcionamiento interno del C. Le etapa de entrada
conformada por .1 y .2 forman un par diferencial con carga activa
Formada por .3 y .4. La salida se toma desde el colector del transistor .4/ Por
otro lado .10 proporciona una polarizacin adecuada para el par diferencial.
En la mayora de los AO, la etapa intermedia (2 etapa) proporciona una alta
ganancia a travs de varios amplificadores, en el circuito de la fig. 2.2, dicha etapa
esta formada por .5 la cual es un circuito en emisor comn que proporciona una
alta impedancia de entrada a la primera etapa (la que atena los efectos de
carga). Adems, esta etapa tiene un capacitor Cc el cual es utilizado por el AO
para compensacin en frecuencia.
CARACTERSTICAS ELCTRICAS DE LOS AO
Los fabricantes especifican una serie de caractersticas elctricas para los AO,
que permiten determinar tanto los rangos mximos a los cuales pueden ser
sometidos los amplificadores, como tambin sus caractersticas de entrada y
salida.
R(3-%&'" #( ,(%;-:! (" *!#! %!*C" (CMRR
Esta es la medida de la habilidad de un AO para rechazar seales en modo
comn. Si la misma seal alimenta a la entrada inversora como a la no inversora
de una configuracin diferencial, la salida vo debiera ser cero, sin embargo, debido
a la componente en modo comn esto no ocurre. La capacidad de atenuar esta
componente es lo que se conoce como CMRR y comnmente se expresa en
decibeles (dB)
R()&)+("%&- #( ("+,-#- (,in
Es la resistencia desde un terminal de entrada con la otra entrada puesta a tierra.
La resistencia de entrada de un AO es variable dependiendo del tipo y de su uso.
ENCAPSULADOS Y CDIGOS DE IDENTIFICACIN N
El AO se fabrica de un pequeo chip de silicio y se encapsula en una caja
adecuada, existen diferentes tipos de encapsulados, los que pueden ser de metal,
plstico o cermico. La fig. 2.19 muestra los diferentes tipos de encapsulado.
Tambin existen AO encapsulados en componentes ms pequeos llamados de
montaje superficial (SMT), en formato PLCC (Plastic Lead Chip Carriers) o "chip
con encapsulado de plstico" indicado en la fig. 2.19c. Los diferentes tipos de
montaje superficial se encuentran indicados en la tabla 2.13.
COMBINACIN DE SMBOLOS Y TERMINALES
Se puede combinar en un slo dibujo el smbolo del AO con el encapsulado (fig.
2.20).
La abreviacin NC indica que no hay conexin. El componente se mira desde
arriba. En el encapsulado DP 14 la numeracin de los pines es similar al DP 8,
con la nica diferencia enque tiene 7 terminales por lado y no 4.
C'#&6!) D( I#("+&2&%-%&'"
Cada tipo de AO tiene un cdigo de identificacin de letra y nmero, el que
permite sabe quien lo fabrica, que tipo de amplificador es, de que calidad es y que
tipo de encapsulado tiene. No todos los fabricantes utilizan el mismo cdigo, pero
la mayora utiliza un cdigo que consta de cuatro partes escritas en el siguiente
orden:
Nmero del Circuito: Se compone de tres a siete nmeros y letras que identifican
el tipo de AO y su intervalo de temperatura.
9.9 CONFIGURACIONES BSICAS DE LOS
AMPLIFICADORES
OPERACIONALES
AMPLIFICADOR CLASE A (LINEAL
En este amplificador, la seal de entrada es reproducida, aumentada en amplitud,
exactamente con la misma forma de onda a la salida. Para ello, el punto de reposo
(Q) se sita en el centro de la curva de corriente del colector (c), de forma que
tanto la seal de entrada como la seal amplificada de salida trabajan solamente
en la zona lineal de la misma. c es siempre saliente (fig.1) Los amplificadores
Clase A se emplean siempre que la forma de onda de salida haya de ser la
misma, con una distorsin mnima, que la de la seal de entrada. Los
amplificadores operacionales y los amplificadores "de pequea seal, como por
ejemplo amplificadores de radio frecuencia, amplificadores de frecuencia
intermedia, preamplificadores, etc., son bsicamente amplificadores en Clase A.
F&6$,- 1.> A*13&2&%-#!, %3-)( A
AMPLIFICADOR CLASE AB
En este tipo de amplificador el punto de trabajo (Q) se sita por debajo del punto
central de la zona lineal de la curva c. Como resultado se ello se tiene que una
mitad de la salida ser una reproduccin lineal de una mitad de la entrada, pero la
segunda mitad de la salida estar parcialmente suprimida. Existen dos versiones
Clase AB1 y Clase AB2. En Clase AB2 el punto Q esta muy cerca del punto de
corte; en Clase AB1 este se sita aproximadamente un 20% o 30% por encima del
punto de corte. Ambas versiones de usan en circuitos pus$)pull minimizndose la
distorsin de cruce mediante, compensacin mutua. Los amplificadores Clase AB1
y AB2 son ampliamente utilizados en la excitacin de altavoces y motores de
servomecanismos, aplicaciones en las que se requiere una amplificacin
sinusoidal lineal con potencias moderadas.
AMPLIFICADOR CLASE B
En este tipo de amplificador, el punto de trabajo (Q) se sita exactamente en el
punto de corte de la curva del circuito integrado, teniendo esto como resultado la
amplificacin de solo medio ciclo de la seal sinusoidal de entrada. Los
amplificadores Clase B son sistemticamente empleados en configuraciones
complementarias pus$)pull. En esta configuracin, uno de los amplificadores
trabaja sobre los semiciclos positivos de la seal de entrada, mientras que el otro
lo hace sobre el semiciclo negativo de la seal sinusoidal de entrada. Ampliamente
utilizado como amplificadores de audio, amplificadores para servomecanismos y
aplicaciones similares en las que es esencial una alta linealidad en la sea
sinusoidal de salida, los amplificadores en Clase B gozan de una excelente
eficiencia y un buen comportamiento en lo relativo a la presencia de armnicos de
segundo y tercer orden. Aparece cierta distorsin en el punto de cruce debido a la
ligera linealidad de la curva c en este punto. El componente representativo de
estos amplificadores es Fairchild TBA 810S.
AMPLIFICADOR CLASE C.
En los amplificadores Clase C, el punto de trabajo (Q) se sita al doble del punto
de corte de la curva c. Solo una mitad de un semiciclo de seal sinusoidal es
amplificada a la salida. Los amplificadores Clase C son utilizados usualmente en
osciladores de radio frecuencia y, en algunos casos en transmisores de radio
frecuencia. En estas aplicaciones el efecto del circuito resonante proporciona la
otra mitad del ciclo. Alta eficiencia es la caracterstica esencial para los
amplificadores Clase C en circuitos de radio frecuencia adecuadamente diseados
y ajustados.
Los parmetros fundamentales son:
a) Ganancia. En la mayora de las aplicaciones, una ganancia en tensin de 20
es adecuada.
b) Frecuencia. Para aplicaciones como osciladores o amplificadores la salida de
transmisores RF, el lmite de frecuencia del dispositivo deber estar situado al
menos un 10% por encima de la frecuencia de resonancia esperada.
c) Potencia de salida. La potencia de salida puede variar en funcin con la
frecuencia de trabajo, pero es un criterio bsico de diseo.
d) Disipacin de potencia. Los amplificadores en Clase C trabajan normalmente
cerca de sus lmites especificados para la disipacin de potencia, por lo que
resulta critico el acoplo mecnico de sus caractersticas tcnicas.
AMPLIFICADOR DE CORRIENTE (SEGUIDOR LINEAL.
Los amplificadores de corriente son bsicamente amplificadores Clase A que
tienen usualmente una ganancia en tensin de 1 y funcionan efectivamente como
en transformadores de impedancias*. Su caracterstica principal es su capacidad
de manejar importantes corrientes de salida. Algunas veces se denominan
seguidores lineales por similitud con los circuitos seguidores de emisor con
transistores. Los amplificadores de corriente son frecuentemente utilizados,
conjuntamente con amplificadores operacionales, dentro del lazo de
realimentacin para proporcionar una corriente de salida adicional.
AMPLIFICADOR DIFERENCIAL.
Los amplificadores diferenciales tienen dos terminales de entrada, aislados ambos
respecto de masa a travs de la misma impedancia como se muestra en la figura
2. Bsicamente similar a los amplificadores de tensin Clase A, el amplificador
diferencial amplifica solamente la diferencia de tensin entre sus dos terminales de
entrada. Las seales que aparecen en ambos terminales no son amplificadas,
permitiendo el amplificador diferencial extraer pequeas seales en presencia de
fuertes interferencias electromagnticas. Esta capacidad de rechazar seales
comunes a ambos terminales de entrada se especifica en la relacin de rechazo al
modo comn. El componente representativo es el Sprague ULN-2047.
F&6$,- 2.> A*13&2&%-#!, D&2(,("%&-3
AMPLIFICADOR DE AISLAMIENTO.
Consistente en varias etapas de amplificacin, el amplificador de entrada est,
bien elctricamente bien pticamente aislado de la salida (fig.3). El amplificador de
entrada es usualmente de tipo diferencial, modulndose en radio frecuencia su
salida, que se lleva a travs de un transformador de RF hasta la segunda etapa,
en la que se remodula y filtra. La fuente de alimentacin para la seccin del
amplificador de entrada tambin debe estar aislada de forma que no exista
conexin en bajas frecuencias o en continua entre las secciones de entada y
salida del amplificador. El funcionamiento de los amplificadores por aislamiento
ptico es similar, sustituyndose en transformador de RF por un opto-acoplador.
Los amplificadores de aislamiento estn generalmente encapsulados en una
unidad y se emplean en aquellas aplicaciones que requieren muy bajos niveles de
conducta en contina o a travs de alimentacin. Los amplificadores de
aislamiento siempre requieren fuentes de alimentacin aisladas as como cables
convenientemente aislados entre la fuente alimentacin y el amplificador. En
algunos casos se emplean bateras para evadir el problema de aislamiento de la
fuente de alimentacin. El componente representativo es el Anloga Devices
AD293.
.
AMPLIFICADOR DE POTENCIA DE AUDIO
Estos dispositivos son amplificadores de potencia de baja frecuencia
(generalmente desde 40Hz a 20.000Hz). nternamente estn diseados como
amplificadores de potencia en clase B y ofrecen una ganancia de potencia
razonable (entre 5 y 10 W tpicamente), as como bajos niveles de distorsin. Para
manejar las potencias digitales, la mayora de los integrados poseen varios
terminales planos y grandes que se conectan a masa y actan como radiadores
trmicos. Estos integrados ofrecen adems funciones adicionales, como por
ejemplo shut-down trmico, proteccin contra sobre tensiones y compensaciones
en frecuencia. La salida esta diseada para trabajar sobre bajas impedancias (un
altavoz de 4 ohmios es tpico).
Parmetros fundamentales
a) Potencia de salida. Es la potencia de salida especificada del dispositivo. La
potencia se da para una carga y frecuencia especificada. La potencia de salida
disminuye al hacerlo la tensin fuente.
b) Distorsin armnica total. La distorsin armnica total es la distorsin
causada por el funcionamiento a lineal del amplificador. Este parmetro se
expresa como un porcentaje de la salida total, siendo el 0,3 % el valor normal.
c) Consideraciones trmicas. Desde el momento que estos dispositivos estn
diseados para la entrega de una potencia significativa a la carga, los efectos del
calor producido por el integrado son un criterio primario para la construccin y
funcionamiento de los circuitos integrados situados en los alrededores del
amplificador de potencia. Los puntos de atencin prioritaria incluyen los detalles
fsicos del montaje y los datos de potencia trmica. Los terminales anchos del
integrado se emplean para la conduccin del calor fuera del integrado y sern muy
eficaces si se utilizan con propiedad. El fabricante entrega generalmente
informacin mostrando la disipacin de potencia frente a la temperatura indican
como debe reducirse la disipacin de potencia al aumentar la temperatura
ambiente. La disipacin de potencia especificada para un integrado lo es para
temperatura ambiente (25 grados Celsius).
9.? MULTIVIBRADORES
Los multivibradores son en realidad osciladores, pero su forma de onda es una
seal senoidal, sino que generan una onda cuadrada.
Existen dos clases de multivibradores:
-De funcionamiento continuo, tambin llamados libres, recurrentes o estables,
mucho ms conocidos por ste ltimo nombre, que generan ondas sin la
necesidad de ms excitacin exterior que la propia fuente de alimentacin.
-De funcionamiento excitado, que requieren una tensin exterior de disparo o de
excitacin para salir de unas condiciones estticas o de reposo.
MULTIVIBRADOR ESTABLE.
Un multivibrador estable es un oscilador de relajacin; su frecuencia de salida
depende de la carga y descarga de condensadores. Estas cargas y descargas son
provocadas por la conmutacin de sendos transistores.
Si dividimos un multivibrador estable en dos verticalmente, se puede observar que
es un circuito simtrico, desde el punto de vista geomtrico. Si hacemos T1=T2,
R1=R4, R2=R3 y C1=C2, la forma de onda de cualquiera de las salidas ser
simtrica, es decir, la duracin de ambos niveles de tensin de cada ciclo ser
idntica.
La frecuencia de salida viene determinada por los valores de C1, C2, R2 y R3; si
se rompe la igualdad, expuesta anteriormente, entre dichos componentes, la forma
de onda de salida ser asimtrica.
Las formas de onda de salida Vo1 y Vo2 est desfasadas 180; mientras una est
en su nivel superior la otra est en el inferior. Esto es debido a la situacin de T1
en corte y T2 en saturacin, y viceversa.
FUNCIONAMIENTO
Si consideramos un instante en el que T2 est en saturacin y T1 en corte, C1 se
empezar a cargar a travs de T2 y R1, y, como el punto de unin de C1 y R2
est conectado a la base de T2, llegar un momento en que la tensin en la base
de T2 es insuficiente para que T2 permanezca saturado, con lo que al conducir
menos la tensin Vo2 aumentar, iniciando el proceso descrito anteriormente pero
en sentido contrario, es decir, llevando a T1 a saturacin y T2 al corte.
Mientras C1 adquira carga para provocar tal cambio, C2 se va descargando.
Este proceso se mantiene mientras estemos suministrando tensin al circuito de
esta forma:
Las condiciones iniciales son estas:
C1 estaba prcticamente descargado.
C2 estaba totalmente cargado.
T1 estaba en corte
T2 estaba en saturacin
En estas circunstancias, C2 encuentra un camino de descarga a travs de R3 y T2
y C1 se carga a travs de la unin base-emisor de T2 y de R1.
Momentneamente, la base de T1 se encuentra sometida a un potencial de -Vcc,
aproximadamente, respecto a masa. Obsrvese la polaridad con que se haba
cargado C2, al conectar su terminal positivo a masa a travs de T2, al inicio de la
descarga, en la base de T1 se reflejan -Vcc voltios, aproximadamente, que es la
carga de C2.
A partir del instante en que T2 pasa a saturacin, C2 se empieza a descargar;
tardar un tiempo
T2 = 0.69 C2 R3
En un tiempo menor se habr cargado C1, ya que
C1 = C2 y R1 << R3
Una vez que C2 se ha descargado totalmente empezar a cargarse en sentido
contrario, esto es, el punto de unin de C2 y R3 ser ligeramente positivo, por lo
que tambin se aplicar polarizacin directa a la base de T1, que provocar el
basculamiento del circuito y que sita a T1 en saturacin y a T2 en corte,
comenzado entonces C2 a cargarse a travs de su circuito de carga y C1 a
descargarse a travs de R2 y T1.
De forma anloga al proceso anterior, la base de T2 se encuentra en el instante de
la conmutacin sometida a un potencial negativo prximo a -Vcc que va
disminuyendo segn se descarga C1; lo har en un tiempo
T1 = 0.69 R2 C1
Por la razn expuesta anteriormente, C2 se cargar en un tiempo menor que T1.
Una vez extinguida la carga de C1, ste adquiere una pequea carga en sentido
contrario, que har de nuevo conmutar al circuito, pasando T2 a saturacin y T1 a
corte, con lo que se inicia un nuevo ciclo.
Por todo esto se deduce que un ciclo tendr un periodo
T = t2 + t1
Como C1 = C2 y R2 = R3, tendremos que
t = 2 (0.69 R2 C1) = 1.38 R2 C1
Esta expresin es cierta slo en el caso de circuitos simtricos; en caso contrario,
la duracin de un ciclo ser
T = t1 + t2 = 0.69 R2 C1 + 0.69 R3 R2
Por lo que, en cualquiera de los casos, la frecuencia de oscilacin es conocida con
facilidad.
AS
MULTIVIBRADOR TABLE.
MULTIVIBRADOR MONOESTABLE.
Dentro de los multivibradores de funcionamiento excitado se distinguen dos tipos:
M!"!()+-F3(8 que permanecen en un estado determinado mientras no se les
aplique una seal exterior que les haga cambiar al estado contrario para,
posteriormente, regresar de nuevo al de reposo y permanecer en l hasta la
presencia de un nuevo impulso de excitacin.
B&()+-F3(8 que pueden permanecer en cualquiera de los dos estados de forma
indefinida, si no se les aplica una seal exterior que les haga cambiar al estado
contrario.
El multivibrador monoestable no es estrictamente un oscilador, pero en
determinadas circunstancias se puede comportar como tal, aunque siempre
controlado por una seal exterior.
El multivibrador monoestable es muy similar al estable, como podemos observar.
MULTIVIBRADOR MONOESTABLE.
La sustitucin del condensador C2 por la resistencia R3 es lo que le permite que el
circuito permanezca en un estado concreto, esto es, T1 en corte y T2 en
saturacin, luego, Vo1 = Vcc y Vo2 = 0V.
Cuando se aplica un impulso a Vd, T1 pasa a conducir y T2 al corte; en este
estado estar un tiempo determinado por R2 y C1, y volver de nuevo al estado
primitivo.
Si la seal Vd es una seal que se repite a intervalos constantes, la seal de
salida ofrecer una frecuencia constante.
F$"%&!"-*&("+!
Si suponemos inicialmente T2 en saturacin, debido a la ausencia de tensin en la
base de T1, ste permanecer en corte ya que Vo2 = 0. En estas circunstancias,
C1 se carga a travs de R1 y de la unin base-emisor de T2 y el circuito
permanece en esta situacin indefinidamente.
Si aplicamos un impulso de amplitud suficiente en Vd, T1 pasar a saturacin, por
lo que Vo1 se har prcticamente 0 y en la base de T2 se reflejar una tensin
negativa de valor prximo a -Vcc que har que T2 pase al corte; por ello Vo2
tomar un valor prximo a Vcc y la base de T1 permanezca en saturacin.
Desde el instante en que T1 pasa a saturacin, C1 comienza a descargarse a
travs de R2 y T1 y lo har en un tiempo
T = 0.69 R2 C1
Una vez descargado empezar a cargarse en sentido contrario, esto es, la placa
conectada a la base de T2 se har positiva y una vez alcanzada tensin suficiente
en ese punto, T2 pasar a saturacin, por lo que Vo2 se hace 0 y, de nuevo, T1
pasa al corte hasta la aparicin de un nuevo impulso de disparo en Vd.
9.@ ESTRUCTURA Y ESPECIFICACIONES DE LOS
CIRCUITOS TEMPORI4ADORES INTEGRADOS
Los sistemas digitales pueden operar en forma )&"%,'"&%- o -)&"%,'"&%-. En los
sistemas -)&"%,'"&%!) las salidas de los circuitos lgicos pueden %-*F&-, #(
()+-#! en el momento en que una o ms de las entradas cambien. En los
sistemas )&"%,'"&%!) los tiempos exactos en que una salida puede cambiar de
estado se determinan por medio de una seal que comnmente se le denomina
seal de ,(3!G. Esta seal es una serie de pulsos rectangulares o cuadrados y se
distribuye a todas las partes del sistema y algunas de sus salidas cambian de
estado slo cuando la seal de ,(3!G hace una +,-")&%&'". Cuando la seal de
,(3!G cambia de 0 a 1, se le denomina Transicin de Pendiente Positiva (TPP),
cuando pasa de 1 a 0 se le conoce como Transicin de Pendiente Negativa (TPN).
La #iura $, muestra el smbolo general empleado para un multivibrador (MVB). El
smbolo indica que el MVB tiene dos salidas E y EH inversas entre s. E recibe el nombre
de salida normal del MVB, mientras que EH es la salida negada o invertida. Siempre que
se haga referencia al estado del MVB, ste ser el de su estado normal y se entiende que
EH se encontrar en estado opuesto.
Caractersticas de los multivibradores sintonizados por ,(3!G:
1. Los multivibradores (MVB) sincronizados por ,(3!G tienen una
entrada de reloj designada CLI (Clock-reloj). Esta entrada se
dispara por 23-"%!, lo que significa que es activada por una
transicin de seal; esto se especifica por la presencia de un
tringulo en la entrada del ,(3!G. Posteriormente, se explican
detalladamente los MVB disparados por 23-"%!.
2. Los MVB sincronizados por ,(3!G tambin poseen una o ms
entradas de control que pueden tener varios nombres, lo que
depende de su operacin; su efecto est sincronizado con la seal
aplicada en la entrada CLI. Por esta razn se les llama entradas
sincronizadas de reloj.
9. Las entradas de %!"+,!3 hacen que las salidas del MVB estn
listas para cambiar, mientras que la transicin activa en la entrada
CLI es la que dispara un cambio.
S-R ASNCRNCO CON COMPUERTAS NO O.
El multivibrador biestable S-R, basado en compuertas No O, tiene dos entradas
S y R y dos salidas Q y Q', donde Q' es el complemento de Q. Con el circuito,
figura adjunta, y la tabla de verdad se facilita la explicacin de su funcionamiento.
La tabla de excitacin se utiliza para el proceso de sntesis o diseo y la x
significa que es un trmino indiferente, es decir, puede tomar el valor de 1 o 0; la
ecuacin de estados se utiliza para realizar el proceso de anlisis.


Como recordatorio, se presenta la tabla funcional de la compuerta No O.
S R O No 0
0
0
1
1
0
1
0
1
0
1
1
1
1
0
0
0
En base al circuito de la figura anterior y la tabla funcional de la compuerta No
O, se obtiene la tabla funcional del multivibrador:
S R Q Q'
1
0
0
0
1
0
0
1
0
1
1
1
0
0
x
0
0
1
1
x
despus de S=1 y R=0
despus de S=0 y R=1
estados prohibidos
A continuacin se presenta la tabla de estados, en donde Q
J
es el estado
sguente:
DEC S R Q Q
J

0
1
2
3
4
5
6
7
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
0
0
1
1
x
x
como
MEMORA
puestas a
CEROS
puestas a
UNOS
estados
PROHBDOS
De la tabla de estados, se observa que cuando S=R=0, Q
J
KE; cuando S=0 y
R=1, Q
J
K0; cuando S=1 y R=0, Q
J
K 1 y cuando S=R=1, Q
J
KD, o sea se presentan
los estados prohibidos. La siguiente tabla, llamada caracterstica, resume las
observaciones anteriores:
S R Q
J
0
0
1
1
0
1
0
1
Q
0
1
x
De la misma tabla de estados, se observa que cuando Q=Q
J
K0, S=0 y R puede
ser 0 o 1, o sea indiferente; cuando Q=0 y Q
J
K1, S=1 y R=0; cuando Q=1 y Q
J
K0,
S=0 y R=1 y cuando Q=Q
J
K1, S puede ser 0 o 1, indiferente, y R=0. La tabla de
excitacin muestra estas observaciones:
Q Q
J
S R
0
0
1
1
0
1
0
1
0
1
0
x
x
0
1
0
De la tabla de excitacin se observa, que dadas las salidas Q y Q+, se pueden
determinar qu valores de las variables de entrada, S y R, produjeron dichas
salidas.
Nuevamente, de la tabla de estados
se determina la funcin cannica para
Q
J
:
Q
J
(S8 R8 EK 9* (18?8@ J 9D (A85
Se simplifica por mapas de
Karnaugh, como se muestra en la figura
adjunta, y se obtiene la ecuacin de
estados, dada por:
Q
J
(S8 R8 EK S J RHE

Finalmente, el diagrama a bloques del MVB es:

A continuacin se presentan las tablas y diagramas correspondientes a este tipo de
multivibrador, cuyo logigrama se presenta en la figura adjunta.
T-F3- #(
ESTADOS
S R E E
J

0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
0
0
1
1
D
D
%!*!
MEMORIA
1$()+- -
CEROS
1$()+-) -
UNOS
()+-#!)
PROHIBIDOS
De la tabla de ()+-#!), se observa que cuando SK0, RK0 y E es igual a 0 o 1
(ndferente), E
J
se comporta como memoria; cuando SK0, RK1 y E es igual a 0 o 1
(ndferente), E
J
se pone a %(,!); cuando SK1, RK0 y E es igual a 0 o 1 (ndferente) , E
J
se pone a $"!); finalmente, cuando SKRK1 y E es igual a 0 o 1 (ndferente), E
J
presenta
estados 1,!;&F&#!).
La tabla %-,-%+(,0)+&%- se obtiene a partir de la tabla de ()+-#!), en esta tabla se
incluye la seal de reloj (CLI), las entradas S y R y el estado siguiente E
J
.
T-F3-
CARACTERSTICA
CLI S R E
J
0
1
1
1
1
D
0
0
1
1
D
0
1
0
1
E
E
0
1
D
Debe observarse que cuando CLIK0, sin importar los valores de S y R, EJ mantiene el
valor del estado presente (E). En las otras combinaciones, cuando CLIK1, se presentan
las cuatro posibilidades mostradas en la tabla de ()+-#!); es decir, como *(*!,&-
(SKRK0), puesta a %(,!) (SK0 y RK1), puesta a $"!) (SK1 y RK0) y los estados
1,!;&F&#!) (SKRK1).
A continuacin se presenta la tabla de (D%&+-%&'", que se obtiene a partir de la tabla de
()+-#!):
T-F3- #(
ELCITACIN
E E
J
S R
0
0
1
1
0
1
0
1
0
1
0
D
D
0
1
0
De tabla de (D%&+-%&'" se observa, que dadas las salidas E y EJ, se pueden
determinar qu valores de las variables de entrada, S y R, produjeron dichas salidas. Se
observa que cuando EKE
J
K0, SK0 y R puede ser 0 o 1; cuando EK0 y E
J
K1, SK1 y RK0;
cuando EK1 y E
J
K0, SK0 y RK1; finalmente, cuando EKE
J
K1, S puede ser 0 o 1 y R Para
determinar la ecuacin de ()+-#!), se debe tener una tabla ampliada de la tabla de
()+-#!), cuyas entradas sean CLI, S, R, E y tenga como salida el estado siguiente E
J
.
Los valores funcionales de EJ se obtienen de la tabla %-,-%+(,0)+&%-:
DEC CLI S R E E
J
0
1
2
9
?
@
A
5
M
N
10
11
12
19
1?
1@
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
0
1
1
D
D
Obsrvese que cuando CLIK0, combinaciones 0>5, E
J
KE, por tanto E
J
K1 para las
combinaciones 1, 9, @ y 5; para CLIK1, combinaciones M>1@, se tiene que para cuando
SKRK0, E
J
KE, lo que ocurre para las combinaciones de entrada M y N, siendo E
J
K1 para
la combinacin de entrada N; finalmente, cuando SK1 y RK0, E
J
K1, cumplindose para las
combinaciones 12 y 19.
La figura siguiente, muestra la funcin de conmutacin de E
J
, el mapa de Karnaugh
para reducir dicha funcin y la funcin reducida para E
J
, que es la funcin de ()+-#!).
La figura adjunta presenta la carta de tiempo del multivibrador S>R sincronizado por
reloj con TPP (transicin de pendiente positiva).
La siguiente tabla presenta un resumen explicativo de la grfica anterior.
9.ACIRCUITOS DE TIEMPO
MULTVBRADOR TPO T (Toggle, variar o cambiar de estado).
En el multivibrador tipo T, su diagrama a bloques se muestra en la figura
adjunta, la seal de reloj es la nica existente, cuando pulsa la entrada T el
biestable cambia de estado. En otras palabras, el nombre del MVB se deriva de la
habilidad de variar (toggle) o cambiar de estado. ndependientemente del estado
presente del multivibrador, ste asume el estado de complemento cuando ocurre
el pulso de reloj, siempre y cuando el estado lgico de T est a nivel alto.
La tabla de estados correspondiente a este MVB se presenta a continuacin:
T-F3- #(
ESTADOS
T E E
J
0
0
1
1
0
1
0
1
0
1
1
0
De la tabla, se observa claramente que cuando T=0, Q+=Q y cuando T=1,
Q+=Q'. A partir de esta tabla, se obtiene la tabla caracterstica, cuya variable de
entrada es T y la de salida el estado siguiente Q+:
De la tablas, se observa que cuando T=0, el estado siguiente es igual al estado
presente, Q+=Q, y cuando T=1, el estado siguiente es igual al complemento del
estado presente, es decir: Q+=Q'.
Finalmente, la tabla de excitacin presenta como entradas a las variables de
estado presente y siguiente, Q y Q+, y como salida a la variable T que las
produce:

La ecuacin de estados, Q+(T, Q), se deduce de la tabla de estados, en la cual se
observa que dicha funcin es igual a la O EXC de T y Q; es decir:
EJ(T8EKT,E
A continuacin se presenta la carta de tiempo del multivibrador tipo T
sincronizado por reloj con TPP.


MULTIVIBRADOR DISPARADO POR FLANCO
Un tipo ms comn de multivibrador, para sincronizar el cambio de estado
durante una transicin de pulso de reloj, es el multivibrador disparado por flanco,
T-F3- #(
ELCITACIN
E E
J
T
0
0
1
1
0
1
0
1
0
1
1
0
en el cual las transiciones de salida ocurren en un nivel especfico del pulso de
reloj. Cuando el nivel de entrada excede este umbral, se cierran las entradas y el
multivibrador se hace inactivo a cambios posteriores en las entradas hasta que el
pulso de reloj regresa a cero y ocurre otro pulso.
Las figuras siguientes, corresponden al diagrama a bloques de un multivibrador
tipo D disparado por flanco positivo y la grfica de tiempo. El valor de entrada D se
transfiere a la salida Q cuando CLK tiene una transicin de pendiente positiva
(TPP).







La transicin de reloj positiva efectiva incluye un tiempo mnimo llamado tiempo
de establecimiento, en el cual la entrada D debe permanecer en un valor
constante antes de la transicin y un tiempo definido llamado tiempo de retencin,
donde la entrada D no debe cambiar despus de la transicin positiva. La
transicin de reloj positiva efectiva es por lo general una fraccin muy pequea del
perodo total del pulso de reloj.

Las figuras siguientes corresponden a un multivibrador tipo D disparado por
flanco negativo, en el cual el smbolo grfico incluye un crculo de negacin
enfrente de CLK, indicando que fue disparado por flanco negativo. En este caso el
multivibrador corresponde a la transicin de la seal de reloj de nivel 1 al nivel 0.


MULTIVIBRADOR MAESTROBESCLAVO
En el caso de circuitos integrados (C), un multivibrador maestro/esclavo, ya es
obsoleto, aunque puede encontrarse en equipos viejos, algunos estndares en la
familia TTL son 7473, 7476 y 74107; las nuevas tecnologas, tales como 74LS,
74AS, 74ALS y 74HCT, no incluyen ningn multivibrador maestro/esclavo dentro
de sus series.
El multivibrador maestro/esclavo no es ms que dos MVB: uno maestro y otro
esclavo. Durante la transicin de pendiente positiva (TPP) de la seal de reloj, los
niveles presentes de las entradas de control (CLK, S, R) se emplean para
determinar la salida del multivibrador maestro. Cuando existe un cambio de TPP,
los estados del maestro se transfieren al esclavo, cuyas salidas son Q y Q'. Por
tanto, ambas cambian slo despus de cada TPN del reloj. En conclusin, el
multivibrador maestro/esclavo debe mantener estables las entradas de control,
mientras que CLK se encuentre en estado ALTO, de lo contrario puede ocurrir una
operacin no previsible.
Las siguientes figuras muestran el diagrama a bloques de un multivibrador
maestro/esclavo sincronizado por reloj, as como su carta de tiempo.







La siguiente tabla explica la operacin del multivibrador maestro/esclavo:
Operacin del multivibrador MAESTRO/ESCLAVO
nicialmente la salida Z=0, en ausencia de las entradas para cambiar a 1; la salida
Y del primer par de compuertas con acoplamiento transversal del elemento
maestro es igual que Z, entonces Y=0.
La entrada uno pasa a 1 antes de que el pulso 2 del reloj se acople a dicha
entrada, de p=0 y despus de un retardo de compuerta sigue al borde delantero
del pulso 2.
Despus de un retardo de compuerta adicional, la salida Y del elemento maestro
va a 1. Obsrvese que no existe ninguna otra actividad del circuito hasta que el
reloj vuelve a 0.
La salida Z del segundo par de compuertas con acoplamiento transversal,
elemento esclavo, permanece en 0. Con CLK=q=0, y despus de otro retardo de
compuerta, Z=1


Los multivibradores disponibles en C
proporcionan a veces terminales de entrada para iniciar o borrar el multivibrador
en forma asincrnica. Estas entradas, por lo general, se llaman preinicio (preset) y
borrado (clear) y afectan al multivibrador en el nivel negativo de la seal de
entrada sin necesidad de un pulso de reloj. Estas entradas son tiles para llevar a
los multivibradores a un estado inicial antes de su operacin cronometrada.
Sincronizacin de multivibradores.
La mayora de los sistemas digitales son sincrnicos en su operacin, pues la
generalidad de las seales cambiarn estados de sincronizacin con las
transmisiones del reloj. En algunos casos, existir una seal interna que no est
sincronizada por el reloj, por lo que ser una seal asincrnica. Las seales
asincrnicas ocurren a menudo como resultado de una seal interruptora al azar,
en relacin con la seal de reloj. Esta seleccin no programada puede producir
resultados impredecibles.

1.6 CONVERSN ENTRE MULTVBRADORES
1. Obtener un multivibrador J-K a partir del multivibrador tipo D, como se muestra
en el diagrama de bloques adjunto.
Pasos a seguir:
a) Obtener la tabla de estados del multivibrador que se desea alcanzar:
Tabla de ESTADOS
De la tabla se observa que la ecuacin de estados es: D=Q+
c) La entrada del multivibrador D, representa la salida del circuito de excitacin
(marcado con ? en la figura), la cual se obtiene de la tabla de estados del inciso a),
es decir:
D =Q+(J, K, Q) = 3m (1,4,5,6)
Reduciendo la funcin por mapas K:


Obsrvese que el logigrama se obtuvo con compuertas No Y, lo cual se logra
complementando dos veces la funcin y aplicando uno de los complementos,
como se muestra en el mapa de Karnaugh.

2. Obtener un multivibrador J-K a partir del multivibrador tipo T, como se muestra
en el diagrama a bloques adjunto.
Se siguen los pasos indicados en el ejemplo anterior.
a) Obtencin de la tabla de estados del multivibrador que se desea
De la tabla se observa que: T(Q, Q+) = Q r Q+
c) Para determinar a T en funcin de J, K y Q, se agrega una columna extra a la
tabla de estados y los valores de T se determinan en base a la tabla de excitacin
del multivibrador tipo T. As, cuando Q y Q+ tengan el mismo valor de verdad T=0
y cuando Q y Q+ tengan distinto valor de verdad T=1, como se muestra en la
siguiente tabla:
Obsrvese que para las combinaciones 0, 1, 2 y 5, Q y Q+ tienen el mismo valor
de verdad, por tanto T=0; para las combinaciones 3, 4, 6 y 7, Q y Q+ tienen
T-F3- #( ESTADOS
DEC O I E E
J

0
1
2
9
?
@
A
5
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
0
0
1
1
1
0
%!*!
MEMORIA
1$()+- -
CEROS
1$()+- -
UNOS
%!*13(*("+! #( E
distinto valor de verdad, por tanto T=1. Expresando a T en funcin de J, K y Q, se
tiene la siguiente funcin cannica:
T(J,K,Q)=3m(3,4,6,7)
Reduciendo por mapas K:


Y realizando el logigrama, se tiene:

Tambin en este caso, la funcin se genera con compuertas No Y.

3. Obtener el multivibrador tipo D a partir del multivibrador tipo J-K.
Obtencin de la tabla de estados del multivibrador tipo D (el que se desea
alcanzar):
T-F3- #(
ESTADOS
D E E
J
0
0
1
1
0
1
0
1
0
0
1
1
Se obtiene la tabla de excitacin del multivibrador J-K:
Se genera una tercera tabla para relacionar a D y Q con J y K. Esto se logra
agregando tres columnas a la tabla de estados del MVB tipo D; una a su izquierda
que muestra los decimales asociados a las combinaciones de D y Q; y dos a la
T-F3- #(
ESTADOS
D E E
J
0
0
1
1
0
1
0
1
0
0
1
1
derecha correspondientes a J y K. Los valores de J y K se obtienen de la tabla de
excitacin del multivibrador J-K; es decir, cuando Q=Q+=0, J=0 y K=x; cuando
Q=0 y Q+=1, entonces J=1 y K=x y as sucesivamente, como se muestra a
continuacin:
Ahora si podemos encontrar a J y K en funcin de D y Q, como se muestra a
continuacin:
J(D, Q) = 3m (2) + 3x (1,3)
K(D, Q) = 3m (1) + 3x (0,2)
Reduciendo por mapas de Karnaugh las funciones anteriores y realizando el
logigrama, se tiene:

T-F3- #(
ESTADOS
DEC D E E
J O I
0
1
2
9
0
0
1
1
0
1
0
1
0
0
1
1
0
D
1
D
D
1
D
0
UNIDAD IV
PRINCIPIOS DE ELECTRNICA DIGITAL
4.1 SISTEMA BINARIO
?.2 EL TRANSISTOR COMO CONMUTADOR
?.9 LGEBRA BOLEANA
?.? CONFIGURACIN LGICA DE OPERACIONES DIGITALES
?.@ ESTRUCTURA Y ESPECIFICACIONES DE LOS CIRCUITOS
INTEGRADOS
?.A APLICACIONES
?.1SISTEMA BINARIO
Un sistema de numeracin puede ser definido como: "el con0unto de s1!'olos %
reglas 2ue se utl3an para la representac(n de datos nu!4rcos o cantdades.

Un sistema de de numeracin se caracteriza fundamentalmente por su base, que
es el nmero de smbolos distintos que utiliza, y adems es el coeficiente que
determina cul es el valor de cada smbolo dependiendo de la posicin que ocupe.

El sistema binario es el sistema de numeracin que utiliza internamente los
circuitos digitales que configuran el hardware de las computadoras actuales.

La base o nmeros que utiliza el sistema binario son 2, siendo stos el 0 y el 1.
Cada cifra o dgito de un nmero representado en este sistema se denomina BT
(contraccin de Bnary digiT).

Para la medida de cantidades de informacin representadas en binarios se utilizan
una serie de mltiplos del BT que poseen nombre propio; stos son los
siguientes:
Nivel o cuarteto. Conjunto de cuatro bits
Byte u octeto. Conjunto de 8 bits
Kilobyte (KB). Conjunto de 1024 bytes (1024 * 8 bits)
Megabyte (MB). Conjunto de 1024 kilobytes (1024 * 8 bits)
Gigabyte (GB). Conjunto de 1024 megabytes (1024 * 8 bits)
Terabyte (TB). Conjunto de 1024 gigabytes (1024
4
* 8 bits)

La razn por la que se utiliza el factor multiplicador 1024 en lugar de 1000, como
sucede en otras magnitudes fsicas, es por ser el mltiplo de 2 ms prximo a
1000, cuestin importante desde el punto de vista electrnico.

2
10
= 1024

SUMA BINARIA

Es semejante a la suma en el sistema decimal, con la diferencia de que se
manejan slo 2 dgitos (0 y 1), de tal forma que cuando el resultado excede de los
smbolos utilizados se agrega el exceso (denominado acarreo) a la suma parcial
siguiente hacia la izquierda.

Las tablas de sumar son:

Tabla del 0 Tabla del 1
0 + 0 = 0
0 + 1 = 1
1 + 0 = 1
1 + 1 = 1 0 ( 0 con acarreo
1)


Ejercicios:

100100
+010010
110110



R()+- B&"-,&-

Es similar a la decimal, con la diferencia de que se manejan slo 2 dgitos, y
teniendo en cuenta que al realizar las restas parciales entre dos dgitos de
idnticas posiciones, uno del minuendo y otro del sustraendo, si el segundo
excede al primero, se sustraes una unidad del dgito de ms a la izquierda en el
minuendo (si existe y vale 1), convirtindose este ltimo en 0 y equivaliendo la
unidad extrada a 1*2 en el minuendo de resta parcial que estamos realizando. Si
es 0 el dgito siguiente a la izquierda, se busca en los sucesivos.

Las tablas de restar son:

Tabla del 0 Tabla del 1
0 - 0 = 0
0 - 1 = no cabe
1 - 0 = 1
1 - 1 = 0

1 11

Acarreos
11001
+ 10011
101100



M$3+&13&%-%&'" F&"-,&-

Se realiza similar a la multiplicacin decimal salvo que la suma final de los
productos se hace en binario.

Las tablas de multiplicar son:

Tabla del 0 Tabla del 1
0 * 0 = 0
0 * 1 = 0
1 * 0 = 0
1 * 1 = 1


Ejercicios:

110101
* 001101
110101
000000
110101
110101
1010110001



D&/&)&'" B&"-,&-

Al igual que las operaciones anteriores, se realiza de forma similar a la divisin
decimal salvo que las multiplicaciones y restas internas al proceso de la divisin se
hacen en binario.

Ejemplos:


100010 110
111111
* 101010
000000
111111
111111
111111
101001010110
110
1010 101

Cociente
110
100 Resto


CONVERSIONES A OTROS SISTEMAS

D( F&"-,&! - #(%&*-3

1. Mtodo para convertir nmeros binarios enteros a decimal. Consiste en rescribir
el nmero binario en posicin vertical de tal forma que el dgito de la derecha
quede en la zona superior y el dgito de la izquierda quede en la zona inferior. Se
repetir el siguiente proceso para cada uno de los dgitos comenzando por el
inferior: se su!a el d1gto al producto de 5 por el resultado de la operac(n
anteror, tenendo en cuenta 2ue para el pr!er d1gto, el resultado de la operac(n
anteror es 6/ El nmero decimal buscado ser el resultado obtenido en la ltima
operacin.

Ejemplo:


1 0 1 1
2 * 5 + 1 = 11 Resultado
2 * 2 + 1 = 5
2 * 1 + 0 = 2
2 * 0 + 1 = 1

2. Mtodo de las sumas de las potencias de 2. Es vlido para nmeros binarios
con o sin decimales. Consiste en realizar la multiplicacin del dgito binario por la
potencia del 2 de acuerdo a la posicin que le corresponde con referencia al
punto. El nmero decimal buscado es la sumatoria de las multiplicaciones
parciales.

Ejemplo:

1 0 1 0 . 1 0 1
10001000100 101010
101010
110100 11010

Cociente
101010
101010
101010
00 Resto

1*2
-3
= 0.125
0*2
-2
= 0
1*2
-1
= 0.500
0*2
0
= 0
1*2
1
= 2
0*2
2
= 0
1*2
3
= 8
10.625


D( #(%&*-3 - F&"-,&!

1. Divisiones sucesivas entre 2. Este se utiliza para convertir un nmero entero
decimal a su respectivo nmero entero en binario. Se trata de dividir
sucesivamente el nmero decimal y los sucesivos cocientes entre 2, hasta que el
cociente en una de las divisiones tome el valor de 0. La unin de todos los restos
obtenidos, escritos en orden inverso, nos proporciona el nmero expresado en
binario.

Ejemplo:

10 2 1 0 1 0
0 5 2
1 2 2
0 1 2
1 0






2. Multiplicaciones sucesivas por 2. Se utiliza para convertir una fraccin decimal a
su equivalente fraccin en binario. Consiste en multiplicar dicha fraccin por 2,
obteniendo en la parte entera del resultado el primero de los dgitos binarios de la
fraccin que buscamos. A continuacin, repetimos el mismo proceso con la parte
fraccionaria del resultado anterior. Repetiremos de esta forma, hasta que
desaparezca la parte fraccionaria de los resultados parciales o hasta que
tengamos los dgitos binarios suficientes.

Ejemplo:

0.828125*2= 1.65625
0.65625*2= 1.3125
0.3125*2= 0.625
0.625*2= 1.25
0.25*2= 0.5
0.5*2= 1.0 0 . 1 1 0 1 0 1

D( F&"-,&! - ;(D-#(%&*-3

Para convertir nmeros binarios a hexadecimales, se agrupan los dgitos de 4 en 4
a partir del punto decimal hacia la izquierda y hacia la derecha, sustituyendo cada
cuarteto por su correspondiente dgito hexadecimal, de acuerdo a la siguiente
tabla.

Dgito
hexadecimal
Dgitos
binarios
0 0000
1 0001
2 0010
3 0011
4 0100
5 0101
6 0110
7 0111
8 1000
9 1001
A 1010
B 1011
C 1100
D 1101
E 1110
F 1111

Ejemplo:

0111101110100011.10111100 7BA3.BC

0111 1011 1010 0011. 1011 1100
7 B A 3 . B C

D( ;(D-#(%&*-3 - F&"-,&!

Para convertir nmeros hexadecimales a binarios se sustituye cada dgito
hexadecimal por su representacin binaria con cuatro dgitos de acuerdo a la
anterior tabla.

Ejemplo:

2BC 1010111100

2 B C
0010 1011 1100


D( F&"-,&! - !%+-3

Para convertir nmeros binarios a octal, se sigue el mtodo que se usa para
convertir de binario a hexadecimal, pero aqu se agrupan los dgitos de 3 en 3 a
partir del punto decimal hacia la izquierda y hacia la derecha, sustituyendo cada
grupo de tres dgitos binarios por su correspondiente dgito octal, de acuerdo a la
siguiente tabla.


Dgito
octal
Dgitos
binarios
0 000
1 001
2 010
3 011
4 100
5 101
6 110
7 111


Ejemplo:

1010111100 1274

1 010 111 100
1 2 7 4

?.2 EL TRANSISTOR COMO CONMUTADOR
Aplicar los transistores no se limita nicamente a la amplificacin de seales. A
travs de un diseo adecuado pueden utilizarse como un interruptor para
computadora y para aplicaciones de control. Puede emplearse como un inversor
en los circuitos lgicos de las computadoras.
Observe la figura 4.24 donde el voltaje de salida Vc es opuesto al que se aplic
sobre la base o a la terminal de entrada. Tambin obsrvese la ausencia de una
fuente de dc conectada al circuito de la base. La nica fuente de dc est
conectada al colector o lado de la salida, y para las aplicaciones de computadoras
normalmente es igual a la magnitud del nivel "alto" de la seal aplicada, en este
caso 5 V.
Figura 4.24. Transistor inversor.
El diseo ideal para el proceso de inversin requiere que el punto de operacin
conmute de corte a la saturacin, pero a lo largo de la recta de carga descrita en la
figura 4.52. para estos propsitos se asumir que c = ceo = 0 mA cuando B = 0
A (una excelente aproximacin de acuerdo con las mejoras de las tcnicas de
fabricacin).
Cuando Vi = 5 V, el transistor se encontrar "encendido" y el diseo debe asegurar
que la red est saturada totalmente por un nivel de B mayor asociado con la curva
B, que aparece cerca del nivel de saturacin. El nivel de saturacin para la
corriente del colector y para el circuito est definido por:
Csat = Vcc/ Rc
Transistor en conmutacin
Tenemos un interruptor en posicin 1, abierto:
B = 0
C = 0 CORTE (el transistor no conduce)
Recta de carga:
Esto era lo ideal, lo exacto sera:
Pero para electrnica digital no tiene mucha importancia ese pequeo margen, por
lo tanto se desprecia.
nterruptor en posicin 2:
Finalmente tenemos una grfica de la siguiente forma:
A13&%-%&'": Si tenemos en la entrada una onda cuadrada.
Se invierte la Vsal, invierte la onda de entrada en la salida. Ese circuito se utiliza en
electrnica digital.
A ese circuito le llambamos "Circuito de polarizacin de base", que era bueno
para corte y saturacin, para conmutacin. Pero este que hemos hecho no es
exacto, lo exacto es:
Entonces se cogen los mrgenes, pero como estn muy separados se desprecia y
no se le da importancia a ese pequeo error.
C!"*$+-%&'" &#(-3
La accin de conmutacin de los transistores, bien sean bipolares (BJT) o de
efecto campo (MOSFET). La conmutacin se puede describir de una forma
sencilla como la posibilidad de un dispositivo de saltar o cambiar entre dos
posiciones o dos estados distintos (que podemos llamar Alto/Bajo, On/Off,
Marcha/Paro, 1/0, etc.) de una forma ms o menos rpida. En el caso de los
transistores, ambos estados se identifican con las situaciones de Saturacin y
Corte.
Para un transistor bipolar, la operacin de conmutacin es la siguiente: cuando la
base est a unos 0,7 voltios por encima del emisor (y se suministra corriente
suficiente a la base) el transistor conduce y entra en saturacin. En esta situacin,
el transistor se comporta idealmente como un interruptor cerrado entre el colector
y el emisor, como ilustra la figura 1.a. Cuando la base est a menos de 0,7 V por
encima del emisor, el transistor no conduce y se comporta como un interruptor
abierto entre el colector y el emisor.
a) Transistor en Saturacin. b) Transistor en Corte.
Figura 1. Conmutacin ideal de un transistor BJT.
Los transistores MOSFET (transistores de efecto ca!po) difieren enormemente en
su construccin y en su funcionamiento interno de los transistores bipolares, pero
su acc(n de con!utac(n es '&sca!ente la !s!a. dealmente, funcionan como
interruptores abiertos o cerrados, dependiendo de su entrada.
Cuando la tensin de puerta de un MOSFET de canal-n (7M89) es ms positiva
que la de fuente, el MOSFET conduce (saturac(n) e, idealmente, se comporta
como un conmutador cerrado entre el drenador y la fuente. Cuando la tensin
puerta-fuente es cero, el MOSFET no conduce (corte) y se comporta idealmente
como un interruptor abierto entre el drenador y la fuente (ver figura 2).
a) Transistor en Saturacin. b) Transistor en Corte.
Figura 2. Conmutacin ideal de un transistor MOSFET de canal-n.
Los MOSFET de canal-p (PM89) funcionan con polaridades de tensin opuestas,
tal como muestra la figura 3.
a) Transistor en Saturacin. b) Transistor en Corte.
Figura 3. Conmutacin ideal de un transistor MOSFET de canal-p.
?.9 COMPUERTAS LGICAS
INTRODUCCIN
El conocimiento de las puertas lgicas, exige tener en cuenta unos conceptos
bsicos que se estudiaran en este apartado.
P$(,+-) L'6&%-).> es la unidad bsica sobre la que se disea un sistema digital.
Pueden poseer una entrada o ms y una sola salida. Son capaces de realizar
funciones dando un nivel de tensin a la salida que puede ser alto o bajo. Alto
significa 1 (H) y bajo significa 0 (L)
Las puertas lgicas bsicas son: AND, OR, NAND, NOR, NOT, EX-OR, y EX-
NOR.
N&/(3() L'6&%!).> En TTL y CMOS los niveles de tensin en entradas y salidas no
son fijos, hay fluctuaciones entre dos mrgenes, tanto alto como bajo. En esta
tabla comparativa entre las dos tecnologas se observan las diferencias que son
capaces de soportar de niveles de tensin. Se dice que zona prohibida es aquella
en la que no se puede garantizar un nivel lgico 1 o 0. Este es un concepto
importante, pues en electrnica digital lo que pretendemos es enviar informacin
fiable.
Tecnologa
Zona
prohibida
entrada
Zona
prohibida
salida
Vcc VH VL VOH VOL
TTL 0.8 a 2v
0.4 a
2.4v
5v 2 a 5.5v 0 a 0.8v 2.4 a 5.5v 0 a 0.4v
CMOS
1.5 a
3.5v
0.01 a
4.99v
3 a 15v 3.5 a 5v 0 a 1.5v 4.99 a 5v 0 a 0.01v
Siendo:
Vcc= Tensin de alimentacin de las puertas. En CMOS se ha supuesto dicha
tensin en 5v.
VH= Nivel alto de tensin (H) de entrada (L)
VL= Nivel bajo de tensin (L) de entrada (L)
VOH= Nivel alto de tensin (H) de salida (O)
VOL= Nivel bajo de tensin (L) de salida (O)
T-F3-) #( /(,#-#.> A travs de las tablas de verdad se puede conocer
tericamente el comportamiento de las puertas lgicas, en funcin de los niveles
que se aplican a la entrada. Ms adelante veremos como adems nos van a
servir para disear circuitos digitales
PUERTA AND
En las escenas de esta pgina se muestran las tres puertas lgicas elementales y
que son la base de cualquier circuito digital. De hecho con esas puertas bastara
para poder disear cualquiera de ellos. En las dos pginas siguientes veremos
otras puertas que permiten simplificar el diseo e implementacin de los circuitos
lgicos ms complejos. Cualquiera de estas puertas existen cmo productos
comerciales electrnicos. Los smbolos usados en las escenas, para representar
las puertas, son los estandares empleados en electrnica digital. As mismo se
representa la notacin booleana de la funcin representada por cada una de las
puertas mostradas y su nombre en ingls ya que es usado en muchos textos.
La primera puerta que vemos es la puerta "Y". Podeis observar que tiene dos
entradas (inputs) y una salida (output). Las variables A y B representan dos
variables booleanas cualesquiera y, modificando sus valores, podemos ver cmo
se modifica el valor de salida. Una puerta "Y" la podemos imaginar como la
entrada a un comercio en el que hay una barrera y una puerta a continuacin. Si
una de las dos, o ambas, estn cerradas (alguna de las variables de entrada es 0),
no podemos entrar al establecimiento. Slo podemos entrar si ambas estn
abiertas (las dos variables de entrada, as como la salida, sern 1).
A nivel de lgica proposicional la funcin Y corresponde a la %!"G$"%&'" o
1,!#$%+! 3'6&%!. Un ejemplo sera: "Juan es moreno . Luis practica baloncesto".
En el caso de que ambas proposiciones sean verdaderas, tambien ser verdadera
su conjuncin.
SMBOLO FUNCIN
S K A . B
TABLA DE VERDAD ANIMACION
A B S
0 0 0
0 1 0
1 0 0
1 1 1
PUERTA NAND
En las escenas de esta pgina se presentan dos nuevas puertas lgicas, la puerta
NO>Y y la puerta NO>O. Dichas puertas permiten implementar circuitos con un
menor nmero de componentes y, as mismo, ayudan a poder simplificar algunas
expresiones que resultarian algo complejas si nicamente dispusieramos de las
puertas y funciones vistas en la pgina anterior. En la parte derecha de las puertas
se puede observar un pequeo crculo. Dicho crculo nos indica que se invierten
las salidas de una Y y una O, respectivamente.
En la realidad, las puertas NO-Y , por razones prcticas, se suelen comercializar
con 2, 3 o 4 entradas. gualmente sucede con las puertas NO-O. Estas puertas
tienen la caracterstica que con ellas se puede implementar cualquier expresin
booleana sin usar ninguna otra puerta de otro tipo. Por ello estn presentes, en
gran cantidad, en un sinfn de circuitos integrados (sumadores, flips-flops,...)
SMBOLO FUNCIN

B A S =


TABLA DE VERDAD ANIMACIN
A B S
0 0 1
0 1 1
1 0 1
1 1 0
PUERTA OR
Como se puede observar tambin tiene dos entradas (inputs), A y B , y una salida
(output). Si modificas los valores de las entradas, tal como hiciste en la escena
anterior, vers cmo vara la salida correspondiente. Un ejemplo fsico sencillo que
se asemeja al comportamiento de una puerta O sera el de una puerta de doble
hoja de una casa. Basta abrir una de las dos hojas para poder entrar o abrir las
dos hojas. Hay ejemplos ms complejos pero ste resulta suficientemente claro).
Preposicionalmente se corresponde con la #&).$"%&'", tambin llamada )$*-
3'6&%-. Un ejemplo sera el aserto "esta pgina tiene el fondo blanco O se acaba
este prrafo". Dicho aserto ser verdadero siempre que lo sea alguna de las dos
proposiciones (frases) que lo forman.
SIMBOLO FUNCION


B A S + =

TABLA DE VERDAD ANIMACION
A B S
0 0 0
0 1 1
1 0 1
1 1 1
PUERTA NOR
Su respuesta es contraria a la de la puerta OR. Solo cuando tengamos nivel bajo
de tensin en todas las entradas se obtendr un nivel alto a la salida
SIMBOLO FUNCION


B A S + =
TABLA DE VERDAD ANIMACION
A B S
0 0 1
0 1 0
1 0 0
1 1 0
PUERTA EL>OR
Tambin denominada Or Exclusive. La salida toma valor 1 cuando el estado lgico
de las entradas es diferente, y tomar valor 0 cuando ambas tienen el mismo
estado.
SIMBOLO FUNCION


) ( ) ( B A B A B A S + = =
TABLA DE VERDAD ANIMACION
A B S
0 0 0
0 1 1
1 0 1
1 1 0
?.? LGEBRA BOLEANA
Se define como 736(F,- #( B!!3( a un sistema matemtico con un conjunto de
elementos B y dos operaciones binarias cerradas () y (+) siempre y cuando se
cumplan los siguientes postulados:
P1.- las operaciones tienen la propiedad conmutativa.
a+b = b+a
ab = ba
P2.- las operaciones son distributivas entre s
a(b+c) = ab + ac
a+(bc) = (a+b)(a+c)
P3.- las operaciones tienen elementos identidad diferentes dentro de B. Estos
elementos son definidos como 0 para (+) y 1 para ().
a+0 = a
a1 = a
P4.- para cada elemento, a, del conjunto B, existe otro elemento denominado
complemento, a tambin del conjunto B, tal que se cumple:
a+a = 1
aa = 0
Como podemos ver, en cualquier lgebra booleana se cumple el 1,&"%&1&! #(
#$-3&#-#:
Cualquier teorema o identidad algebraica deducible de los postulados anteriores
puede transformarse en un segundo teorema o identidad vlida sin ms que
intercambiar las operaciones binarias y los elementos identidad.
Como en cualquier lgebra, podemos disponer de constantes y de variables. As,
una constante se define como cualquier elemento del conjunto
B.
Mientras que una variable es un smbolo que representa un elemento arbitrario del
lgebra, ya sea una constante o una frmula algebraica completa.
T(!,(*-) #(3 36(F,- #( B!!3(.
En cualquier lgebra de Boole se pueden demostrar los siguientes teoremas:
Teorema 2.1.- El elemento a del 4 postulado (denominado complemento o
negacin de a) est unvocamente determinado, es decir, es nico.
Demostracin.- Supongamos que existen dos complementos de a: a1 y a2.
a2 = a21 = a2(a+ a1) = a2a + a2a1 = aa1 + a2a1 = (a + a2)a1 = a1
Teorema 2.2.- (o Teorema de elementos nulos) Para cada cualquier elemento a,
se verifica
a+1 = 1 y a0 = 0
Demostracin.-
a+1 = 1(a+1) = (a+a')(a+1) = a + a'1 = a + a' = 1
a0 = a0+0 = a0 + aa' = a(a'+0) = aa' = 0
Teorema 2.3.- Cada uno de los elementos identidad es el complemento del otro,
es decir, 1' = 0
y 0' = 1
Demostracin.- Si fuese cierto, deberan cumplir el cuarto postulado del lgebra:
1 = 0 + 0'
0 = 0 0'
Por ser nico l complemento: 0' = 1
1 = 1 + 1'
0 = 1 1'
Por ser nico el complemento: 1' = 0
Teorema 2.4.- (o Teorema de idempotencia) Para cada elemento a, se verifica:
a + a = a
a a = a
Demostracin.-
a + a = a + a 1 = a + a (a + a') = a + a a + a a' = a (1 + a) = a 1 = a
a a = a a + 0 = a a + a a' = a(a + a') = a1 = a
Teorema 2.5.- (o Teorema de involucin) Para cada elemento de a, se verifica que
el complemento del complemento de a es a, es decir, (a')' = a
Demostracin.-
a' + (a')' = 1 = a + a' = a' + a a = (a')'
a' (a')' = 0 = a a' = a' a a = (a')'
Teorema 2.6.- (o Teorema de absorcin) Para cada par de elementos, a y b, se
verifica:
a + a b = a
a (a + b) = a
Demostracin.-
a + a b = a 1 + a b = a (1 + b) = a 1 = a
a(a + b) = (a + 0) (a + b) = a + 0 b = a
Teorema 2.7.- Para cada par de elementos, a y b, se verifica:
a + a' b = a + b
a (a' + b) = a b
Demostracin.-
a + a' b = (a + a')(a + b) = 1(a + b) = a + b
a (a' + b) = a a' + a b = a b
Teorema 2.8.- (o Leyes de DeMorgan) Para cada par de elementos, a y b, se
verifica
(a + b)' = a' b'
(a b)' = a' + b'
Demostracin.- Se comprobar si se satisface el cuarto postulado
a + b + (a + b)' = a + b + a' b' = a + a' b' + b + b' a' =
= a + b' + b + a' = a + a' + b + b' = 1 + 1 = 1
(a + b) (a' b') = a a' b' + b b' a' = b' 0 + 0 a' = 0 + 0 = 0
a b + (a b)' = a b + a' + b' = a b + a' + a b + b' =
= a + a' + b + b' = 1 + 1 = 1
a b (a' + b') = a a' b + a b b' = 0 b + a 0 = 0 + 0 = 0
Teorema 2.9.- (o Leyes de DeMorgan generalizadas) Para cualquier conjunto de
elementos se verifica:
(X0 + X1 + . + Xn) = X0 X1 . Xn
(X0 X1 . Xn) = X0 + X1 + . + Xn
Teorema 2.10.- (o Teorema de asociatividad) Cada uno de los operadores binarios
(+) y () cumple la propiedad asociativa, es decir, para cada tres elementos, a, b y
c, se verifica
(a + b) + c = a + (b + c)
(a b) c = a (b c)
36(F,- #( C!"*$+-%&'".
Hasta ahora no hemos puesto ninguna restriccin al conjunto de elementos ni a
los operadores binarios (salvo los postulados que deberan cumplir). Si
particularizamos para el caso
de los circuitos digitales, restringimos el conjunto de elementos a los dos dgitos
binarios {0,1} y las operaciones binarias son las siguientes:
Se verifica que un lgebra definida de la forma mostrada en la tabla 2.1 se trata de
un lgebra de Boole. La demostracin de esta afirmacin se realiza mediante la
verificacin de los cuatro postulados:
P1.- Se comprueba por simple inspeccin de la definicin de las operaciones.
P2.- Se puede comprobar evaluando todas las combinaciones posibles.
P3.- Por inspeccin de los operadores se puede verificar.
P4.- Por definicin del operador complemento.
Un lgebra as definida se denomina lgebra de conmutacin. Los operadores de
esta lgebra reciben los siguientes nombres:
Operador + operador OR
Operador operador AND
Operador 'operador NOT
Y los circuitos electrnicos que realizan estas operaciones se denominan puertas
(OR, AND y NOT o inversor). Estas puertas tienen unos smbolos especiales, los
cuales son mostrados en la figura 2.1. stos son los smbolos tradicionales; y
aunque existe una simbologa internacional tambin mostrada, usaremos
preferentemente estos smbolos:
A,&+*=+&%- F&"-,&-.
Una vez visto el lgebra de Boole, y en particular el de conmutacin, pasaremos a
ver como se haran las operaciones ms bsicas de la aritmtica (suma, resta,
multiplicacin y divisin) utilizando el cdigo binario.
S$*- F&"-,&-.
La suma binaria tiene dos salidas: suma y acarreo. La salida suma es el resultado,
mientras que el acarreo es lo que se le aade a la siguiente suboperacin. La
tabla de combinaciones para la suma de dos entradas es la tabla 2.10, que se
encuentra junto a un ejemplo:
R()+-.
La resta binaria tiene dos salidas: resta y desbordamiento. La salida resta es el
resultado, mientras que el desbordamiento es lo que se le vuelve a restar a la
siguiente suboperacin, como si fuese un nuevo substraendo. La tabla de
combinaciones para la suma de dos entradas es la tabla 2.11, que se encuentra
junto a un ejemplo:
C!*13(*("+!.
Al igual que la resta de los nmeros reales se puede ver como la suma del nmero
negativo, en la resta binaria se puede hacer lo mismo. El nmero negativo en
binario es el denominado complemento a dos de dicho nmero, representado por
2B. El complemento a dos de un nmero binario se calcula invirtiendo dicho
nmero y sumarle 1 a la inversin, como podemos ver en el siguiente ejemplo:
Otra forma de obtener el complemento a dos es la siguiente: empezando por la
derecha se deja todo igual hasta encontrar el primer 1 (inclusive) y a partir de ah
se invierte la parte restante bit a bit.
En el caso de que el resultado sea negativo, tanto con la suma con el
complemento a dos como en la resta binaria, el nmero que se obtiene es el
nmero negativo binario, y por tanto, el complemento a dos del nmero en
cuestin.
D()13-:-*&("+!.
En el caso que queramos realizar operaciones complejas (multiplicacin y/o
divisin) con nmeros de potencia de dos (2, 4, 8, 16, 32), stas resultan muy
simples por propia construccin del cdigo binario. La multiplicacin (divisin) por
2n se realiza desplazando el punto decimal n dgitos a la derecha (izquierda). En
el caso de que no existan ms dgitos, se rellenarn con ceros. Esta forma se
puede demostrar por la expresin polinmica de los nmeros binarios.
M$3+&13&%-%&'".
La multiplicacin de dos nmeros binarios cualesquiera se basa en la tabla 2.12
de combinaciones:
Despus se realiza la suma de los productos parciales (como en el caso decimal).
As, mostramos como ejemplo la multiplicacin de 5.75 x 5 = 28.75.
D&/&)&'".
La divisin es la operacin ms compleja, realizndose generalmente a travs de
un algoritmo. El algoritmo que vamos a emplear ser el siguiente. El divisor se
alinear con la parte ms significativa (ms a la izquierda) del dividendo y se
restar. Si el resultado de esta resta es negativo, al cociente se le aade un cero a
la derecha y el divisor se desplaza un dgito a la derecha y volvemos a restar. Si el
resultado es positivo, al cociente se le aade un 1 a la derecha y al resultado de la
resta se le aade el dgito inmediatamente siguiente de la derecha del dividendo, y
se vuelve a empezar. A continuacin, vemos en la figura 2.2, y a modo de
Ejemplo, la divisin correspondiente a 45/5:
?.@ CONFIGURACIN LGICA DE OPERACIONES
DIGITALES
Un computador digital, como su nombre lo indica, es un sistema digital
que realiza diversas operaciones de cmputo. La palabra Dgtal
implica que la informacin que se representa en el computador por
medio de variables que toman un nmero limitado de valores Discretos
o cuant3ados/ Estos valores son procesados internamente por
componentes que pueden mantener un nmero limitado de estados
discretos. Los dgitos decimales por ejemplo, proporcionan 10 valores
discretos ( 0 .. 9 ). Como sabemos en la prctica, los computadores
funcionan ms confiablemente si slo utilizan dos estados
equiprobables. Debido al hecho que los componentes electrnicos
atienden a dos estados ( encendido / apagado ) y que la lgica
humana tiende a ser binaria ( esto es, cierto o falsa, si o no ) se utiliza
el sistema binario y se dice que son binarias.
Los computadores digitales utilizan el sistema de nmeros binarios,
que tiene dos dgitos 0 y 1. Un dgito binario se denomina un BI:/ ' La
in fonacin est representada en los computadores digitales en grupos
de bits. Utilizando diversas tcnicas de codificacin los grupos de bits
pueden hacerse que representen no solamente nmeros binarios sino
tambin otros smbolos discretos cualesquiera, tales como dgitos
decimales o letras de alfabeto. Utilizando arreglos binarios y diversas
tcnicas de codificacin, los dgitos binarios o grupos de bits pueden
utilizarse para desarrollar conjuntos completos de instrucciones para
realizar diversos tipos de clculos.
La informacin binaria se representa en un sistema digital por
cantidades fsicas denominadas seales, Las seales elctricas tales
como voltajes existen a travs del sistema digital en cualquiera de dos
valores reconocibles y representan un a variable binaria igual a 1 o 0.
Por ejemplo, un sistema digital particular puede emplear una seal de
3 [volts ; para representar el binario "" y 0.5 [volts ; para el binario
"0". La siguiente ilustracin muestra un ejemplo de una seal binaria.
Como se muestra en la figura, cada valor binario tiene una desviacin
aceptable del valor nominal. La regin intermedia entre las dos
regiones permitidas se cruza solamente durante la transicin de
estado. Los terminales de entrada de un circuito digital aceptan
seales binarias dentro de las tolerancias permitidas y los circuitos
responden en los terminales de salida con seales binarias que caen
dentro de las tolerancias permitidas.
La lgica binaria tiene que ver con variables binarias y con
operaciones que toman un sentido lgico. Es utilizada para escribir, en
forma algebraica o tabular. La manipulacin y. procesamiento de
informacin binaria. La manipulacin de informacin binaria se hace
por circuitos lgico que se denominan Compuertas.
Las compuertas son bloques del hardware que producen seales del
binario 1 0 cuando se satisfacen los requisitos de entrada lgica. Las
diversas compuertas lgicas se encuentran comnmente en sistemas
de computadores digitales. Cada compuerta tiene un smbolo grfico
diferente y su operacin puede describirse por medio de una funcin
algebraica. Las relaciones entrada - salida de las variables binarias
para cada compuerta pueden representarse en forma tabular en una
tabla de verdad.
A continuacin se detallan los nombres, smbolos, grficos, funciones
algebraicas, y tablas de verdad de ocho compuertas.
Compuerta ADN:
Cada compuerta tiene una o dos variables de entrada designadas por
A y B y una salida binaria designada por x. La compuerta AND
produce la unin lgica AND: esto es: la salida es 1 si la entrada A y la
entrada B estn ambas en el binario 1: de otra manera, la salida es 0.
Estas condiciones tambin son especificadas en la tabla de verdad
para la compuerta AND. La tabla muestra que la salida x es 1
solamente cuando ambas entradas A y B estn en 1 . El smbolo de
operacin algebraico de la funcin AND es el mismo que el smbolo de
la multiplicacin de la aritmtica ordinaria (*). Podemos utilizar o un
punto entre las variables o concatenar las variables sin ningn smbolo
de operacin entre ellas. Las compuertas AND pueden tener ms de
dos entradas y por definicin, la salida es 1 si cualquier entrada es 1.
Compuerta OR:
La compuerta OR produce la funcin OR inclusiva, esto es, la salida
es 1 si la entrada A o la entrada B o ambas entradas son 1; de otra
manera, la salida es 0. El smbolo algebraico de la funcin OR (+),
similar a la operacin de aritmtica de suma. Las compuertas OR
pueden tener ms de dos entradas y por definicin la salida es 1 si
cualquier entrada es 1.
Compuerta NOT (nversor):
El circuito inversor invierte el sentido lgico de una seal binaria.
Produce el NOT,. o funcin complemento. El smbolo algebraico
utilizado para el complemento es una barra sobra el smbolo de la
variable binaria. Si la variable binaria posee un valor 0, la compuerta
NOT cambia su estado al valor 1 y viceversa. El crculo pequeo en la
salida de un smbolo grfico de un inversor designa un complemento
lgico. Es decir cambia los valores binarios 1 a 0 y viceversa.
Compuerta Separador:
Un smbolo tringulo por s mismo designa un circuito separador no
produce ninguna funcin lgica particular puesto que el valor binario
de la salida es el mismo de la entrada. Este circuito se utiliza
simplemente para amplificacin de la seal. Por ejemplo, un separador
que utiliza i volt para el binario 1 producir una salida de 3 volt cuando
la entrada es 3 volt. Sin embargo, la corriente suministrada en la
entrada es mucho ms pequea que la corriente producida en la
salida. De sta manera, un separador puede excitar muchas otras
compuertas que requieren una cantidad mayor de corriente que de
otra manera no se encontrara en la pequea cantidad de corriente
aplicada a la entrada del separador.
Compuerta NAND:
Es el complemento de la funcin ,7D, como se indica por el smbolo
grfico que consiste en un smbolo grfico ,7D seguido por un
pequeo crculo. La designacin 7,7D se deriva de la abreviacin
NOT - A7D/ Una designacin ms adecuada habra sido ,7D
invertido puesto que Es la funcin ,7D la que se ha invertido.
Compuerta NOR:
La compuerta 78R es el complemento de la compuerta OR y utiliza un
smbolo grfico 8R seguido de un crculo pequeo. Tanto las
compuertas 7,7D como la 78R pueden tener ms de dos entradas, y
la salida es siempre el complemento de las funciones ,7D u OR,
respectivamente.
Compuerta OR exclusivo (XOR):
La compuerta 8R exclusiva tiene un smbolo grfico similar a la
compuerta 8R excepto por una lnea adicional curva en el lado de la
entrada. La salida de esta compuerta es 1 si cada entrada es 1 pero
excluye la combinacin cuando las dos entradas son 1. La funcin OR
exclusivo tiene su propio smbolo grfico o puede expresarse en
trminos de operaciones complementarias ,7D, OR .
Compuerta NOR exclusivo (XOR):
El 78R exclusivo como se indica por el crculo pequeo en el smbolo
grfico. La salida de sta compuerta es 1 solamente si ambas
entradas son tienen el mismo valor binario. Nosotros nos referiremos a
la funcin 78R exclusivo como la funcin de equivalencia. Puesto que
las funciones OR exclusivo y funciones de equivalencia no son
siempre el complemento la una de la otra. Un nombre ms adecuado
para la operacin 8R exclusivo sera la de una funcin impar; esto es,
la salida es 1 si un nmero impar de entrada es 1. As en una funcin
OR (impar) exclusiva de tres entradas, la salida es 1 si solamente la
entrada es 1 o si todas las entradas son 1. La funcin de equivalencia
es una funcin par; esto es, su salida es 1 si un nmero par de
entradas es 0. Para un funcin de equivalencia de tres entradas, la
salida es 1 si ninguna de las entradas son 0 ( todas las entradas son
1 ) o si dos de las entradas son 0 ( una entrada es 1 Una investigacin
cuidadosa revelar que el 8R exclusivo y las funciones de
equivalencia son el complemento la una de la otra cuando las
compuertas tienen un nmero par de entradas, pero las dos funciones
son iguales cuando el nmero de entradas es impar. Estas dos
compuertas estn comnmente disponibles con dos entradas y
solamente en forma rara se encuentran con tres o ms entradas.
Retornemos el teorema De Morgan:
El teorema De Morgan es muy importante al tratar compuertas NOR y
NAND. Expresa que una compuerta NOR que realiza la funcin (x + y)'
es equivalente a la expresin funcin xy' . Similarmente, una funcin
NAND puede ser expresada bien sea por (xy)' o por x' + y' por esta
razn, las compuertas NOR y NAND tienen dos smbolos grficos
distintos como se muestra en la figura:
En vez de representar una compuerta NOR por el smbolo grfico OR
seguido por un crculo, nosotros podemos representarla por un
smbolo grfico AND precedido por crculos en toda la entrada. El
inversor AND para la compuerta NOR proviene M teorema De Morgan
y de la convencin de que los crculos pequeos denotan
complementacin. Similarmente la compuerta NAND tambin posee
dos smbolos grficos.
Para ver cmo se utiliza la manipulacin del lgebra Booleana para
simplificar circuitos digitales considere el diagrama lgico de la
siguiente figura. La salida de la primera compuerta NAND es, por el
teorema De Morgan, (AB)' = A' + B' . La salida del circuito es la
operacin NAND de este trmino y B' .
9 L K P( AH J B Q BH R H
Utilizando el teorema De Morgan dos veces, obtenemos:
? L K (AH J BH J B K ABH J B
Note que el teorema De Morgan ha sido aplicado tres veces ( para
demostrar su utilizacin ) pero podra ser aplicado solamente una vez
de la siguiente manera:
X = [(AB')*B']' = AB' + B
La expresin para x puede simplificarse por aplicacin de las
relaciones mencionadas anteriormente
X = AB'+ B
= B + AB'
= (B + A) (B + B')
= (BEA)* 1
= B + A
= A + B
El resultado final produce una funcin OR y puede ser implementado
con una sola compuerta OR como se muestra en la figura parte (b).
Uno Puede demostrar que dos circuitos producen relaciones binarias
idnticas Entrada - Salida simplemente obteniendo la tabla de verdad
para cada uno de ellos.
Configuracin interna de las compuertas lgicas ms comunes:
NAND 7400
NOR 7402
NVERSOR
AND 7408
OR 7432
XOR 7486
?.A ESTRUCTURA Y ESPECIFICACIONES DE LOS
CIRCUITOS INTEGRADOS
Como se fabrican los Circuitos ntegrados.
Los Circuitos ntegrados digitales disponibles se fabrican a partir de
pastillas de silicio. El procesamiento del silicio para obtener C o chips
es relativamente complicado. El silicio utilizado para la fabricacin de
chips es de una pureza de orden del 99.9999999%. Una vez
sintetizado, el silicio se funde en una atmsfera inerte y se cristaliza en
forma de barras cilndricas de hasta 10cm de dimetro y 1 m de largo.
Cada barra se corta en pastillas de 0.25 a 0.50 mm de espesor y las
superficies de estas ultimas se pulen hasta quedar brillantes.
Dependiendo de su tamao, se obtienen varios cientos de circuitos
idnticos (chips) sobre ambas superficies mediante un proceso
llamado planar, el mismo utilizado para producir transistores en
masa...
Para fabricar un chip, las pastillas de silicio se procesan primero para
hacer transistores. Una pastilla de silicio por si misma es aislante y no
conduce corriente. Los transistores se crean agregando impurezas
como fsforo o arsnico a determinadas regiones de la pastilla. Las
conexiones se realizan a travs de lneas metlicas.
Cada rasgo de forma sobre la pastilla rociando en las regiones
seleccionadas un qumico protector sensible a la luz llamado
fotorresistencia, el cual forma una pelcula muy delgada sobre la
superficie de la pastilla. La pastilla es entonces bombardeada con luz,
mediante un proyector deslizante muy preciso llamado alineador
ptico.
El alineador posee un dispositivo muy pequeo llamado mascara, que
evita que la luz incida sobre puntos especficos de la pastilla, cuando
la luz alcanza un rea determinada de la pastilla elimina el
fotorresistencia presente en esa zona. A este proceso se le denomina
fotolitografa.
Mediante un proceso de revelado, el qumico se deposita en las
regiones descubiertas por la luz e ignora las encubiertas por la
mascara. Estas ltimas zonas aun permanecen recubiertas de "
fotorresistencia".
La precisin del alineador ptico determina que tan fino puede hacerse
un rasto. A comienzos de los 70s, era difcil hacer transistores de
menos de 10 micras de tamao. Ahora, los transistores alcanzan
tamaos inferiores a una velocidad de respuesta de los dispositivos.
A continuacin, la pastilla se calienta a altas temperaturas; esto origina
que el silicio no procesado de la superficie se convierta en oxido de
silicio (SiO2). El SiO2 se esparce sobre la superficie de la pastilla y
forma sobre la misma una delgada pelcula aislante de unas pocas
micras de espesor.
De este modo se obtiene el primer nivel de metalizacin de chips. Para
obtener una nueva capa de metalizacin, el SiO2 se trata nuevamente
con "fotorresistencia" y se expone al alineador ptico, repitindose el
mismo procedimiento seguido con el silicio del primer nivel.
Las diferentes capas van creciendo una sobre otra formando una
estructura parecida a un sndwich, con el SiO2 como el pan y el metal
o el silicio dopado como la salchicha, la mayora de Circuitos
ntegrados no se hacen con mas de tres capas de metalizacin.
Clasificacin de los Circuitos ntegrados de acuerdo a su estructura.
La clasificacin de los Circuitos ntegrados de acuerdo a su estructura
puede ser de acuerdo a la cantidad de compuertas utilizadas para
implementar la funcin propia del chip (llamado Escalas de
ntegracin) como sabemos, las compuertas son los bloques
constructivos bsicos de todos los circuitos digitales.
Las escalas de ntegracin son 4: SS, MS, LS, VLS; a continuacin
veremos cada una de ellas.
SS.- Significa Small Scale ntegration (integracin en pequea escala)
y comprende los chips que contienen menos de 13 compuertas.
Ejemplos: compuertas y flip flops. Los Circuitos ntegrados SS se
fabrican empleando tecnologas ttl, cmos y ecl. Los primeros Circuitos
ntegrados eran SS .
MS.- Significan Mdium Scale ntegration ( integracin en mediana
escala), y comprende los chips que contienen de 13 a 100 compuertas
. ejemplos: codificadores, registros, contadores , multiplexores, de
codificadores y de multiplexores. los Circuitos ntegrados MS se
fabrican empleando tecnologas ttl, cmos, y ecl.
LS.- significa Large-Scale ntegration ( integracin en alta escala) y
comprende los chips que contienen de 100 a 1000 compuertas.
ejemplos: memorias, unidades aritmticas y lgicas (alu's),
microprocesadores de 8 y 16 bits . los Circuitos ntegrados LS se
fabrican principalmente empleando tecnologas.
VLS.- Significa Very Large Scale ntegration ( integracin en muy alta
escala) y comprende los chips que contienen ms de 1000
compuertas ejemplos: micro-procesadores de 32 bits, micro-
controladores, sistemas de adquisicin de datos. los Circuitos
ntegrados VSL se fabrican tambin empleando tecnologas ttl, cmos
y pmos.
Clasificacin de los circuitos ntegrados de acuerdo a su funcin.
Los Circuitos ntegrados se clasifican en C analgicos, digitales, de
interfase y de consumo. A continuacin veremos cada uno de estos.
Circuitos ntegrados Analgicos.
Los Circuitos ntegrados analgicos se fabrican usado gran variedad
de tecnologas de semiconductores, como bipolar, efecto de campo,
xidos metlicos y combinaciones de estas tres. En la mayora de los
casos el usuario no esta interesado en este aspecto de los Circuitos
ntegrados, ya que nicamente puede basar su trabajo en las
especificaciones del fabricante. La tecnologa empleada en la
fabricacin de los Circuitos ntegrados digitales es importante para el
usuario, debido a que estos se emplean en "familias lgicas, con
caractersticas elctricas comunes que garantizan su compatibilidad.
Los Circuitos ntegrados analgicos se seleccionan normalmente
siguiendo criterios individuales, y solo es importante su compatibilidad
con los requisitos de alimentacin. ncluso en este aspecto, la mayora
de los Circuitos ntegrados analgicos estn disponibles con amplios
mrgenes de alimentacin, por lo que su empleo no suele estar
condicionado por su compatibilidad.
C-,-%+(,0)+&%-) I*1!,+-"+()
TTL
La familia TTL usa transistores del tipo bipolar por lo que est dentro
de las familias lgicas bipolares.
Las familias TTL estndar.-
Texas nstruments (1964) introdujo la primera lnea estndar de
productos circuitales TTL. La serie 5400/7400 ha sido una de las
familias lgicas de Circuitos ntegrados ms usadas.
La diferencia entre las versiones 5400 y 7400 es que la primera es de
uso militar, operable sobre rangos mayores de temperatura (de 55 a
+125C) y suministro de alimentacin (cuya variacin en el suministro
de voltaje va de 4,5 a 5,5 V). La serie 7400 opera sobre el rango de
temperatura 0 70C y con una tensin de alimentacin de 4,75 a
5,75 V. Ambas tienen un fan-out tpico de 10, por lo que pueden
manejar otras 10 entradas.
TTL de baja potencia, serie 74L00:
Tienen menor consumo de energa, al costo de mayores retardos en
propagacin, esta serie es ideal para aplicaciones en las cuales la
disipacin de potencia es ms crtica que la velocidad. Circuitos de
baja frecuencia operados por batera tales como calculadoras son
apropiados para la serie TTL.
TTL de alta velocidad, serie 74H00:
Poseen una velocidad de conmutacin mucho ms rpida con un
retardo promedio de propagacin de 6ns. Pero la velocidad
aumentada se logra a expensas de una disipacin mayor de potencia.
TTL Schotty, serie 74S00:
Tiene la mayor velocidad disponible en la lnea TTL.
Otras propiedades de los TTL son:
-En cualquier Circuito ntegrado TTL, todas las entradas son 1 a
menos que estn conectadas con alguna seal lgica.
-No todas las entradas en un Circuito ntegrado TTL se usan en una
aplicacin particular.
-Se presentan situaciones en que una entrada TTL debe mantenerse
normalmente BAJA y luego hecha pasar a ALTA por la actuacin de
un swich mecnico.
-Las seales de entrada que manejan circuitos TTL deben tener
transiciones relativamente rpidas para una operacin confiable. Si los
tiempos de subida o de cada son mayores que 1 s, hay posibilidad
de ocurrencia de oscilaciones en la salida.
CMOS
Acrnimo de Complementary Metal Oxide Semiconductor
(Semiconductor Complementario de xido Metlico).
Utilizados por lo general para fabricar memoria RAM y aplicaciones de
conmutacin, estos dispositivos se caracterizan por una alta velocidad
de acceso y un bajo consumo de electricidad. Pueden resultar
daados fcilmente por la electricidad esttica.
La lgica CMOS ha emprendido un crecimiento constante en el rea
MS, mayormente a expensas de TTL, con la cual es de directa
competencia.
El proceso de fabricacin del CMOS es ms simple que TTL y tiene
una densidad de empaque mayor, permitiendo por consiguiente ms
circuitera en un rea dada y reduciendo el costo por funcin.
CMOS usa slo una fraccin de la potencia que se necesita para la
serie TTL de baja potencia (74L00) y es as apropiada idealmente para
aplicaciones que usan potencia de batera o potencia con batera de
respaldo. La velocidad de operacin de CMOS no es comparable an
con las series TTL ms rpidas, pero se espera mejorar en este
respecto.
La serie 4000A es la lnea ms usada de Circuitos ntegrados digitales
CMOS. Contiene algunas funciones disponibles en la serie TTL 7400 y
est en expansin constante. Algunas caractersticas ms importantes
de esta familia lgica son:
-La disipacin de potencia de estado esttico de los circuitos lgicos
CMOS es muy baja.
-Los niveles lgicos de voltaje CMOS son 0 V para 0 lgico y + V
DD
para 1 lgico. El suministro + V
DD
puede estar en el rango 3 V a 15 V
para la serie 4000A, por lo que la regulacin de la fuente no es una
consideracin seria para CMOS. Cuando se usa CMOS con TTL, el
voltaje de la fuente se hace 5 V, siendo los niveles de voltaje de las
dos familias los mismos.
-La velocidad de conmutacin de la familia CMOS 4000A vara con el
voltaje de la fuente.
-Todas las entradas CMOS deben estar conectadas a algn nivel de
voltaje, preferiblemente tierra o V
DD
. Entradas no usadas no pueden
dejarse flotado (desconectadas), porque estas entradas seran
susceptibles al ruido. Estas entradas no usadas pueden tambin ser
conectadas a una de las entradas usadas, siempre y cuando no se
exceda el fan-out de la fuente de seal. Esto es altamente improbable
debido al alto fan-out del CMOS.
Diferencias mas importantes:
Los voltajes de alimentacin son de 5V para los circuitos TTL y
de 3 V a 15 V para los circuitos CMOS.
En la fabricacin de los circuitos integrados se usan transistores
bipolares par el TTL y transistores MOSFET para La tecnologa
CMOS.
El circuito integrado CMOS es de menor consumo de energa
pero de menor velocidad que los TTL.
FUNCIONES Y TABLAS DE VERDAD
Una funcin de un lgebra de Boole es una variable binaria cuyo valor
es igual al de una expresin algebraica en la que se relacionan entre
s las variables binarias por medio de las operaciones bsicas,
producto lgico, suma lgica e inversin.
Se representa una funcin lgica por la expresin f = f (a, b, c,...)
El valor lgico de f, depende del de las variables a, b, c,...
Se llama termino cannico de una funcin lgica a todo producto o
suma en la cual aparecen todas las variables en su forma directa o
inversa. Al primero de ellos se le llama producto cannico y al segundo
suma cannica. Por ejemplo sea una funcin de tres variables f (a, b,
c). El trmino abc es un producto cannico mientras que el trmino a +
b + c es una suma cannica.
El nmero mximo de productos cannicos o sumas cannicas viene
dado por las variaciones con repeticin de dos elementos tomados de
n en n. El nmero de productos o sumas cannicas de n variables es
por lo tanto 2n.
Para mayor facilidad de representacin, cada termino cannico se
expresa mediante un nmero decimal equivalente al binario obtenido
al sustituir las variables ordenadas con un criterio determinado por un
1 o un 0 segn aparezcan en su forma directa o complementada
respectivamente.
Los circuitos digitales operan en el sistema numrico binario, que
implica que todas las variables de circuito deben ser 1 o 0. El lgebra
utilizada para resolver problemas y procesar la informacin en los
sistemas digitales se denomina lgebra de Boole, basada sobre la
lgica ms que sobre el clculo de valores numricos reales. El
lgebra booleana considera que las proposiciones lgicas son
verdaderas o falsas, segn el tipo de operacin que describen y si las
variables son verdaderas o falsas. Verdadero corresponde al valor
digital 1, mientras que falso corresponde a 0. Las tablas de verdad,
llamadas tablas booleanas, presentan todas las posibles
combinaciones de entrada frente a las salidas resultantes.
Los teoremas del lgebra de Boole son demostrables a diferencia de
los del lgebra convencional, por el mtodo de induccin completa.
Para poder realizar esto se emplean las llamadas tablas de verdad
que no son otra cosa que representaciones grficas de todos los
casos que pueden darse en una relacin y de sus respectivos
resultados.
La tabla de verdad de una funcin lgica es una forma de
representacin de la misma en la que se indica el valor 1 o 0 que toma
la funcin para cada una de las combinaciones posibles de las
variables de las cuales depende. En la siguiente tabla se representa la
tabla de verdad de una funcin de tres variables. La deduccin de la
forma cannica de la funcin por medio de la tabla de verdad resulta
sencilla.
Si, para una determinada combinacin de las entradas, la fusin toma
el valor lgico 1, el producto cannico de todos los posibles 2n, que
vale 1 para dicha combinacin, ha de formar parte de la funcin. La
deduccin del producto cannico correspondiente es inmediata
asignando al estado 0 la variable inversa y al estado 1 la variable
directa.





No existe actualmente un criterio unico de minimizacin de la
expresin de una funcin lgica y adems se prev una gran evolucin
de este concepto debido a la cada da mayor disponibilidad de
c b a f
0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 1
1 0 0 1
1 0 1 0
1 1 0 1
1 1 1 1
sistemas funcionales complejos en circuitos integrados que permite
realizar cualquier funcin lgica.
CIRCUITOS BSICOS
Los siguientes son pequeos circuitos digitales integrados cuyo
funcionamiento se adapta a las operaciones y postulados del lgebra
de Boole . Los operadores o puertas lgicas mas importantes
aparecen en la siguiente tabla , junto a su nombre , smbolo mas
extendido y ecuacin.
Simbolo Funcin Ecuacin
Lgica
Tipos
comerciales
Sumadora O
(Or)
S = a+b Se fabrican en
dos entradas
Multiplicadora Y
(AND)
S = a.b Se fabrican en
dos, tres o
cuatro entradas
nversora No
(NOT)
S = Se fabrican en
una entrada
Sumadora
Negadora No O
(NOR)
S = a+b Se fabrican en
dos, tres,
cuatro o cinco
entradas
Multiplicadora
Negadora No
Y(NAND)
S = ab Se fabrican en
dos, tres o
cuatro, ocho,
doce o trece
entradas

Ahora pasaremos a especificar cada uno de los circuitos bsicos que
hemos resumido anteriormente en la tabla.
CIRCUITO OR
Es un dispositivo digital que entrega una salida baja cuando todas sus
entradas son bajas, y una salida alta cuando existe por lo menos un
alto en cualquiera de sus entradas o en las dos al mismo tiempo.
El signo (+) denota la funcin propia de una compuerta OR y no se
puede omitir, tampoco debe confundirse con el signo ms de la suma
aritmtica, a esta operacin se le denomina tambin suma lgica.
Es un circuito que tiene dos o ms entradas y su salida es igual a la
suma OR de las entradas. La figura siguiente muestra el smbolo
correspondiente a una compuerta OR de dos entradas. Las entradas A
y B son niveles de voltaje lgico y la salida S es un nivel de voltaje
lgico cuyo valor es el resultado de la operacin OR de A y B; esto es
S = A+B, que debe leerse como "S es igual a o B"o "A o B es igual a
S" y no como "S es igual a A ms B" En otras palabras, la compuerta
OR opera en tal forma que su salida es alta (nivel lgico 1)si la entrada
A, B o ambas estn en el nivel lgico 1.La salida de la compuerta OR
ser baja (nivel lgico 0)si todas sus entradas estn en el nivel lgico
0.
Esta misma idea puede ampliarse a ms de dos entradas Por ejemplo
si tuviramos tres entradas la tabla lgica que se muestra a
continuacin nos demuestra una vez ms que la salida 1 se dar en el
caso de que una o ms entradas sean 1.Este es el principio general es
el mismo que rige para compuertas OR con cualquier nmero de
entradas .
Mediante el uso del lenguaje del lgebra booleana , la salida x puede
expresarse como X = A + B + C, donde una vez debe hacerse hincapi
en que el signo + representa la operacin OR. Por consiguiente la
salida de cualquier compuerta OR se puede expresar como la suma
OR de todas sus entradas.








CIRCUITO AND
A B C X=A+B+C
0 0 0 0
0 0 1 1
0 1 0 1
0 1 1 1
1 0 0 1
1 0 1 1
1 1 0 1
1 1 1 1
Una compuerta AND de dos entradas es un dispositivo lgico que
entrega una salida alta cuando todas sus entradas son altas y una
salida baja cuando hay un alto en cualquiera de sus entradas .
El signo (.) denota la funcin propia de una compuerta AND y se
puede omitir, de modo que da lo mismo si se coloca o no. A la funcin
AND se le llama tambin producto lgico.
Es un circuito con dos o mas entradas, la salida de estas es igual al
producto AND de las entradas lgicas es decir S = A.B Es un circuito
que opera en tal forma que su salida es alta solamente cuando todas
sus entradas son altas . En todos los otros casos la salida de la
compuerta AND es baja es decir 0,. Al igual que en el caso del circuito
OR tambin se cumple que esta operacin tambin se cumpla para
ms de dos entradas . En la figura que se muestra a continuacin se
encuentra una tabla con tres entradas. Cabe resaltar que la salida de
la compuerta es 1 solamente en el caso que A = B = C = 1. La
expresin para la salida sera la siguiente X =ABC.
Se debe tener cuidado a la hora de observar los smbolos para operar
dado que como son un poco parecidos podra haber una equivocacin
y obviamente esto sera realmente fatal si lo que se busca es reducir o
resolver el circuito.







CIRCUITO NOT
Esta operacin se puede efectuar con una sola variable de entrada. En
el caso de que la variable fuera B si la sometemos a la operacin NOT
el resultado sera X = .Existen varias formas de expresar esta
operacin una de ellas es: X es igual a la inversa de A o X es igual a
A B C X=ABC
0 0 0 0
0 0 1 0
0 1 0 0
0 1 1 0
1 0 0 0
1 0 1 0
1 1 0 0
1 1 1 1
no A. Lo que indica la negacin vendra a ser el simbolito que se
encuentra encima de la variable de entrada.
A este circuito tambin se le conoce con el nombre de inversor o
complementador puesto que tambin pudimos haber dicho es el
complemento de A.
En este circuito solo observamos dos casos cuando 1 se ha negado o
complementado se convierte en 0 y cuando 0 se ha negado o
complementado se convierte en 1. A continuacin se muestra esto
simblicamente . Si lo quisiramos representar en una tabla
de verdad sera de la forma siguiente:



CIRCUITOS NAND Y NOR
Una vez que se ha obtenida la expresin mnima de una funcin es
necesario realizarla en la practica mediante elementos fsicos. El
diseo de puertas lgicas con transistores en un principio y la posterior
aparicin de los circuitos ha hecho que las puertas NAND y NOR sean
las mas utilizadas en la realizacin de las funciones lgicas Se ha
demostrado que las funciones NAND y NOR pueden realizar
cualquiera de las tres funciones elementales suma, producto e
inversin.
A X=
0 1
1 0
Par realiza con puertas NAND ( NOR) la expresin mnima de la
funcin obtenida por el mtodo tabular o el mtodo numrico, se
aplicaran las siguientes reglas cuya validez se deduce de los
postulados y teoremas existentes.
a. Se aplican a la expresin global de la funcin dos inversores con
lo cual la misma queda invariable.
b. Si la operacin ms externa es una suma (producto)lgica, se
opera una de las inversiones aplicando el Teorema de Morgan y
si es producto (suma) no se operan ninguna de las dos.
c. Si en el interior de la expresin existen sumas (producto) lgicas,
se aplican a cada una de ellas dos inversiones y se opera una de
ellas par convertirla en el inverso del producto (suma).
d. Se contina realizando esta operacin hasta que todas las
sumas (producto)hayan llegado convertidas en inversos de
productos (sumas).
Las reglas par realizar cualquier expresin con puertas NAND no son
iguales a las de la puerta NOR sustituyendo la palabra suma por
producto, lo cual se ha indicado incluyendo la palabra suma entre
parntesis en las reglas que acabamos de indicar.
Componentes Digitales
Muchas veces, en la etapa de diseo de un circuito digital, se requiere
utilizar una compuerta. Utilizar un circuito integrado y slo disponer de
una compuerta de ste es muy ineficaz. Para evitar este problema
realizaremos algunos dispositivos digitales con componentes discretos
y/o con otros dispositivos, con el fin de optimizar algunos circuitos.
La primera compuerta lgica que fabricaremos con componentes
discretos ser la NOT. Algunos esquemas posibles son los siguientes:
NOT:
El primer circuito es el ms simple y el ms usado. El transistor es ,
mientras que R2 adopta un valor decualquier transistor pequeo. R1
es de 10k . VCC es la tensin de alimentacin del circuito. Esta
compuerta es til en1k sistemas tanto TTL como CMOS.
El segundo circuito, que es del tipo CMOS, es un poco ms
complicado, pero su respuesta es casi igual al de una compuerta del
tipo CD4XXX. Con lo cual debe ser utilizado en circuitos con grandes
exigencias a nivel de lgica.
AND:
La compuerta AND se realiza de la siguiente manera:

Nuevamente, el primer circuito es el ms utilizado y el ms verstil.
Los . Sin embargo, eldiodos son cualquier diodo pequeo y la
resistencia es de 10k segundo ofrece caractersticas mucho ms
similares a las de un circuito integrado. Para agregar ms entradas a
la compuerta, basta slo colocar tantos diodos en paralelo con D1 y
D2 como entradas adicionales se requiera. Aqu se observa una nueva
ventaja de la "fabricacin" de compuertas: es perfectamente factible
realizar una AND una OR con 30 40 entradas, algo muy difcil de
conseguir en un circuito integrado convencional.
OR:
De forma similar a las AND las compuertas OR se crean de la
siguiente manera:

De nuevo aparece el compromiso entre la versatilidad y facilidad o la
similitud de respuesta entre ambos diseos.
Las compuertas NAND, NOR, X-OR, X-NOR surgen de la combinacin
de los tres diseos anteriores.
Si bien, como se explic arriba, realizar una compuerta con
componentes discretos es til en algunas circunstancias, en otras es
necesario crear un componente digital a partir de otros. Por ejemplo: si
se dispone de un circuito integrado con 4 compuertas NAND, del que
se utilizan 3 y se requiere una compuerta "NOT", no hace falta colocar
otro C con una compuerta NOT es posible utilizar la compuerta NAND
como una NOT.
Se pueden fabricar unos componentes con otros, por ejemplo:
S COMPUERTA NOT CON NAND:
COMPUERTA NOT CON NOR:

S COMPUERTA AND CON NOR:
S COMPUERTA NAND CON NOR:
S COMPUERTA OR CON NAND:
S COMPUERTA NOR CON NAND:
?.5 APLICACIONES
T-,G(+- UART 1-,- PC T (3(/-#- &"+(6,-%&'" (" 3!) #&)(<!) %!" C!*1-%+
F3-);: VPU1A@@0
El VPU16550 es la solucin de Elan para promotores que deseen crear tarjetas
PC o Compact Flash que posean una conexin de datos en serie.
El VPU, que representa Tarjeta UART Verstil para PC, implementa toda la
decodificacin y lgica de protocolos requeridos por la interfaz con el "host,
integrados con una UART (Universal Asynchronous Receiver Transmitter,
Transceptor Asncrono Universal) 16550 estndar en la ndustria . Esto permite la
conexin directa con cualquier dispositivo asncrono hasta 1 Mb/s.
El chip VPU , acoplado con una Estructura de nformacin de Tarjetas adecuada,
har uso de los controladores por defecto a partir de todos los Sistemas
Operativos Windows; esto es: Windows95, 98, 98SE, ME, 2000, XP, CE,
PocketPC y MacOS. El VPU funcionar asimismo con controladores de DOS,
procedentes de compaas como SystemSoft y Award.
El VPU implementa tambin algunas funcionalidades novedosas, ms all del
funcionamiento normal del UART, para permitir que se aadan prestaciones
propietarias al diseo de la tarjeta, pero sin necesidad de escribir controladores de
los dispositivos en el ncleo.
Para apoyar a los distribuidores en el uso y produccin de su tarjeta, existe un
conjunto de diseo de referencia que incluye: chips, herramientas de software,
esquemas y datos de muestra sobre CS.
El VPU16551 se adecua, de modo ideal, a la creacin de las tarjetas PC que
precisen de una funcionalidad "COM" de tipo puerto estndar. Por ejemplo:
1. Radio Bluetooth.
2. Tarjetas para interfaz RS232, 422 y 485.
3. nterfaz para escner.
4. Transmisores / etiquetas Smart.
5. Mdulos RF con E/S en serie.
6. Mdulos de radio GSM y GPRS.
7. Mdulos de radio CDMA.
8. Mdulos GPS.
?.2 C-,-%+(,0)+&%-) +=%"&%-)
16C550 compatible UART con FFO TX y RX de 16 bytes, para mayor
velocidad y fiabilidad de las comunicaciones.
Soporta las tasas binarias estndar en la ndustria y el modo mejorado x8,
para llegar hasta 1 Mb/s (y ms all)
Paquete VQFP ultra-delgado y de 100 bolitas, 16mm x 16mm x 1,1 mm tp.
Soporta interfaces PCMCA . Compact Flash.
Muy fcil de usar. No se precisan conocimientos especiales.
Utiliza EEPROM en paralelo re-programable en circuito (como AT28C16). El
VPU proporciona seales de control a la EEPROM para permitir que el
software activado "desbloquee la secuencia antes de la escritura (con el fin
de protegerla contra una corrupcin accidental por parte del "host).
Puerto E/S digital de amplio uso general de 8 bits (precisa controlador Elan)
Acceso mediante "puerto paralelo" a los bferes de TX y RX (en el lado de la
tarjeta) para aquellos diseos que no pueden usar el modo serie.
Soporte del modo FFO externo hiperacelerado...completamente
independiente del puerto serie, le permite escoger la profundidad de FFO y
obtener caudales %!"+&"$!) utilizables de ms de 5 MBits/seg. en el "host
PDA (como iPaq). Esta caracterstica requiere el controlador Elan.
Resistencias de "pull-up y "pull-down incorporadas (rev Ch hacia adelante)
Controlador del LED de actividad del registro UART.
Se proporciona una seal para auto-triestado RS485, llamada "TXRDY".
Soporte de oscilador a cristal o unidad de reloj TTL externo.
Soporte multifuncin...hasta 8 VPU en una tarjeta, o comparticin con otras
funciones lgicas.
Soporte por los controladores estndar de DOS, Windows 95, 98, 98SE, Me,
2000, XP, CE/ Pocket PC y MacOS.
Tambin est disponible el controlador mejorado para PocketPC, para dar
soporte a las prestaciones extendidas del VPU (llegar pronto otros soportes
sobre SO).
Bajo consumo de energa: tpicamente, 5 mA a 5 V o 3,3 V. Soporte del modo
de adormecimiento con consumo reducido, a travs e la detencin del
oscilador
Seal de desconexin controlada por software disponible a nivel de conector,
para usarse en su circuito.
Los circuitos integrados son unidades funcionales completas. Esto no quiere decir
que por si mismos son capaces de cumplir la funcin para los que estn
diseados. Para ello sern necesarios unos componentes pasivos y activos para
completar dicha funcionalidad. Si los circuitos integrados no existieran las placas
de circuito impreso para los aparatos seran muy grandes y adems estaran
llenos de componentes. Este tipo de dispositivos, por su diseo, son capaces de
albergar en su interior y de forma casi microscpica gran cantidad de
componentes, sobre todo, semiconductores.
No todos los componentes electrnicos se pueden integrar con la misma facilidad:
Como antes se indic los semiconductores, bsicamente, los transistores y
diodos, presentan menos problemas y menor costo en la integracin.
gualmente tanto resistencias como condensadores se pueden integrar pero
aumenta el coste.
Por ltimo las bobinas no se integran por la dificultad fsica que entraan, as
mismo ocurre con rels, cristales de cuarzo, displays, transformadores y
componentes tanto pasivos como activos que disipan una potencia considerable
respecto de la que podran soportar una vez integrados.
El proceso de fabricacin de un circuito integrado es como se observa en la figura
de un modo esquemtico:
a) Diseo del circuito que se quiere integrar.
b) Mscara integrada con los semiconductores necesarios segn el diseo del
circuito.
c) Oblea de silicio donde se fabrican en serie los chips.
d) Corte del microchip.
e) Ensamblado del microchip en su encapsulado y a los pines
correspondientes.
f) Terminacin del encapsulado.
ESCALAS DE INTEGRACION
Las escalas de integracin hacen referencia a la complejidad de los circuitos
integrados, dichas escalas estn normalizadas por los fabricantes.

E)%-3- #( &"+(6,-%&'"
NU
%!*1!"("+()
A13&%-%&!"() +01&%-)
SSI: 1(V$(<- ()%-3- #(
&"+(6,-%&'"
<100 Puertas lgica y biestables
MSI: *(#&- ()%-3- #(
&"+(6,-%&'"
+100 y -1000
Codificadores, sumadores,
registros...
LSI: 6,-" ()%-3- #( &"+(6,-%&'"
+1000 y
-100000
Circuitos aritmticos complejos,
memorias...
VLSI: M$. -3+- ()%-3- #(
&"+(6,-%&'"
+100000 y
-10
6
Microprocesadores, memorias,
microcontroladores...
ULSI: U3+,- -3+- ()%-3- #(
&"+(6,-%&'"
+ 10
6
Procesadores digitales y
microprocesadores avanzados
ENCAPSULADOS

ENCAPSULADO DIP ! DIL.- Este es el encapsulado ms empleado en
montaje por taladro pasante en placa. Este puede ser cermico (marrn) o de
Encapsulado DP o DL
(Dual n Line)
Encapsulado flat-pack
Encapsulado SOC (Small
Outline ntegrated Circuit)
Encapsulado PLCC
(Plastic Lead Chip Carrier)
Encapsulado LCCC
( Leaded Ceramic Chip
Carrier)
Encapsulado SP
plstico (negro). Un dato importante en todos los componentes es la distancia
entre patillas que poseen, en los circuitos integrados es de vital importancia este
dato, as en este tipo el estndar se establece en 0,1 pulgadas (2,54mm).
Se suelen fabricar a partir de 4, 6, 8, 14, 16, 22, 24, 28, 32, 40, 48, 64 patillas,
estos son los que ms se utilizan.
Otra norma que tambin suele cumplirse se refiere a la identificacin de la
numeracin de las patillas o pines: la patilla nmero uno se encuentra en un
extremo sealada por un punto o una muesca en el encapsulado y se continua la
numeracin en sentido antihorario (sentido contrario a las agujas del reloj),
mirando al integrada desde arriba. Por regla general, en todos los encapsulados
aparece la denominacin del integrado, as como, los cdigos particulares de cada
fabricante.
ENCAPSULADO FLAT>PACI.- se disean para ser soldados en mquinas
automticas o semiautomticas, ya que por la disposicin de sus patillas se
pueden soldar por puntos. El material con el que se fabrican es cermico. La
numeracin de sus patillas es exactamente igual al anterior. Sus terminales tienen
forma de ala de gaviota. La distancia entre patillas es de 1,27mm, la mitad que en
los DP.
ENCAPSULADO SOIC.> Circuito integrado de pequeo contorno. Son los ms
populares en los circuitos de lgica combinacional, tanto en TTL como en CMOS.
Tambin la terminacin de las patillas es en forma de ala de gaviota. Se sueldan
directamente sobre las pistas de la placa de circuito impreso, en un rea
denominada footprint. La distancia entre patillas es de 1,27mm (0,05"). La
numeracin de los pines es exactamente igual a los casos anteriores.
ENCAPSULADO PLCC.> Se emplea en tcnicas de montaje superficial pero,
generalmente, montados en zcalos, esto es debido a que por la forma en J que
tienen sus terminales la soldadura es difcil de verificar con garantas. Esto permite
su uso en tcnicas de montaje convencional. Se fabrican en material plstico. En
este caso la numeracin de sus patillas vara respecto de los anteriores. El punto
de inicio se encuentra en uno de los lados del encapsulado, que coincide con el
lado de la cpsula que acaba en chafln, y siguiendo en sentido antihorario. La
distancia entre terminales es de 1,27mm.
ENCAPSULADO LCC.> Al igual que el anterior se monta en zcalo y puede
utilizarse tanto en montaje superficial como en montaje de taladro pasante. Se
fabrica en material cermico y la distancia entre terminales es cermico.
Los encapsulados que aparecen en este tema son los ms importantes y los ms
utilizados. Como es lgico esta es una pequea seleccin de la infinidad de tipos
de cpsulas que existen. Si pulsas en el siguiente botn vers una clasificacin de
circuitos integrados bajo dos criterios que se refieren a la forma fsica y disposicin
de patillaje, as como, al montaje en placa de circuito impreso (Montaje
convencional y SMD).

M!"+-G( %!"/("%&!"-3 M!"+-G( S$1(,2&%&-3
Regresar al ndice
UNIDAD V.> PRINCIPIOS DE ELECTRNICA DE POTENCIA
@.1.> E3 SCR
@.2.> E3 TRIAC
@.9.> APLICACIONES DEL SCR Y DEL TRIAC
@.9.1.> P,!+(%%&'" %!"+,- (3(/-%&'" #( /!3+-G(
@.9.2.> C!"+,!3() )&*13() #( /(3!%&#-#
@.9.9.> C!"+,!3 #( &3$*&"-%&'"
@.?.> EL UOT
@.?.1.> A13&%-%&!"()
@.@.> CONTROL DE POTENCIA CON TIRISTORES
@.A.> DISPOSITIVOS OPTOELECTRONICOS Y SUS APLICACIONES
@.A.1.> L(#
@.A.2.> F!+!#&!#!
@.A.9.> O1+!-%!13-#!,()
@.A.?.> F!+!+,-")&)+!,
@.1 TIRISTORES (SCR
Dentro de la familia de dispositivos pnpn, el rectificador controlado de silicio
(SCR) es, sin duda, el de mayor inters hoy en da, y fue presentado por primera
vez en 1956 por los Bell Telephone Laboratories. Algunas de las reas ms
comunes de aplicacin de los SCR incluyen controles de relevador, circuitos de
retardo de tiempo, fuentes de alimentacin reguladas, interruptores estticos,
controles de motores, recortadores, inversores, cicloconversores, cargadores de
bateras, circuitos de proteccin, controles de calefaccin y controles de fase.
En aos recientes han sido diseados SCR para controlar potencias tan
altas de hasta 10 MW y con valores individuales tan altos como de 2000 A a 1800
V. Su rango de frecuencia de aplicacin tambin ha sido extendido a cerca de 50
kHz, lo que ha permitido algunas aplicaciones de alta frecuencia.
O1(,-%&'" B7)&%- #(3 R(%+&2&%-#!, C!"+,!3-#! #( S&3&%&!
Como su nombre lo indica, el SCR es un rectificador construido con material
de silicio con una tercera terminal para efecto de control. Se escogi el silicio
debido a sus capacidades de alta temperatura y potencia. La operacin bsica del
SCR es diferente de la del diodo semiconductor de dos capas fundamental, en que
una tercera terminal, llamada compuerta, determina cundo el rectificador
conmuta del estado de circuito abierto al de circuito cerrado. No es suficiente slo
la polarizacin directa del nodo al ctodo del dispositivo. En la regin de
conduccin la resistencia dinmica el SCR es tpicamente de 0.01 a 0.1 . La
resistencia inversa es tpicamente de 100 k o ms.
El smbolo grfico para el SCR se muestra en la figura 4.27, y las
conexiones correspondientes a la estructura de semiconductor de cuatro capas en
la figura 4.26.
Figura 4.26. Construccin bsica del SCR.
Figura 4.27. Smbolo del SCR.
MENU
PRINCIPAL
C-,-%+(,0)+&%-) . V-3!,() N!*&"-3() #(3 SCR
En la figura 4.28 se proporcionan las caractersticas de un SCR para
diversos valores de corriente de compuerta. Las corrientes y voltajes ms usados
se indican en las caractersticas.
Figura 4.28. Caractersticas del SCR.

1. Voltaje de ruptura directo V(BR) F* es el voltaje por arriba del cual el SCR entra
a la regin de conduccin. El asterisco (*) es una letra que se agregar
dependiendo de la condicin de la terminal de compuesta de la manera siguiente:
O = circuito abierto de G a K
S = circuito cerrado de G a K
R = resistencia de G a K
V = Polarizacin fija (voltaje) de G a K
2. Corriente de sostenimiento (H) es el valor de corriente por abajo del cual el
SCR cambia del estado de conduccin a la regin de bloqueo directo bajo las
condiciones establecidas.
3. Regiones de bloqueo directo e inverso son las regiones que corresponden a la
condicin de circuito abierto para el rectificador controlado que bloquean el flujo de
carga (corriente) del nodo al ctodo.
MENU
PRINCIPAL
4. Voltaje de ruptura inverso es equivalente al voltaje Zener o a la regin de
avalancha del diodo semiconductor de dos capas fundamental.
A13&%-%&!"() #(3 SCR
Tiene variedad de aplicaciones entre ellas estn las siguientes:
Controles de relevador.
Circuitos de retardo de tiempo.
Fuentes de alimentacin reguladas.
nterruptores estticos.
Controles de motores.
Recortadores.
nversores.
Cargadores de bateras.
Circuitos de proteccin.
Controles de calefaccin.
Controles de fase.
En la figura 4.29a se muestra un interruptor esttico es serie de medida de
media onda. Si el interruptor est cerrado, como se presenta en la figura 4.29b, la
corriente de compuerta fluir durante la parte positiva de la seal de entrada,
encendiendo al SCR. La resistencia R1 limita la magnitud de la corriente de
compuerta.
Cuando el SCR se enciende, el voltaje nodo a ctodo (VF) caer al valor de
conduccin, dando como resultado una corriente de compuerta muy reducida y
muy poca prdida en el circuito de compuerta. Para la regin negativa de la seal
de entrada el SCR se apagar, debido a que el nodo es negativo respecto al
ctodo. Se incluye al diodo D1 para prevenir una inversin en la corriente de
compuerta.
Las formas de onda para la corriente y voltaje de carga resultantes se
muestran en la figura 4.29b. El resultado es una seal rectificada de media onda a
travs de la carga. Si se desea conduccin a menos de 180, el interruptor se
puede cerrar en cualquier desplazamiento de fase durante la parte positiva de la
seal de entrada. El interruptor puede ser electrnico, electromagntico,
dependiendo de la aplicacin.
a) b)
Figura 4.29. Interruptor esttico en serie de media onda.
MENU PRINCIPAL
En la igura 4.3!a se muestra un circuito capa" de esta#lecer un ngulo de conducci$n entre 9!% & 1'!%. El circuito es similar
al de la igura 4.29a( con e)cepci$n de la resistencia *aria#le & la eliminaci$n del interruptor. +a com#inaci$n de las resistencias , & ,1
limitar la corriente de compuerta durante la parte positi*a de la se-al de entrada. .i ,1 est en su *alor m)imo( la corriente de
compuerta nunca llegar a alcan"ar la magnitud de ence4ndido. /onorme ,1 disminu&e desde el m)imo( la corriente de compuerta se
incrementar a partir del mismo *olta0e de entrada.
De esta forma se puede establecer la corriente de compuerta requerida
para el encendido en cualquier punto entre 0 y 90, como se muestra en la figura
4.30b. Si R1es bajo, el SCR se disparar de inmediato y resultar la misma accin
que la obtenida del circuito de la figura 4.30b, el control no puede extenderse ms
all de un desplazamiento de fase de 90, debido a que la entrada est a su valor
mximo en este punto. Si falla para disparar a ste y a menores valores del voltaje
de entrada en la pendiente positiva de la entrada, se debe esperar la misma
respuesta para la parte de pendiente negativa de la forma de onda de la seal. A
esta operacin se le menciona normalmente en trminos tcnicos como control de
fase de media onda por resistencia variable. Es un mtodo efectivo para controlar
la corriente rms y, por tanto, la potencia se dirige hacia la carga.

a) b)
Figura 4.30. Control de fase de resistencia variable de media onda.
@.2 TRIAC
El triac es un dispositivo semiconductor de tres terminales que se usa para controlar el flujo de
corriente promedio a una carga, con la particularidad de que conduce en ambos sentidos y puede
ser bloqueado por inversin de la tensin o al disminuir la corriente por debajo del valor de
mantenimiento. El triac puede ser disparado independientemente de la polarizacin de puerta, es
decir, mediante una corriente de puerta positiva o negativa.
D()%,&1%&'" 6("(,-3
Cuando el triac conduce, hay una trayectoria de flujo de corriente de muy baja resistencia de una
terminal a la otra, dependiendo la direccin de flujo de la polaridad del voltaje externo aplicado.
Cuando el voltaje es mas positivo en MT2, la corriente fluye de MT2 a MT1 en caso contrario fluye
de MT1 a MT2. En ambos casos el triac se comporta como un interruptor cerrado. Cuando el triac
deja de conducir no puede fluir corriente entre las terminales principales sin importar la polaridad
del voltaje externo aplicado por tanto acta como un interruptor abierto.
Debe tenerse en cuenta que si se aplica una variacin de tensin importante al triac (dv/dt) an sin
conduccin previa, el triac puede entrar en conduccin directa.
C!")+,$%%&'" F7)&%-8 )0*F!3!8 #&-6,-*- (V$&/-3("+(


FG. 1 FG. 2
La estructura contiene seis capas como se indica en la FG. 1, aunque funciona siempre como un
tiristor de cuatro capas. En sentido MT2-MT1 conduce a travs de P1N1P2N2 y en sentido MT1-
MT2 a travs de P2N1P1N4. La capa N3 facilita el disparo con intensidad de puerta negativa. La
complicacin de su estructura lo hace mas delicado que un tiristor en cuanto a di/dt y dv/dt y
capacidad para soportar sobre intensidades. Se fabrican para intensidades de algunos amperios
hasta unos 200 A eficaces y desde 400 a 1000 V de tensin de pico repetitivo. Los triac son
fabricados para funcionar a frecuencias bajas, los fabricados para trabajar a frecuencias medias
son denominados alternstores En la FG. 2 se muestra el smbolo esquemtico e identificacin de
las terminales de un triac, la nomenclatura nodo 2 (A2) y nodo 1 (A1) pueden ser reemplazados
por Terminal Principal 2 (MT2) y Terminal Principal 1 (MT1) respectivamente.
El Triac acta como dos rectificadores controlados de silicio (SCR) en paralelo Fig. 3, este
dispositivo es equivalente a dos latchs.
FG. 3
C-,-%+(,0)+&%- +(")&'" T %!,,&("+(
FG. 4
La FG. 4 describe la caracterstica tensin corriente del Triac. Muestra la corriente a travs del
Triac como una funcin de la tensin entre los nodos MT2 y MT1.
El punto VBD ( tensin de ruptura) es el punto por el cual el dispositivo pasa de una resistencia alta
a una resistencia baja y la corriente, a travs del Triac, crece con un pequeo cambio en la tensin
entre los nodos.
El Triac permanece en estado ON hasta que la corriente disminuye por debajo de la corriente de
mantenimiento H. Esto se realiza por medio de la disminucin de la tensin de la fuente. Una vez
que el Triac entra en conduccin, la compuerta no controla mas la conduccin, por esta razn se
acostumbra dar un pulso de corriente corto y de esta manera se impide la disipacin de energa
sobrante en la compuerta.
El mismo proceso ocurre con respecto al tercer cuadrante, cuando la tensin en el nodo MT2 es
negativa con respecto al nodo MT1 y obtenemos la caracterstica invertida. Por esto es un
componente simtrico en cuanto a conduccin y estado de bloqueo se refiere, pues la
caracterstica en el cuadrante de la curva es igual a la del cuadrante .
M=+!#!) #( #&)1-,!
Como hemos dicho, el Triac posee dos nodos denominados ( MT1 y MT2) y una compuerta G.
La polaridad de la compuerta G y la polaridad del nodo 2, se miden con respecto al nodo 1.
El triac puede ser disparado en cualquiera de los dos cuadrantes y mediante la aplicacin entre
los terminales de compuerta G y MT1 de un impulso positivo o negativo. Esto le da una facilidad de
empleo grande y simplifica mucho el circuito de disparo. Veamos cules son los fenmenos
internos que tienen lugar en los cuatro modos posibles de disparo.
1 T El primer modo del primer cuadrante designado por I (J, es aquel en que la tensin del nodo
MT2 y la tensin de la compuerta son positivas con respecto al nodo MT1 y este es el modo mas
comn (ntensidad de compuerta entrante).
La corriente de compuerta circula internamente hasta MT1, en parte por la union P2N2 y en parte a
travs de la zona P2. Se produce la natural inyeccin de electrones de N2 a P2, que es favorecida
en el rea prxima a la compuerta por la cada de tensin que produce en P2 la circulacin lateral
de corriente de compuerta. Esta cada de tensin se simboliza en la figura por signos + y - .
Parte de los electrones inyectados alcanzan por difusin la unin P2N1 que bloquea el potencial
exterior y son acelerados por ella inicindose la conduccin.
2 T El Segundo modo, del tercer cuadrante, y designado por III(> es aquel en que la tensin del
nodo MT2 y la tensin de la compuerta son negativos con respecto al nodo MT1 (ntensidad de
compuerta saliente).
Se dispara por el procedimiento de puerta remota, conduciendo las capas P2N1P1N4.
La capa N3 inyecta electrones en P2 que hacen ms conductora la unin P2N1. La tensin positiva
de T1 polariza el rea prxima de la unin P2N1 ms positivamente que la prxima a la puerta.
Esta polarizacin inyecta huecos de P2 a N1 que alcanzan en parte la unin N1P1 y la hacen pasar
a conduccin.
9 T El tercer modo del cuarto cuadrante, y designado por I(> es aquel en que la tensin del nodo
MT2 es positiva con respecto al nodo MT1 y la tensin de disparo de la compuerta es negativa
con respecto al nodo MT1( ntensidad de compuerta saliente).
El disparo es similar al de los tiristores de puerta de unin. nicialmente conduce la estructura
auxiliar P1N1P2N3 y luego la principal P1N1P2N2.
El disparo de la primera se produce como en un tiristor normal actuando T1 de puerta y P de
ctodo. Toda la estructura auxiliar se pone a la tensin positiva de T2 y polariza fuertemente la
unin P2N2 que inyecta electrones hacia el rea de potencial positivo. La unin P2N1 de la
estructura principal, que soporta la tensin exterior, es invadida por electrones en la vecindad de la
estructura auxiliar, entrando en conduccin.
? T El cuarto modo del Segundo cuadrante y designado por III(J es aquel en que la tensin del
nodo T2 es negativa con respecto al nodo MT1, y la tensin de disparo de la compuerta es
positiva con respecto al nodo MT1(ntensidad de compuerta entrante).
El disparo tiene lugar por el procedimiento llamado de puerta remota. Entra en conduccin la
estructura P2N1P1N4.
La inyeccin de N2 a P2 es igual a la descrita en el modo (+). Los que alcanzan por difusin la
unin P2N1 son absorbidos por su potencial de unin, hacindose ms conductora. El potencial
positivo de puerta polariza ms positivamente el rea de unin P2N1 prxima a ella que la prxima
a T1, provocndose una inyeccin de huecos desde P2 a N1 que alcanza en parte la unin N1P1
encargada de bloquear la tensin exterior y se produce la entrada en conduccin.
El estado (+), seguido de (-) es aquel en que la corriente de compuerta necesaria para el disparo
es mnima. En el resto de los estados es necesaria una corriente de disparo mayor. El modo (+)
es el de disparo ms difcil y debe evitarse su empleo en lo posible.
En general, la corriente de encendido de la compuerta, dada por el fabricante, asegura el disparo
en todos los estados.
F!,*-) #( !"#- #( 3!) +,&-%)
La relacin en el circuito entre la fuente de voltaje, el triac y la carga se representa en la FG.7. La
corriente promedio entregada a la carga puede variarse alterando la cantidad de tiempo por ciclo
que el triac permanece en el estado encendido. Si permanece una parte pequea del tiempo en el
estado encendido, el flujo de corriente promedio a travs de muchos ciclos ser pequeo, en
cambio si permanece durante una parte grande del ciclo de tiempo encendido, la corriente
promedio ser alta.
Un triac no esta limitado a 180 de conduccin por ciclo. Con un arreglo adecuado del disparador,
puede conducir durante el total de los 360 del ciclo. Por tanto proporciona control de corriente de
onda completa, en lugar del control de media onda que se logra con un SCR.
Las formas de onda de los triacs son muy parecidas a las formas de onda de los SCR, a excepcin
de que pueden dispararse durante el semiciclo negativo. En la FG.8 se muestran las formas de
onda tanto para el voltaje de carga como para el voltaje del triac ( a travs de los terminales
principales) para dos condiciones diferentes.
En la FG.8 (a), las formas de onda muestran apagado el triac durante los primeros 30 de cada
semiciclo, durante estos 30 el triac se comporta como un interruptor abierto, durante este tiempo el
voltaje completo de lnea se cae a travs de las terminales principales del triac, sin aplicar ningn
voltaje a la carga. Por tanto no hay flujo de corriente a travs del triac y la carga.
La parte del semiciclo durante la cual existe seta situacin se llama ngulo de retardo de disparo.
Despus de transcurrido los 30 , el triac dispara y se vuelve como un interruptor cerrado y
comienza a conducir corriente a la carga, esto lo realiza durante el resto del semiciclo. La parte del
semiciclo durante la cual el triac esta encendido se llama ngulo de conduccin.
La FG.8 (b) muestran las mismas formas de ondas pero con ngulo de retardo de disparo mayor.
FG.8
C&,%$&+! 1,-%+&%! 1-,- #&)1-,!
FG.5
En la FG. 5 se muestra un circuito prctico de disparo de un triac utilizando un UJT. El resistor RF
es un resistor variable que se modifica a medida que las condiciones de carga cambian. El
transformador T1 es un transformador de aislamiento, y su propsito es aislar elctricamente el
circuito secundario y el primario, para este caso asla el circuito de potencia ca del circuito de
disparo.
La onda senoidal de ca del secundario de T1 es aplicada a un rectificador en puente y la salida de
este a una combinacin de resistor y diodo zener que suministran una forma de onda de 24 v
sincronizada con la lnea de ca. Esta forma de onda es mostrada en la FG. 6 (a).
Cuando la alimentacin de 24 v se establece, C1 comienza a cargarse hasta la Vp del UJT, el cual
se dispara y crea un pulso de corriente en el devanado primario del transformador T2. Este se
acopla al devanado secundario, y el pulso del secundario es entregado a la compuerta del triac,
encendindolo durante el resto del semiciclo. Las formas de onda del capacitor( Vc1), corriente del
secundario de T2 ( sec) y voltaje de carga (VLD), se muestran en la FG. 6 (b), (c),(d).
La razn de carga de C1 es determinada por la razn de RF a R1, que forman un divisor de voltaje,
entre ellos se dividen la fuente de cd de 24 v que alimenta al circuito de disparo. Si RF es pequeo
en relacin a R1, entonces R1 recibir una gran parte de la fuente de 24 v , esto origina que el
transistor pnp Q1 conduzca, con una circulacin grande de corriente por el colector pues el voltaje
de R1 es aplicado al circuito de base, por lo tanto C1 se carga con rapidez. Bajo estas condiciones
el UJT se dispara pronto y la corriente de carga promedio es alta.
Por otra parte se RF es grande en relacin a R1, entonces el voltaje a travs de R1 ser menor que
en el caso anterior, esto provoca la aparicin de un voltaje menor a travs del circuito base-emisor
de Q1 con la cual disminuye su corriente de colector y por consiguiente la razn de carga de C1 se
reduce, por lo que le lleva mayor tiempo acumular el Vp del UJT. Por lo tanto el UJT y el triac se
disparan despus en el semiciclo y la corriente de carga promedio es menor que antes.
FG.6
@.@. C!"+,!3 #( 1!+("%&- %!" +&,&)+!,()
El Triac es un dispositivo semiconductor que pertenece a la familia de los dispositivos de control
por tiristores. El triac es en esencia la conexin de dos tiristores en paralelo pero conectados en
sentido opuesto y compartiendo la misma compuerta.
El triac slo se utiliza en corriente alterna y al igual que el tiristor, se dispara por la compuerta.
Como el triac funciona en corriente alterna, habr una parte de la onda que ser positiva y otra
negativa.
MENU PRINCIPAL
La parte positiva de la onda (semiciclo positivo) pasar por el triac siempre y cuando haya habido
una seal de disparo en la compuerta, de esta manera la corriente circular de arriba hacia abajo
(pasar por el tiristor que apunta hacia abajo), de igual manera:
La parte negativa de la onda (semiciclo negativo) pasar por el triac siempre y cuando haya habido
una seal de disparo en la compuerta, de esta manera la corriente circular de abajo hacia arriba
(pasar por el tiristor que apunta hacia arriba)
Para ambos semiciclos la seal de disparo se obtiene de la misma patilla (la puerta o compuerta).
Lo interesante es, que se puede controlar el momento de disparo de esta patilla y as, controlar el
tiempo que cada tiristor estar en conduccin. (recordar que un trisitor solo conduce cuando ha
sido disparada (activada) la compuerta y entre sus terminales hay un voltaje positivo de un valor
mnimo para cada tiristor)
Entonces, si se controla el tiempo que cada tiristor est en conduccin, se puede controlar la
corriente que se entrega a una carga y por consiguiente la potencia que consume.
Ejemplo: Una aplicacin muy comn es el atenuador luminoso de lmparas incandescentes
(circuito de control de fase).
Donde:
> Ven: Voltaje aplicado al circuito (A.C.)
- L: lmpara
- P: potencimetro
- C: condensador (capacitor)
- R: Resistencia
- T: Triac
- A2: Anodo 2 del Triac
- A3: Anodo 3 del Triac
- G: Gate, puerta o compuerta del Triac
MENU PRINCIPAL
El triac controla el paso de la corriente alterna a la lmpara (carga), pasando continuamente entre
los estados de conduccin (cuando la corriente circula por el triac) y el de corte (cuando la corriente
no circula)
Si se vara el potencimetro, se vara el tiempo de carga del condensador causando que se
incremente o reduzca la diferencia de fase de la tensin de alimentacin y la que se aplica a la
compuerta
Nota: la diferencia de fase o la fase entre dos seales u ondas se define como el ngulo (diferencia
de tiempo) que existe entre los dos orgenes de las mismas.
5.3 1plicaciones del ./, & del 2,I1/.
La aplicacin de los triacs, a diferencia de los tiristores, se encuentra bsicamente en corriente
alterna. Su curva caracterstica refleja un funcionamiento muy parecido al del tiristor apareciendo
en el primer y tercer cuadrante del sistema de ejes. Esto es debido a su bidireccionalidad.
La principal utilidad de los triacs es como regulador de potencia entregada a una carga, en
corriente alterna. El encapsulado del triac es idntico al de los tiristores.
APLICACIONES DE SCR
E)isten muc3as aplicaciones de ./, de las cuales se indican a4u5 unas pocas.
DISPARO DE UNA ALARMA
El reed s6itc3 se cierra en presencia de un campo magn7tico( e0emplo un imn( a tra*7s de , pasa la corriente
de compuerta( el ./, entra en conducci$n & el rel7 se cierra acti*ando la sirena( aun4ue el campo magn7tico
se retire & el reed s6itc3 se a#ra el ./, &a 4ue est en conducci$n & se mantendr as5 3asta 4ue se a#ra el
circuito usado el pulsador normalmente cerrado (8/).
En la parte de ./, se escoge de orma 4ue soporte la corriente 4ue re4uiere la #o#ina del rel7( la resistencia
se escoge de orma 4ue por ella pase una corriente ma&or 4ue I92min.
R mx V / IGTmin
PUENTES RECTIFICADORES CONTROLADOS
.on puentes rectiicadores de onda completa (monosicos o polisicos) donde la mitad de los diodos se
reempla"an por ./,. 1plicando unos milisegundos se retardo (tiempo de disparo) entre el instante en 4ue la
se-al alterna pasa por cero para disparar el ./,.
1 ma&or tiempo de disparo ser menor el *olta0e medio en la carga( para :! ;" con un tiempo de disparo de
4.1: ms el *olta0e 3a#r #a0ado a la mitad & con td< '.33 ms el *olta0e 3a#r llegado a cero.
=uente rectiicado trisico controlado & ormas de onda.
APLICACIONES DEL TRIAC
/ontrol de *olta0e ,>. so#re una carga monosica. .e usa especialmente para control de iluminaci$n con
lmparas incandescentes o control de *elocidades de motores uni*ersales.
En cada semiciclo al ir aumentando el *olta0e pasa una corriente mu& pe4ue-a por la carga 4ue no la acti*a
pero esa corriente *a por el potenci$metro & es suiciente para cargar el condensador( cuando el condensador
se 3a cargado a unos 2 o 3 *oltios la compuerta entra en conducci$n descargando el condensador & 7sta es la
corriente de compuerta 4ue dispara el 2riac & enciende la carga. En el semiciclo positi*o el condensador se
carga positi*o & el 2riac dispara en el cuadrante I( en el semiciclo negati*o el condensador se carga negati*o &
el 2riac se dispara en el cuadrante III. >odiicando el resistencia del potenci$metro se 3ace ms rpida o ms
lenta la carga del condensador con lo 4ue se *ar5a el tiempo de disparo el *alor ,>. de *olta0e en la carga
*aria.
1lgunos 2riacs re4uieren de ma&or corriente de compuerta en el cuadrante III 4ue en el I( esto 3ace 4ue el
tiempo de disparo en el semiciclo negati*o sea ma&or( el *olta0e positi*o aplicado a la carga resulta ma&or
4ue el negati*o & en muc3os casos inesta#ilidad en el circuito o *ariaciones #ruscas el *olta0e ,>. en la
carga. =ara solucionar esta situaci$n se agrega en la compuerta un dispositi*o llamado ?I1/( necesario( en el
mercado se consigue el 2riac con ?iac incluido & se llama @udrac.

REL DE ESTADO SLIDO
Este dispositi*o reempla"a a los rel7s magn7ticos( su *enta0a es 4ue no tiene elementos m$*iles por lo 4ue su
tiempo de cone)i$n es menor( no sure desgaste mecnico & no presenta generaci$n de c3ispas.
.u *enta0a es 4ue al no ser un interruptor 5sico metlico se presenta una ca5da de tensi$n entre los terminales
de 2riac (Aon) 4ue multiplicada por la corriente 4ue pide la carga representa una potencia 4ue se pierde en
orma de calor & ele*a la temperatura del rel7( o#liga a tener precauciones so#re disipaci$n de calor.
.us caracter5sticas #sicas son el *olta0e de acti*aci$n en la entrada 4ue normalmente esta entre 3 & 3!
*oltios( algunos modelos pueden tra#a0ar con ?/ o 1/( otra caracter5stica es la m)ima corriente 4ue pueden
conducir 3acia la carga 4ue depende de la capacidad del 2riac.
5.3.1 =rotecci$n contra ele*aci$n de *olta0e.
Si desea controlar el nivel de iluminacin del dormitorio o desea controlar la velocidad de tu taladro
o un ventilador (motores de corriente alterna), este es el circuito que busca.
Muchos de estos circuitos reguladores de potencia tienen un punto de encendido y apagado que
no coincide (a este fenmeno se le llama histresis), y es comn en los TRACS. Para corregir este
defecto se ha incluido en el circuito las resistencias R1, R2 y C1.
El conjunto R3 y C3 se utiliza para filtrar picos transitorios de alto voltaje que pudieran aparecer. El
conjunto de elementos P (potencimetro) y C2 son los necesarios mnimos para que el triac sea
disparado.
El triac controla el paso de la corriente alterna a la carga conmutando entre los estados de
conduccin (pasa corriente) y corte (no pasa corriente) durante los semiciclos negativos y positivos
de la seal de alimentacin (110 / 220 voltios.), la seal de corriente alterna que viene por el
tomacorrientes de nuestras casas
El triac se disipar cuando el voltaje entre el condensador y el potencimetro (conectado a la
compuerta del TRAC) sea el adecuado.
Hay que aclarar que el condensador en un circuito de corriente alterna (como este) tiene su voltaje
atrasado con respecto a la seal original, y cambiando el valor del potencimetro, se modifica la
razn de carga del condensador, el atraso que tiene y por ende el desfase con la seal alterna
original. Esto causa que se pueda tener control sobre la cantidad de corriente que pasa a la carga y
por ende la potencia que se le aplica.

Lista de componentes:
Resistencias: 2 de 47 KD, (kilohmios), 1 de 100D, (ohmios), 1 potencimetro de 100KD (1KD =
1 Kilohmio)
Condensadores: 3 de 0.1 uF, ( uF = microfaradios)
Otros: 1 TRAC (depende de la carga, uno de 2 Amperios para aplicaciones comunes como este
dimmer), 1 enchufe para la carga: de uso general, (110 / 220 Voltios)
5.3.2 /ontroles simples de *elocidad.
1l igual 4ue en el control de iluminacion se presenta el mismo uncionamiento
5.3.3 /ontrol de iluminaci$n.
Una aplicacin muy comn es el atenuador luminoso de lmparas incandescentes (circuito de
control de fase).
Donde:
> Ven: Voltaje aplicado al circuito (A.C.)
- L: lmpara
- P: potencimetro
- C: condensador (capacitor)
- R: Resistencia
- T: Triac
- A2: Anodo 2 del Triac
- A3: Anodo 3 del Triac
- G: Gate, puerta o compuerta del Triac
El triac controla el paso de la corriente alterna a la lmpara (carga), pasando continuamente entre
los estados de conduccin (cuando la corriente circula por el triac) y el de corte (cuando la corriente
no circula)
Si se vara el potencimetro, se vara el tiempo de carga del condensador causando que se
incremente o reduzca la diferencia de fase de la tensin de alimentacin y la que se aplica a la
compuerta
8otaB la dierencia de ase o la ase entre dos se-ales u ondas se deine como el ngulo (dierencia de tiempo)
4ue e)iste entre los dos or5genes de las mismas
5.4 El CD2.
El transistor UJT o d !ni"!ni#n

El transistor de uni-unin (un0uncton transstor) o UJT esta constituido por
dos regiones contaminadas con tres terminales externos: dos bases y un emisor.
En la figura 12.21.a aparece la estructura fsica de este dispositivo. El emisor esta
fuertemente dopado con impurezas p y la regin n dbilmente dopado con n. Por
ello, la resistencia entre las dos bases, RBB o resstenca nter'ase, es elevada
(de 5 a 10KDestando el emisor abierto).

El modelo equivalente representado en la figura 12.21.b esta constituido por
un diodo que excita la unin de dos resistencias internas, R1 y R2, que verifican
RBB=R1+R2. Cuando el diodo no conduce, la cada de tensin en R1 (V1) se
puede expresar como

(12.10)


en donde VB2B1 es la diferencia de tensin entre las bases del UJT y ((es el actor
de di*isi$n de tensi$n conocido como relaci$n intr5nseca. El modelo de este dispositi*o
utili"ando transistores se muestra en la igura 12.21.c( cu&a estructura es mu& similar a un
diodo de cuatro capas. /uando entra en conducci$n los transistores la ca5da de tensi$n en
,1 es mu& #a0a. El s5m#olo del CD2 se muestra en la igura 12.21.d.


Figura 12.21. 2ransistor CD2. a) Estructura 5sica( #) modelo e4ui*alente(
c) circuito e4ui*alente & d) s5m#olo.

Funcionamiento de un CD2
El uncionamiento de un CD2 es mu& similar al de un ./,. En la graica de la
igura 12.22 se descri#e las caracter5sticas el7ctricas de este dispositi*o a tra*7s de la
relaci$n de la tensi$n de emisor (AE) con la corriente de emisor (IE). .e deinen dos puntos
cr5ticosB punto de pico o peaEFpoint (A=( I=) & punto de *alle o *alle&Fpoint (AA( IA)(
am#os *eriican la condici$n de dAEGdIE<!. Estos puntos a su *e" deinen tres regiones de
operaci$nB regi$n de corte( regi$n de resistencia negati*a & regi$n de saturaci$n( 4ue se
detallan a continuaci$nB


Figura 12.22. /aracter5sticas el7ctricas de un CD2.

R(6&'" #( %!,+(. En esta regin, la tensin de emisor es baja de forma que la
tensin intrnseca mantiene polarizado inversamente el diodo emisor. La corriente
de emisor es muy baja y se verifica que VE<VP e E < P. Esta tensin de pico en
el UJT viene definida por la siguiente ecuacin

(12.11)

donde la VF varia entre 0.35 V a 0.7 V con un valor tpico de 0.5 V. Por ejemplo,
para el 2N2646 es de 0.49V a 25C. El UJT en esta regin se comporta como un
elemento resistivo lineal entre las dos bases de valor RBB.

R(6&'" #( ,()&)+("%&- "(6-+&/-. Si la tensin de emisor es suficiente para
polarizar el diodo de emisor, es decir, VE=VP entonces el diodo entra en
conduccin e inyecta huecos a B1 disminuyendo bruscamente la resistencia R1
debido a procesos de recombinacin. Desde el emisor, se observa como el UJT
disminuye su resistencia interna con un comportamiento similar a la de una
resistencia negativa (dVE/dE < 0). En esta regin, la corriente de emisor esta
comprendida entre la corriente de pico y de valle (P< E< V).

R(6&'" #( )-+$,-%&'". Esta zona es similar a la zona activa de un tiristor con
unas corrientes y tensiones de mantenimiento (punto de valle) y una relacin lineal
de muy baja resistencia entre la tensin y la corriente de emisor. En esta regin, la
corriente de emisor es mayor que la corriente de valle (E > V). Si no se verifica
las condiciones del punto de valle, el UJT entrara de forma natural a la regin de
corte.

En la figura 12.22 tambin se observa una curva de tipo exponencial que relaciona
la VE y la E cuando la base B2 se encuentra al aire (B2=0). Esta curva tiene una
forma similar a la caracterstica elctrica de un diodo y representa el
comportamiento del diodo de emisor.
5.4.1 1plicaciones.
Se utiliza en circuitos de descarga en generadores de impulso, circuitos de bases de tiempos y
circuitos de control de ngulo de encendido de tiristores
@.A D&)1!)&+&/!) !1+(!(3=%+,&%!) . )$) -13&%-%&!"().
@.A.1 L(#
#&!#! 3(#
Es un diodo emisor de luz. Smbolo:
Se basa en:
El negativo de la pila repele a los electrones que pasan de n a p, se encuentran en
p con un hueco, se recombina con l y ya no es electrn libre, al bajar de BC a BV
pierde una energa E que se desprende en forma de luz (fotn de luz).
MENU
PRINCIPAL
Diferencias entre un diodo normal y un LED:
Diodo normal, E en forma de calor.
Diodo LED, E en forma de fotn.(E = h*f, h = cte de Planck, f = frecuencia
que da color a esa luz).
Diodo normal hecho de silicio.
Diodo LED hecho de As, P, Ga y aleaciones entre ellas. Para cada material
de estos la distancia de BC y BV es distinta y as hay distintos colores, y
mezclndolos se consiguen todos, hasta de luz invisible al ojo humano.
A13&%-%&'":
Lmparas de sealizacin.
Alarmas (fotones no visibles).
Etc...
El diodo LED siempre polarizado en directa, y emitir luz.
Podemos usar esto en una fuente de alimentacin que hemos dado.
La intensidad del LED:
Normalmente para el valor de 10 mA se suelen encender (ver en el catlogo). La
tensin en el LED:
MENU
PRINCIPAL
Diferencia con el silicio, la tensin es mayor. Cuando no dice nada se coge VLED =
2 V.
Aqu el diodo LED es un indicador que nos dice si la fuente de alimentacin est
encendida o apagada.
C!"%3$)&'": Los circuitos con tensiones grandes y resistencias grandes funcionan
bien, por lo tanto, si se pueden tomar valores grandes.
D&)13-. #( 5 )(6*("+!)
Son 7 diodos LED:
Se utiliza en electrnica digital con + 5 V y 0 V.
MENU
PRINCIPAL
@.A.2 F!+!#&!#!
El fotodiodo se parece mucho a un diodo semiconductor comn, pero tiene una caracterstica que
lo hace muy especial: es un dispositivo que conduce una cantidad de corriente elctrica
proporcional a la cantidad de luz que lo incide (lo ilumina). Esta corriente elctrica fluye en sentido
opuesto a la flecha del diodo y se llama corriente de fuga.
Luz incidente
Sentido de la corriente generada
El fotodiodo se puede utilizar como dispositivo detector de luz, pues convierte la luz en electricidad
y esta variacin de electricidad es la que se utiliza para informar que hubo un cambio en el nivel de
iluminacin sobre el fotodiodo.
Si el fotodiodo quedara conectado, de manera que por l circule la corriente en el
sentido de la flecha (polarizado en sentido directo), la luz que lo incide no tendra
efecto sobre l y se comportara como un diodo semiconductor normal.
La mayora de los fotodiodos vienen equipados con un lente que concentra la cantidad de luz que
lo incide, de manera que su reaccin a la luz sea ms evidente.
A diferencia del LDR o fotorresistencia, el fotodiodo responde a los cambios de oscuridad a
iluminacin y viceversa con mucha ms velocidad, y puede utilizarse en circuitos con tiempo de
respuesta ms pequeo.
Si se combina un fotodiodo con una transistor bipolar, colocando el fotodiodo entre el colector y la
base del transistor (con el ctodo del diodo apuntado al colector del transistor), se obtiene el
circuito equivalente de un fototransistor.
@.A.9 O1+!-%!13-#!,()
Un optoacoplador combina un dispositivo semiconductor formado por un
fotoemisor, un fotoreceptor y entre ambos hay un camino por donde se transmite
la luz. Todos estos elementos se encuentran dentro de un encapsulado que por lo
general es del tipo DP.
MENU
PRINCIPAL
La seal de entrada es aplicada al fotoemisor y la salida es tomada del
fotoreceptor. Los optoacopladores son capaces de convertir una seal elctrica en
una seal luminosa modulada y volver a convertirla en una seal elctrica. La gran
ventaja de un optoacoplador reside en el aislamiento elctrico que puede
establecerse entre los circuitos de entrada y salida.
Los fotoemisores que se emplean en los optoacopladores de potencia son diodos
que emiten rayos infrarrojos (RED) y los fotoreceptores pueden ser tiristores o
transistores.
Cuando aparece una tensin sobre los terminales del diodo RED, este emite un
haz de rayos infrarrojo que transmite a travs de una pequea guia-ondas de
plstico o cristal hacia el fotorreceptor. La energa luminosa que incide sobre el
fotorreceptor hace que este genere una tensin elctrica a su salida. Este
responde a las seales de entrada, que podran ser pulsos de tensin.
T&1!)
#ototransstor: se compone de un optoacoplador con una etapa de salida formada
por un transistor BJT.
#ototrac: se compone de un optoacoplador con una etapa de salida formada por
un triac
#ototrac de paso por cero: Optoacoplador en cuya etapa de salida se encuentra
un triac de cruce por cero. El circuito interno de cruce por cero conmuta al triac
slo en los cruce por cero de la corriente alterna.
@.A.?. F!+!+,-")&)+!,
Un fototransistor es, en esencia, lo mismo que un transistor normal, solo que
puede trabajar de 2 maneras diferentes:
- Como un transistor normal con la corriente de base (B) (modo comn)
- Como fototransistor, cuando la luz que incide en este elemento hace las veces
de corriente de base. (P) (modo de iluminacin).
Se pueden utilizar las dos en forma simultnea, aunque el fototransistor se utiliza
principalmente con la patita de la base sin conectar. (B = 0
MENU
PRINCIPAL
La corriente de base total es igual a corriente de base (modo comn) + corriente
de base (por iluminacin): BT = B + P
Si se desea aumentar la sensibilidad del transistor, debido a la baja iluminacin, se
puede incrementar la corriente de base (B ), con ayuda de polarizacin externa
El circuito equivalente de un fototransistor, es un transistor comn con un
fotodiodo conectado entre la base y el colector, con el ctodo del fotodiodo
conectado al colector del transistor y el nodo a la base.
El fototransistor es muy utilizado para aplicaciones donde la deteccin de
iluminacin es muy importante. Como el fotodiodo, tiene un tiempo de respuesta
muy corto, solo que su entrega de corriente elctrica es mucho mayor.
En el grfico siguiente se puede ver el circuito equivalente de un fototransistor. Se
observa que est compuesto por un fotodiodo y un transistor. La corriente que
entrega el fotodiodo (circula hacia la base del transistor) se amplifica veces, y es
la corriente que puede entregar el fototransistor.
Nota: es la ganancia de corriente del fototransistor.
UNIDAD VI.> CONTOLADORES LGICOS PROGRAMABLES(PLC
A.1.> PRINCIPIOS FUNDAMENTALES DE CONTROL
A.2.> COMPONENTES PRINCIPALES DE UN PLC Y SU FUNCIN
A.9.> CARACTERSTICAS PRINCIPALES DE UN PLC Y SU FUNCIN
A.?.> APLICACIONES
A.1 LOS CONTROLADORES LGICOS
PROGRAMABLES
El desarrollo e introduccin de los rels, hace muchos aos, fue un paso
gigantesco hacia la automatizacin e incremento de la produccin. La aplicacin
de los rels hizo posible aadir una serie de lgica a la operacin de las mquinas
y de esa manera reducir la carga de trabajo en el operador, y en algunos casos
eliminar la necesidad de operadores humanos.
Por ejemplo, los rels hicieron posible establecer automticamente una
secuencia de operaciones, programar tiempos de retardo, conteo de eventos o
hacer un evento dependiente de que ocurrieran otros.
MENU
PRINCIPAL
Los rels con todas sus ventajas, tienen tambin naturalmente sus
desventajas, tienen slo un perodo de vida; su naturaleza electromecnica
dictamina, que despus de un tiempo de uso sern inservibles, sus partes
conductores de corriente pueden en un momento quemarse o fundirse,
desbaratando la lgica establecida y requiriendo su reemplazo.
Tal vez la inconveniencia ms importante de la lgica con rels es su
naturaleza fija. La lgica de un panel de rels es establecida por los ingenieros de
diseo, se implementa entonces colocando rels en el panel y se alambra como
se prescribe.
Mientras que la mquina dirigida por el panel de rels continua llevando a
cabo los mismos pasos en la misma secuencia, todo est perfecto, pero cuando
existe un re diseo en el producto o un cambio de produccin en las operaciones
de esa mquina o en su secuencia, la lgica del panel debe ser re diseada. Si el
cambio es lo suficientemente grande, una opcin ms econmica puede ser
desechar el panel actual y construir uno nuevo.
Este fue el problema encarado por los productores de automviles a
mediados de los setenta. A lo largo de los aos se haban altamente
automatizado las operaciones de produccin mediante el uso de los rels, cada
vez que se necesitaba un cambio, se inverta en l una gran cantidad de trabajo,
tiempo y material, sin tomar en cuenta la gran cantidad de tiempo de produccin
perdido.
La computadora ya exista en esos tiempos y se le dio la idea a los
fabricantes de que la clase de control que ellos necesitaban podra ser llevado a
cabo con algo similar a la computadora. Las computadoras en s mismas, no eran
deseables para esta aplicacin por un buen nmero de razones. La comunidad
electrnica estaba frente a un gran reto: disear un artefacto que, como una
computadora, pudiese efectuar el control y pudiese fcilmente ser re programada,
pero adecuado para el ambiente industrial. El reto fue enfrentado y alrededor de
1969, se entreg el primer controlador programable en las plantas ensambladoras
de automviles de Detroit, Estados Unidos.
MENU
PRINCIPAL
C!"+,!3-#!, L'6&%! P,!6,-*-F3(
De acuerdo con la definicin de la "Nema" (National Electrical
Manufacturers Association) un controlador programable es: "Un aparato
electrnico operado digitalmente, que usa una memoria programable para el
almacenamiento interno de instrucciones para implementar funciones especficas,
tales como lgica, secuenciacin, registro y control de tiempos, conteo y
operaciones aritmticas para controlar, a travs de mdulos de entrada/salida
digitales (ON/OFF) o analgicos (1 5 VDC, 4 20 mA, etc.), varios tipos de
mquinas o procesos%
S(%$("%&- #( O1(,-%&!"() (" $" PLC .
a) Al encender el procesador, este efecta un autochequeo de encendido e
inhabilita las salidas. Entra en modo de operacin normal.
b) Lee el estado de las entradas y las almacena en una zona especial de
memoria llamada tabla de imagen de entradas
c) En base a su programa de control, el PLC modifica una zona especial de
memoria llamada tabla de imagen de salida.
d) El procesador actualiza el estado de las salidas "copiando" hacia los
mdulos de salida el estado de la tabla de imagen de salidas (estas controlan el
estado de los mdulos de salida del PLC, relay, triacs, etc.).
MENU
PRINCIPAL
A cada ciclo de ejecucin de esta lgica se le denomina ciclo de barrido
(scan) que generalmente se divide en:
/O scan
Program Scan
F$"%&!"() A#&%&!"-3()
1) A$+!%;(V$(! #( F-33-): en cada ciclo de scan, el PLC efecta
un Chequeo del funcionamiento del sistema reportando el resultado en Bits
internos que pueden ser accesados por el programa del usuario.
2) I"&%&-3&:-%&!"(): cada tipo de partida de un microprocesador tambin es
reportada en bits internos de la memoria de PLC.
3) S-3/-6$-,#- #( E)+-#!): Es posible indicar al PLC estado
deseado de algunas salidas o variables internas en caso de falla o
falta de energa en el equipo. Esto es esencial cuando se requiere
proteger algunos externos de salida.
4) M!#$3-,&#-#: Gracias a la utilizacin de Microprocesadores, es posible
expandir los sistemas a travs de mdulos de expansin de acuerdo al
crecimiento del sistema. Es posible expandirse en Entradas y Salidas
digitales, anlogas, etc., como as tambin en unidades remotas y de
comunicacin.
MENU
PRINCIPAL
DIRECCIONAMIENTOS DE ENTRADAS Y SALIDAS .
Como pueden existir gran cantidad de entradas y salidas, es necesario
indicarle a la CPU la direccin de la entrada o salida a la que el programa usuario
se est refiriendo. El direccionamiento de entradas y salidas en la programacin
de un PLC consiste en informar a la CPU, de acuerdo al formato empleado por el
fabricante, la direccin lgica de las diferentes entradas y salidas.
El direccionamiento de /O vara de marca en marca, sin embargo, la
mayora adopta una nomenclatura dividida en campos que proporciona
informacin sobre la ubicacin fsica de la entrada o salida, por ejemplo:
Para los PLC pequeos, la especificacin de SLOT y RACK no es utilizada.
CLASIFICACIN DE PLC.
Debido a la gran variedad de tipos distintos de PLC, tanto en sus funciones,
en su capacidad, en su aspecto fsico y otros, es que es posible clasificar los
distintos tipos en varias categoras.
P&C tipo Nano:
Generalmente PLC de tipo compacto ( Fuente, CPU e /O
integradas ) que puede manejar un conjunto reducido de /O, generalmente en un
nmero inferior a 100. Permiten manejar entradas entradas y salidas digitales y
algunos mdulos especiales.
P&C tipo Compactos:
Estos PLC tienen incorporado la Fuente de Alimentacin, su CPU y
mdulos de /O en un solo mdulo principal y permiten manejar desde unas pocas
/O hasta varios cientos ( alrededor de 500 /O ) , su tamao es superior a los
Nano PLC y soportan una gran variedad de mdulos especiales, tales como:
MENU
PRINCIPAL
entradas y salidas analogas
modulos contadores rapidos
modulos de comunicaciones
interfaces de operador
expansiones de i/o
PLC +&1! M!#$3-,:
Estos PLC se componen de un conjunto de elementos que conforman el
controlador final, estos son:
Rack
Fuente de Alimentacin
CPU
Mdulos de /O
De estos tipos existen desde los denominados MicroPLC que soportan gran
cantidad de /O, hasta los PLC de grandes prestaciones que permiten manejar
miles de /O.
DIRECCIONAMIENTO DE ENTRADAS Y SALIDAS
Como existen gran cantidad de /O y estas pueden estar alojadas en
diferentes mdulos, nace la necesidad de indicarle a la CPU, mediante nuestro
programa, la referencia exacta de la entrada o salida con la que queremos
interactuar. Al mecanismo de identificacin de /O en los PLC se le denomina
direccionamiento de entradas ' salidas%
El direccionamiento de /O varia de marca en marca, inclusive de modelo en
modelo en los PLC, pero generalmente, la mayora de los fabricantes adopta una
terminologa que tiene relacin con la ubicacin fsica de la /O. Veamos algunos
ejemplos:
MENU
PRINCIPAL
Direccionamiento PLC Nano Telemecanique
Direccionamiento PLC TSX-17 Telemecanique
Direccionamiento PLC TSX-37 Telemecanique
Dreccona!ento P+C Mtsu's$
Dreccona!ento P+C ,<B 9+C)=66
PROGRAMACION EN DIAGRAMA DE ESCALERA ( L-##(, .
Un esquema de escalera o de contactos est constituido por varias lneas
horizontales que contienen smbolos grficos de prueba ( " Contactos ") y de
accin ( " Bobinas "), que representan la secuencia lgica de operaciones que
debe realizar el PLC.
La programacin en Ladder de alguna forma se ha ido normalizando y ya
casi la mayora de los fabricantes presentan y programan sus PLC en formatos
muy parecidos, veamos algunos ejemplos:
Ladder en PLC Mitsubishi
Ladder en PLC Telemecanique
Ladder en PLC A/B
INSTRUCCIONES EN LOS PLC:
Las instrucciones son en realidad una de los elementos que potencian a
estos para su implementacin en diferentes aplicaciones. nicialmente estos solo
disponan de instrucciones a nivel de test de entradas y salidas digitales, sin
embargo, esta situacin a cambiado muy drsticamente al incorporar a estos
funciones muy avanzadas que amplan su espectro de aplicacin. Podemos
mencionar funciones matemticas avanzadas, aritmtica en punto flotante, manejo
eficaz de datos, filtros digitales, funciones avanzadas de control, etc.
Los siguientes listados muestran algunas de las operaciones que se
encuentran el mayora de los PLC.
MENU
PRINCIPAL
MDULOS
Existe gran cantidad de funciones especiales que no son soportadas por los
mdulos normales en los PLC, para estas situaciones los fabricantes ofrecen una
gran variedad de elementos adicionales que permiten incorporar funciones
especiales al PLC para nuestros procesos.
M'#$3!) #( IBO A"73!6!):
Estos mdulos permiten manejar entradas y salidas anlogas en nuestro
PLC de manera de poder efectuar lecturas y control analgico de variables en
nuestros procesos, estas entradas y salidas analgicas se caracterizan,
generalmente por:
(esolucin: Depende de la cantidad de bits del conversor utilizado, generalmente
se requiere una resolucin no inferior a 10 bits.
Tiempo de Conversin: Corresponde al tiempo empleado en convertir el valor
analgico en su correspondiente valor discreto. Este es un factor muy importante
ya que define el tipo de aplicacin para el cual puede emplearse el modulo.
Generalmente en control de procesos, la velocidad de variacin de las variables es
relativamente lenta, sobre 1 segundo, por lo cual las exigencias de velocidad en
los mdulos analgicos no son muy exigentes. Generalmente razones de
conversin del orden de los milisegundos es suficiente.
N)mero de Canales: Corresponde a la cantidad de entradas o salidas que puede
manejar el mdulo, generalmente estn agrupadas en 4 o ms /O. Tambin
existen agrupaciones de entradas y salidas agrupadas en un solo mdulo.
Tipo de *ntrada: Corresponde al tipo de entrada que es posible manejar el
mdulo, estas pueden ser Entrada o Salida en Corriente, 4-20 mA, 0-20 mA, en
tensin , 0-10v, -10 ->+10 v, termocupla, pt100, etc.
MENU
PRINCIPAL
Los primeros mdulos analgicos que se incorporaron a los PLC solo
podan manejar un determinada tipo de entrada, sin embargo hoy en da es
posible encontrar mdulos de propsitos generales configurables por Software que
permiten combinar distintos tipos de entrada o de salida.
A.2 COMPONENTES PRINCIPALES DE UN PLC Y SU FUNCIN
Las empresas de hoy, que piensan en el futuro, se encuentran provistas de
modernos dispositivos electrnicos en sus maquinas y procesos de control. Hoy
las fabricas automatizadas deben proporcionar en sus sistemas, alta confiabilidad,
gran eficiencia y flexibilidad. Una de las bases principales de tales fabricas es un
dispositivo electrnico llamado Controlador Lgico Programable. Este dispositivo
fue inicialmente introducido en 1970 y se ha sido refinando con nuevos
componentes electrnicos, tales como Micro-procesadores de alta velocidad,
agregndole funciones especiales para el control de proceso ms complejos. Hoy
los Controladores Programables son diseados usando lo ultimo en diseo de
Micro-procesadores y circuiteria electrnica lo cual proporciona una mayor
confiabilidad en su operacin en aplicaciones industriales donde existen peligro
debido al medio ambiente, alta repetibilidad, altas temperaturas, ruido ambiente o
elctrico, suministro de potencia elctrica no confiable, vibraciones mecnicas etc.
Este medio ambiente es el que el Control Lgico Programable se encuentra
en su elemento, ya que fue diseado y concebido para su uso en el medio
ambiente industrial.
Los Controladores Lgicos Programables, PLC como ellos son
comnmente llamados, ofrecen muchas ventajas sobre otros dispositivos de
control tales como relevadores, temporizadores electrnicos, contadores y
controles mecnicos como del tipo tambor. El objetivo de este manual es mostrar
el funcionamiento interno y de programacin de este tipo de controladores,
adems de mostrar algunas de sus aplicaciones en la industria, tambin realizar
una serie de practicas para que el tcnico de la industria pueda iniciarse en este
apasionante rema de la automatizacin.
MENU
PRINCIPAL
Hemos seleccionado el Controlador Lgico de GE-Fanuc por ser un equipo
de gran aceptacin en la industria nacional adems de ser un ideal para la
enseanza debido a su pantalla de cristal de cuarzo la cual consta de 2 lneas
cada lnea con una capacidad de 9 contactos y una bobina.
A.9 CARACTERSTICAS PRINCIPALES DE UN PLC Y SU FUNCIN
+os /ontroladores +$gicos =rograma#les( (=+/ s( =rograma#le +ogic /ontroller)(
nacieron esencialmente como tales( a inales de la d7cada de los :!s & principios de los
H!s. +as industrias 4ue propiciaron este desarrollo ueron las automotrices. Ellas usa#an
sistemas industriales #asadas en re*eladores( en sus sistemas de manuactura. Iuscando
reducir los costos de los sistemas de control por rele*adores( la 9eneral >otor preparo
en 19:' ciertas especiicaciones detallando un J/ontrolador +$gico =rograma#leJ( Estas
especiicaciones dein5an un sistema de control por rele*adores 4ue pod5an ser asociado
no solamente a la industria automotri"( si no prcticamente a cual4uier industria de
manuactura.
Estas especiicaciones interesaron a ciertas compa-5as tales como 9EFFanuc( reliance
Electric( >K?I/K8( ?igital E4uipment /o.( ?e tal orma 4ue el resultado de su tra#a0o
se con*irti$ en lo 4ue 3o& se conoce como /ontrolador +$gico =rograma#le. +os =+/s
surgen como e4uipos electr$nicos sustitutos de los sistemas de control #asados en
rele*adores( 4ue se 3ac5an ms comple0os & esto arro0a#a ciertas diicultades en cuanto a
la instalaci$n de los mismos( los altos costos de los e4uipos. +osa altos costos de
operaci$n & mantenimiento & la oca Fle)i#ilidad & conia#ilidad de los e4uipos.
+os primeros =+/s se usaron solamente como reempla"o de rele*adores( es decir( su
capacidad se reduc5a e)clusi*amente al control Kn FK (de dos posiciones) en ma4uinas
& procesos industriales. ?e ec3o toda*5a se siguen usando en muc3os casos como tales.
+a gran dierencia con los controles por rele*ador ue su acilidad de instalaci$n( ocupan
menor espacio( costo reducido( & proporcionan autodiagn$sticos sencillos.
MENU PRINCIPAL
En la d7cada de los H!s con el a*ance de la electr$nica( la tecnolog5a de los
microprocesadores agrego acilidad e inteligencia adicional a los =+/s generando un
gran a*ance & permitiendo un notorio incremento en la capacidad de interase con el
operador( se ue poco a poco me0orando la idea inicial de los =+/s con*irti7ndose en lo
4ue a3ora son( .istemas Electr$nicos Aerstiles & Fle)i#les.
En su creaci$n( los re4uerimiento so#re los cuales se 3an desarrollado los =+/ s( los
enumero la 9eneral >otors de la manera siguiente
1.F ?ispositi*o de control de#er ser cil & rpidamente programa#le por el usuario con
un m5nimo de interrupci$n.
2.F2odos los componentes del sistema de#en ser capaces de operar en plantas
industriales sin un especial e4uipo de soporte( de 3ard6are o de am#iente.
3.FEl sistema de#e ser de cil mantenimiento & reparaci$n. ?e#er dise-arse con
indicadores de status & modularidad para acilitar las reparaciones & la #Ls4ueda de
errores.
4.FEl sistema de#er ocupar menor espacio 4ue los sistemas de rele*ador & de#er
consumir menor potencia 4ue los sistemas de control por rele*adores.
5.FEl =+/ de#er ser capa" de comunicarse con un sistemas central de datos para
prop$sitos de monitoreo.
:.F ?e#er ser capa" de tra#a0ar con 12! *olts de corriente alterna & con elementos
estndar de control( con interruptores de presi$n interruptores de limite( etc.
H.F+as se-ales de salida de#ern ser capaces de mane0ar arran4ues de motores & *l*ulas
solenoides 4ue operan a 12! *olts de /.1.
'.F ?e#er ser e)pandi#le desde su m5nima coniguraci$n 3asta su m)ima( con una
m5nima de alteraci$n & de tiempo perdido.
MENU PRINCIPAL
9.F ?e#er ser competiti*o en costo de *enta e instalaci$n( respecto de los sistemas en
#ase a rele*adores.
1!.F +a estructura de memoria empleada de#er ser e)pandi#le a un m5nimo de 4!!!
pala#ras o elementos de memoria. +os =+/ actuales no solamente cumplen estos
re4uisitos si no 4ue lo superan. El =+/ actual es una computadora de prop$sito
especiico 4ue proporciona una alternati*a ms le)i#le & uncional para los sistemas de
control industriales. +a igura 1 muestra en general las unciones #sicas de un =+/.
?e#ido a la gran aceptaci$n 4ue 3a tenido el =+/( se 3a dado una deinici$n ormal por
la 8E>1 (8acional electrical >anuacturers 1ssociation)( descrita como sigueB
E+ =+/ es un aparato electr$nico operado digitalmente 4ue usa una memoria
programa#le para el almacenamiento interno de instrucciones las cuales implementan
unciones especiicas tales como l$gicas( secu7nciales( tempori"aci$n( conteo &
aritm7ticas( para controlar a tra*7s de m$dulos de entrada Gsalida digitales & anal$gicas(
*arios tipos de ma4uinas o procesos. Cna computadora digital 4ue es usada para e0ecutar
las unciones de un controlador programa#le( se puede considerar #a0o este ru#ro. .e
e)clu&en los controles secuenciales mecnicos. ?e una manera general podemos deinir
al controlador l$gico programa#le a toda ma4uina electr$nica( dise-ada para controlar
en tiempo real & en medio industrial procesos secuenciales de control. .u programaci$n
& mane0o puede ser reali"ado por personal con conocimientos electr$nicos sin pre*ios
conocimientos so#re inormtica.
2am#i7n se le puede deinir como una Jca0a negraJ en la 4ue e)isten unas terminales de
entrada a los 4ue se conectaran pulsadores( inales de carrera( oto celdas( detectores(
etc... unos terminales de salida a los 4ue se le conectaran #o#inas de contactores( electro
*l*ulas( lmparas.( ?e tal orma 4ue la actuaci$n de estos ultimo estn en unci$n de
las se-ales de entrada 4ue est7n acti*adas en cada momento( segLn el programa
almacenado.
MENU
PRINCIPAL
Esto 4uiere decir au)iliares( relees de encallamiento( tempori"adores( contadores.. .on
internos. +a tarea del usuario se reduce a reali"ar el Jprograma 4ue no es mas 4ue la
relaci$n entre las se-ales de entrada 4ue se tienen cumplir para acti*ar cada salida.
6.4 APLICACION $EL PLC
E+ =+/ por sus especiales caracter5sticas de dise-o tiene un campo de aplicaci$n mu&
e)tenso. +a constante e*oluci$n del ;ard6are & .ot6are amplia continuamente este
campo para poder satisacer las necesidades 4ue se detectan en el aspecto de sus
posi#ilidades reales.
.u utili"aci$n se da undamentalmente en a4uellas instalaciones en donde es necesario
reali"ar procesos de manio#ra( control( se-ali"aci$n( etc(.. por tanto( su aplicaci$n a#arca
desde procesos de a#ricaci$n industrial de cual4uier tipo al de transormaciones
industriales( control de instalaciones( etc.
.us reducidas dimensiones( las e)tremas acilidades de u monta0e( la posi#ilidad de
almacenar los programas para su posterior & rpida utili"aci$n( la modiicaci$n o
alteraci$n de los mismos( etc.( 3ace 4ue su eiciencia se aprecie undamentalmente en
procesos en 4ue se reduce necesidades tales comoB Espacio reducido. =rocesos de
producci$n peri$dicamente cam#iantes >a4uinaria de procesos *aria#les. Instalaci$n de
procesos comple0os & amplios. /3e4ueo de programaci$n centrali"ada de las partes del
proceso
EJEMPLO% $E APLICACIONE% $E UN PLC
A&."MANIO'RA% $E MA(UINA%.
>a4uinaria industrial del mue#le & la madera.
>a4uinaria en proceso de gra*a( arena & cemento.
>a4uinaria en la industria del plstico.
MENU
PRINCIPAL
>a4uinasF3erramientas comple0as.
>a4uinaria de ensam#la0e.
>a4uinas de transerencia.
'&."MANIO'RA $E IN%TALACIONE%.
Instalaciones de aire acondicionado & caleacci$n.
Instalaciones de seguridad.
Instalaciones de almacenamiento & transporte.
Instalaciones de plantas em#otelladoras.
Instalaciones en la industria automotri"
Instalaci$n de tratamientos t7rmicos.
Instalaciones de la industria a"ucarera.
Es interesante 3acer notar 4ue aun4ue el =+/ ue originalmente dise-ados como un
dispositi*o de reempla"o de control industrial cumpla las necesidad de los usuarios. +as
necesidades de la aplicaci$n pueden ser deinidas solamente por un anlisis detallado del
sistema completo. Esto signiica 4ue los e)menes detallados de#en ser e0ecutados en
todas las acetas de la ma4uina u operaci$n del proceso( ?e nue*o( como nada
aplicaci$n es dierente( no 3a& una rutina clara & concisa 4ue e*alu7 las necesidades 4ue
todas las aplicaciones Cna ultima consideraci$n importante en la aplicaci$n de un =+/
es el utura crecimiento del sistema. +os =+/ estn dise-ados modularmente & por lo
tanto con posi#ilidades de poder e)pandirse para satisacer las necesidades de la
industria. Es importante 4ue a la aplicaci$n de un =+/ se pueda considerar los
#eneicios de las Futuras e)pansiones.
1.F >enor tiempo empleado en la ela#oraci$n de pro&ectos de#ido a 4ue
MENU PRINCIPALB
a) 8o es necesario di#u0ar el es4uema de contactos.
#) 8o es necesario simpliicar las ecuaciones l$gicas( &a 4ue( por lo general( la
capacidad de almacenamiento del modulo de memoria es lo suicientemente
grande
c) +a lista de materiales 4ueda sensi#lemente reducida( al ela#orar el presupuesto
correspondiente eliminaremos parte del pro#lema 4ue supone al contactar con
dierentes pro*eedores( distintos pla"os de entrega( etc.
2.F Cna *e" programado el dispositi*o & 3a#iendo pro#ado su operaci$n( es4uema
puede imprimirse en alguno de los lengua0es en 4ue ue programado( dependiendo
del tipo del =+/ seleccionado.
3.F E)isten m$dulos de comunicaci$n para el =+/.
4.F En #ase al =+/ puede construirse es4uemas de control tanto super*iso ri$ como
distri#uido.
MENU
PRINCIPAL

You might also like