You are on page 1of 88

Centro Nacional de Investigacin y Desarrollo Tecnolgico

Departamento de Ingeniera Electrnica

TESIS DE MAESTRA EN CIENCIAS


Convertidor Multinivel en Cascada con Generador Multipolos para Aplicaciones Elicas
Presentada por:

Armando Antonio Reyes


Ing. Electrnico por el Instituto Tecnolgico de Minatitln

como requisito para la obtencin del grado de:

Maestra en Ciencias en Ingeniera Electrnica


Director de tesis: Dr. Jess Aguayo Alquicira Co-Director de tesis: Dr. Jess Daro Mina Antonio

Cuernavaca, Morelos, Mxico.

29 de febrero 2012

Centro Nacional de Investigacin y Desarrollo Tecnolgico


Departamento de Ingeniera Electrnica

TESIS DE MAESTRA EN CIENCIAS


Convertidor Multinivel en Cascada con Generador Multipolos para Aplicaciones Elicas
Presentada por:

Armando Antonio Reyes


Ing. Electrnico por el Instituto Tecnolgico de Minatitln

como requisito para la obtencin del grado de:

Maestra en Ciencias en Ingeniera Electrnica


Director de tesis: Dr. Jess Aguayo Alquicira Co-Director de tesis: Dr. Jess Daro Mina Antonio Jurado: Dr. Jaime Eugenio Arau Roffiel Presidente Dr. Jorge Hugo Calleja Gjumlich Secretario Dr. Jess Aguayo Alquicira Vocal Dr. Jess Daro Mina Antonio Vocal Suplente
Cuernavaca, Morelos, Mxico. 29 de febrero 2012

Agradecimientos
A mis padres Armando y Dora por su cario, amor y apoyo incondicional a travs de los aos.

A mi director de tesis el Dr. Jess Aguayo Alquicira, por los conocimientos compartidos y sus buenos consejos.

A mi coasesor de tesis, el Dr. Jess Daro Mina Antonio por ayudarme con sus observaciones y correcciones siempre de manera objetiva.

A mis revisores de tesis, por sus comentarios y sugerencias para el desarrollo de la investigacin que fueron de gran ayuda: Dr. Jorge Hugo Calleja Gjumlich, Dr. Jaime Eugenio Arau Roffiel.

A mis compaeros: Josefa, Miriam, Olga, Susana, Alberto, Eligio, Juan Antonio, Juan Manuel, Julio y Romn.

A Karen por ayudarme en muchos aspectos de mi vida, incluso en la realizacin de este trabajo.

Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusin de este trabajo de investigacin.

Al Centro Nacional De Investigacin y Desarrollo Tecnolgico (CENIDET), por permitirme realizar mis estudios de maestra.

Al Consejo Nacional de Ciencia y Tecnologa (CONACYT), por el apoyo econmico brindado durante la realizacin de mis estudios de maestra.

A la Direccin General de Educacin Superior Tecnolgica (DGEST) por el apoyo econmico para la culminacin del trabajo de tesis.

RESUMEN
En este trabajo de tesis se presenta el diseo y construccin de una maqueta experimental que emula el comportamiento de un sistema de conversin de energa elica (Wind Energy Conversion System). El sistema de pruebas se encuentra formado por un generador multipolos, un inversor multinivel, un emulador de turbina elica y un modulador. El generador multipolos se implement con un generador de induccin doblemente alimentado (Doubly-Fed Induction Generator) de cuatro polos. El emulador de turbina elico funciona en un amplio rango de velocidades, proporcionando al generador multipolos una fuente variable de energa. Se dise y construy un inversor trifsico de cinco niveles, para transformar la energa entregada por el generador multipolos y alimentar una carga aislada totalmente resistiva. En el diseo del convertidor multinivel se incluy una etapa de rectificacin y regulacin de voltaje, puesto que el generador multipolos entrega seales de corriente alterna (CA) y los puentes completos del convertidor se alimentan con corriente directa (CD). El modulador fue implementado en un arreglo de compuertas programables en campo (Field Programmable Gate Array) que es el encargado de proporcionar las seales de control al convertidor multinivel. La tcnica empleada para obtener los patrones de conmutacin es la de modulacin por ancho de pulso con portadoras desfasadas (Phase Shift Pulse Width Modulation). Las ventajas de utilizar esta tcnica, es que se enva el rizo del voltaje de salida a frecuencias ms altas que las de conmutacin, disminuyendo el contenido armnico de la seal de salida. La seal de voltaje en la salida del convertidor mantiene una frecuencia constante an cuando la velocidad en el emulador de turbina elica aumente o disminuya, sin embargo, la amplitud del voltaje aumenta cuando la velocidad aumenta y decrece cuando la velocidad disminuye.

ABSTRACT
This thesis presents the design and construction of an experimental model that emulates the behavior of a wind energy conversion system (WECS). The test system is formed by a multi-pole generator, a multilevel inverter, wind turbine emulator and a modulator. The multi-pole generator was implemented in a doubly fed induction generator (DFIG) of four poles. The wind turbine emulator operates in a wide range of speeds, providing the multi-pole generator a variable source of energy. It was designed and built a three-phase inverter of five levels in order to transform the energy delivered by the generator multi-poles and to feed a totally isolated resistive load. The multilevel converter includes rectification and voltage regulation because multi-poles generator delivers alternating current signals (CA) and complete bridges of the converter are fed with direct current (DC). The modulator was implemented in a field-programmable gate array (FPGA) that is responsible for providing control signals to the multilevel converter. The technique used to generate the switching patterns is the pulse width modulation with shift phase (PSPWM). The advantage of using this technique is that it sends the output voltage ripple at frequencies higher than switching, reducing the harmonic content of the output signal. The voltage signal at the output of the converter maintains a constant frequency even when the speed in the wind turbine emulator increases or decreases, however, voltage amplitude increases as speed is increasing and decreases as the speed is decreasing.

Contenido
ndice de figuras.i ndice de tablas.ii Acrnimos.iii Captulo 1.- Introduccin
Introduccin ........................................................................................................................................... 1 1.1 Introduccin ..................................................................................................................................... 1 1.2 Antecedentes ................................................................................................................................... 2 1.3 Estado del arte ................................................................................................................................ 3 1.4 Planteamiento del problema ......................................................................................................... 8 1.5 Propuesta de solucin .................................................................................................................... 8 1.6 Objetivos ........................................................................................................................................ 10 1.6.1 Objetivo general ..................................................................................................................... 10 1.6.2 Objetivos particulares ........................................................................................................... 10 1.7 Alcances del trabajo ..................................................................................................................... 10 1.8 Organizacin del documento ...................................................................................................... 10

Captulo 2.- Sistemas de conversin de energa elica


2.1 Introduccin ................................................................................................................................... 12 2.2 Aplicaciones elicas ..................................................................................................................... 12 2.3 Turbinas elicas ............................................................................................................................ 15 2.4 Generador multipolos ................................................................................................................... 21 2.5 Convertidores multinivel............................................................................................................... 23 2.4.1 Topologas existentes para convertidores multinivel ....................................................... 25 2.4.1.1 Convertidor multinivel de diodos de enclavamiento ................................................. 25 2.4.1.2 Convertidor multinivel de condensadores flotantes .................................................. 26 2.4.1.3 Convertidor multinivel en cascada............................................................................... 27

Captulo 3.- Diseo e implementacin de la maqueta experimental


3.1 Introduccin ................................................................................................................................... 30 3.2 Configuracin del generador multipolos .................................................................................... 30

3.3 Diseo del convertidor multinivel ................................................................................................ 35 3.3.1 Tcnica de modulacin PSPWM......................................................................................... 41 3.3.1.1Desfase entre seales .................................................................................................... 42 3.3.2 Implementacin del modulador ........................................................................................... 44

Captulo 4.- Resultados


4.1 Introduccin ................................................................................................................................... 47 4.2 Resultados en simulacin ............................................................................................................ 48 4.2.1 Simulacin del inversor multinivel ....................................................................................... 48 4.2.2 Simulacin del modulador .................................................................................................... 52 4.2.3 Resultados experimentales .................................................................................................. 54

Captulo 5.- Conclusiones


5.1 Conclusiones del trabajo ............................................................................................................. 64 5.2 Trabajos futuros ............................................................................................................................ 65 Referencias .......................................................................................................................................... 66 Anexo A ................................................................................................................................................. 69 Anexo B ................................................................................................................................................. 71 Anexo C ................................................................................................................................................ 72 Anexo D ................................................................................................................................................ 76

ndice de figuras
Figura 1 . 1 Zonas con mayor potencial elico ................................................................................. 2 Figura 1 . 2 Convertidor back-to-back conectado a una turbina elica [22] ................................ 5 Figura 1 . 3 Esquema elctrico de una turbina elica de velocidad variable equipada con un PMSG de accionamiento directo [2] .............................................................................. 5 Figura 1 . 4 Sistema de control propuesto en [17] ........................................................................... 7 Figura 1 . 5 Sistema propuesto ........................................................................................................... 9

Figura 2 . 1 Esquema de funcionamiento de un WECS (aerogenerador) .................................. 13 Figura 2 . 2 Componentes principales de un WECS con caja multiplicadora (Gearbox) ......... 14 Figura 2 . 3 WECS con generador multipolo sin caja multiplicadora .......................................... 15 Figura 2 . 4 Primeras turbinas elicas de la poca moderna ....................................................... 16 Figura 2 . 5 Conceptos de turbinas elicas con generador asncrono ........................................ 17 Figura 2 . 6 Conceptos de turbinas elicas con generador sncrono .......................................... 18 Figura 2 . 7 Proceso de conversin de la energa mecnica en elctrica [12]. ......................... 22 Figura 2 . 8 Comparativa de seales en convertidores (a) y (b) de dos y tres niveles (c) multinivel ........................................................................................................................ 24 Figura 2 . 9 Estructura monofsica del convertidor con diodos de enclavamiento ................... 25 Figura 2 . 10 Convertidor multinivel con condensadores flotantes .............................................. 26 Figura 2 . 11 Convertidor multinivel en cascada ............................................................................ 27

Figura 3. 1 DIFG utilizado como generador multipolos ................................................................. 30 Figura 3. 2 Esquema de los devanados en el estator para mquinas de CA ............................ 31 Figura 3. 3 Generador con dos polos (un devanado) .................................................................... 32 Figura 3. 4 Conexiones disponibles para un generador trifsico con dos polos ....................... 32 Figura 3. 5 Conexiones disponibles en el DFIG ............................................................................. 33 Figura 3. 6 Seales de voltaje medidas en el estator del generador multipolos ....................... 34 Figura 3. 7 Seales de voltaje al variar la velocidad en el emulador de turbina elica ............ 34 Figura 3. 8 Estructura bsica de un puente completo ................................................................... 35 Figura 3. 9 Inversor de cinco niveles en cascada y la forma de onda generada ...................... 36 Figura 3. 10 Esquema del convertidor multinivel trifsico ............................................................. 36 Figura 3. 11 Circuito rectificador y regulador ..................................................................................... 37 Figura 3. 12 Circuito integrado L298N ............................................................................................. 38 Figura 3. 13 Diagrama interno del CI L298 ..................................................................................... 38 Figura 3. 14 Esquemtico del convertidor de cinco niveles monofsico .................................... 39 Figura 3. 15 Convertidor de cinco niveles monofsico en circuito impreso ............................... 40 Figura 3. 16 Convertidor multinivel conectado al generador multipolos ..................................... 40 Figura 3. 17 Convertidor multinivel trifsico .................................................................................... 41 i

Figura 3. 18 Ejemplo de las seales portadoras y moduladora de la tcnica PSPWM ........... 43 Figura 3. 19 FPGA utilizado para implementar el modulador que controla las seales de encendido y apagado de los interruptores ubicados en el inversor multinivel. .. 44

Figura 4. 1 Esquema del inversor multinivel monofsico realizado en PSim v7.0 .................... 48 Figura 4. 2 Voltaje (azul) y corriente (rojo) en una rama del inversor multinivel ....................... 49 Figura 4. 3 Seales de voltaje del convertidor multinivel trifsico ............................................... 49 Figura 4. 4 Voltaje en una fase del convertidor multinivel ............................................................. 50 Figura 4. 5 Seal de voltaje al aumentar la tensin en el bus de CD ......................................... 50 Figura 4. 6 Espectro de Fourier para seal de salida de la fase A del inversor de cinco niveles ............................................................................................................................... 51 Figura 4. 7 Espectro de Fourier de la salida del convertidor de tres niveles ............................. 52 Figura 4. 8 Patrones de conmutacin simulados ........................................................................... 53 Figura 4. 9 Patrones de conmutacin para el convertidor multinivel ........................................... 54 Figura 4. 10 Emulador de turbina elica .......................................................................................... 55 Figura 4. 11 Seal de voltaje en una rama del convertidor multinivel ......................................... 56 Figura 4. 12 Seal de voltaje en la fase A del convertidor multinivel .......................................... 56 Figura 4. 13 Seales de dos fases del convertidor multinivel ...................................................... 57 Figura 4. 14 Seal trifsica en la salida del convertidor multinivel .............................................. 57 Figura 4. 15 Seal de corriente en la carga (verde) y voltajes en la carga ................................ 58 Figura 4. 16 Variacin del voltaje y corriente al aumentar el ndice de modulacin ................. 59 Figura 4. 17 Voltajes en la carga ...................................................................................................... 60 Figura 4. 18 Voltaje en la fase a, b y voltaje entre fases ab ......................................................... 60 Figura 4. 19 Voltajes entre fases....................................................................................................... 61 Figura 4. 20 Anlisis espectral para la una fase ............................................................................. 62

ndice de tablas
Tabla 2. 1 Caractersticas de las configuraciones de turbinas elicas ....................................... 18 Tabla 3. 1 Caractersticas elctricas del CI L298N ........................................................................ 39 Tabla 4. 1 Relacin entre la velocidad del emulador de turbina elica y la amplitud de la fundamental ..................................................................................................................... 63 Tabla 4. 2 Relacin entre el voltaje entre fases y la amplitud de la fundamental .................... 63

ii

Acrnimos
CA CD CENIDET CFE CHB CI DCM DCMLI DFIG FC FCMLI FPGA IGBT IIE NPC PMSG PMSM PROM PSPWM PWM THD TTL UE VCD VHDL WECS Corriente alterna Corriente directa Centro Nacional de Investigacin y Desarrollo Tecnolgico Comisin federal de electricidad Puente completo en cascada o puente H en cascada Circuito integrado Administradores de reloj digital Inversor multinivel de diodos de enclavamiento Generador de induccin doblemente alimentado Capacitores flotantes Inversor multinivel de condensadores flotantes Arreglo de compuertas programables en campo Transistor bipolar de compuerta aislada Instituto de investigaciones elctricas Punto sujeto neutro Generador sncrono de imanes permanentes Maquina sncrona de imanes permanentes Memoria programable de solo lectura Modulacin por ancho de pulso con desfase de portadoras Modulacin por ancho de pulso Distorsin armnica total Lgica de transistor a transistor Unin europea Voltaje de corriente directa Lenguaje de descripcin de hardware de alto nivel Sistema de conversin de energa elica

iii

Captulo 1
Introduccin
1.1 Introduccin
Las formas de energas limpias y renovables, como la energa elica, son esenciales si se pretende detener el cambio climtico. Las energas renovables son vitales para continuar con la transicin energtica desde formas de energa contaminante hacia formas de energa limpia que disminuyen las amenazas a nuestra salud y permiten un equilibrio para el desarrollo sustentable del medio ambiente durante los prximos aos. La Unin Europea (UE) sigue siendo el lder del mundo en capacidad de energa de viento total instalada, diez de los 27 estados miembros de la UE ahora tienen ms de 1GW de la capacidad elica cada uno [1]. El aprovechamiento de la energa cintica del viento es considerada una tecnologa madura para la generacin de energa elctrica. Comercialmente se encuentran disponibles aerogeneradores desde 0.5 hasta 1.5 MW de potencia nominal, aunque existen prototipos con una potencia de 3 MW. En Mxico este recurso tiene un gran potencial (Figura 1 . 1). Se calcula que puede ser superior a los 5000 MW aprovechables en zonas identificadas, como lo son el sur del istmo de Tehuantepec; las pennsulas de Baja California y Yucatn; la regin central de Zacatecas y hasta la frontera con Estados Unidos de Amrica y tambin algunas zonas costeras del pas [2].

cenidet

Captulo 1

Introduccin

Figura 1 . 1 Zonas con mayor potencial elico

En Mxico los avances y proyectos ms significativos en materia de energa elica han sido desarrollados por la Comisin Federal de Electricidad (CFE), que ha llevado a cabo la construccin de la central La Venta en el estado de Oaxaca, con una capacidad de produccin de 1.6 MW (1994) en su primera etapa y en la segunda aumentando considerablemente a 83.3 MW (2006). Con miras a aprovechar las oportunidades que ofrece el mercado elico internacional, el cual crece a un ritmo cercano al 35% por ao, el Instituto de Investigaciones Elctricas (IIE) emprendi el desarrollo de una turbina elica de 1.2 MW de capacidad, diseada para operar en condiciones de vientos intensos como los que se encuentran en la regin de La Ventosa, Oaxaca. El diseo del IIIE se ubica en potencias de 1 a 1.5 MW donde la oferta de productos en el mercado es escasa, especialmente para condiciones de vientos intensos [3].

1.2 Antecedentes
Hoy en da el aprovechamiento de la energa del aire es un tema muy importante. La energa elica es un recurso renovable, es limpia, inagotable y con grandes perspectivas de desarrollo.

cenidet

Captulo 1

Introduccin

A lo largo del tiempo, el ser humano ha ideado diversos artefactos para aprovechar la energa del viento. En la actualidad se cuenta con sistemas de conversin para transformar la energa cintica del aire en energa elctrica. Estos sistemas son llamados sistemas elicos o sistemas de conversin de energa elica (WECS). Bsicamente estn formados por una turbina elica, un generador elctrico y un convertidor de potencia [4]. En CENIDET se han desarrollado trabajos que abordan tpicos asociados a los WECS o parte de stos, entre otros: La implementacin de un emulador de turbina elica, el cual se utiliza para llevar a cabo pruebas de generacin eolo-elctrica [5]. El uso de un convertidor back-to-back con una mquina de induccin doblemente alimentada para un sistema de generacin a velocidad variable. Este sistema de generacin es del tipo aislado puesto que no est conectado directamente a la red [6]. El presente trabajo de tesis involucra un generador multipolos, que es considerado uno de los ms eficientes en sistemas de velocidad variable, por otro lado agrega un convertidor multinivel en cascada para aprovechar la energa entregada por el generador.

1.3 Estado del arte


La revisin del estado del arte tiene como objetivo verificar qu soluciones estn reportadas en la literatura, respecto a la conexin del generador con diversos tipos de convertidores para sistemas de conversin de energa elica La bsqueda se hizo enfocada en generadores para turbinas elicas acoplados con convertidores multinivel, la finalidad de la investigacin realizada es verificar el potencial de aplicacin de generadores multipolos acoplados con inversores multinivel en cascada.

cenidet

Captulo 1

Introduccin

En [7] se propone un generador mltiple con modulacin por ancho de pulso (Pulse Width Modulation) para convertidores multinivel basado en un arreglo de compuertas programadas en campo (Field Programmable Gate Array). El generador PWM fue implementado en un inversor trifsico en cascada de 5 niveles. En la etapa de implementacin se comprob que las formas de onda obtenidas eran las que haban sido reportadas en la simulacin del sistema. Se hace un particular nfasis en las estrategias de modulacin utilizadas, se aconseja realizar las mismas pruebas experimentales con diferentes estrategias de modulacin, ya que se podra obtener mejores resultados con tcnicas un poco ms elaboradas como la de espacios vectoriales. En [8] se presenta una solucin basada en el diseo de un generador de imanes permanentes y un convertidor multinivel en cascada, que se desarroll para sintetizar la salida de alto voltaje. La estrategia de conmutacin utilizada reduce los armnicos de bajo orden. Se analizan los efectos de conmutacin en el inversor y se deriva en una estrategia de conmutacin que se verifica en simulacin y experimentalmente. Se propone una nueva estrategia de conmutacin para reducir el contenido armnico sin necesidad de utilizar capacitores costosos. Cabe destacar que aqu se aplica el concepto de convertidores multinivel modulares, conectndolos en cascada, obteniendo buenos resultados para diferentes condiciones de operacin. En [9] se propone una estrategia de modelado para un convertidor back-to-back de tres niveles (Figura 1 . 2), aplicado a turbinas elicas y en [10] se usa una tcnica de control para convertidores PWM de tres niveles aplicados en turbinas de velocidad variable. Se logra reducir las prdidas y aumentar la eficiencia en 1%, adems de obtenerse un circuito resistente al ruido y fcil de sintonizar.

cenidet

Captulo 1

Introduccin

Figura 1 . 2 Convertidor back-to-back conectado a una turbina elica [22]

En [9] y [11] se valida una vez ms las ventajas de utilizar un convertidor multinivel y tambin un convertidor back-to-back, ambos aplicables a turbinas elicas de velocidad variable. Estos desarrollos tuvieron buenos resultados experimentales. Es necesario mencionar que la conexin en paralelo de dispositivos permite el manejo de niveles de corriente ms altos. En [12] y [13], se presentan esquemas de control de velocidad variable para turbinas elicas con generador sncrono de imanes permanentes (Permanent Magnet Synchronous Generator), la diferencia entre estos artculos es que en el primero se toma en cuenta una caja con engranes, mientras que en la segunda no se considera, adems en ambos se modela el generador. Estos modelos aportan buenos resultados y fueron desarrollados en Matlab.

Figura 1 . 3 Esquema elctrico de una turbina elica de velocidad variable equipada con un PMSG de accionamiento directo [13]

cenidet

Captulo 1

Introduccin

En [14], al igual que en [12] y [13], se realiza el modelado de turbinas elicas, pero en este caso se basan en un generador de induccin doblemente alimentado (Doubly Fed Induction Generator), el modelo se desarroll en Matlab; sin embargo, no presenta un buen resultado ya que no se consideraron varios factores que son importantes para aproximarse ms a un sistema real. En [15] y [16] se hace la comparacin de los generadores de accionamiento directo y los generadores con caja de engranes en sistemas de generacin eolo-elctrica. En total son cinco sistemas, de los cuales slo tres poseen engranes, otro ms cuenta con excitacin externa de CD y el ltimo es un generador de imanes permanentes de accionamiento directo. El generador de imanes permanentes es la mejor opcin ya que no tiene escobillas ni caja de engranes adems posee las ventajas de un convertidor de plena potencia. La desventaja del generador de imanes permanentes es que, comparado con los sistemas generadores con caja de engranes, resulta demasiado caro. En [17] se presenta una estrategia de control de una turbina elica de velocidad variable con PMSG y un convertidor de potencia de plena escala con transistores bipolares de compuerta aislada (IGBT). Se demostr que la turbina variable PMSG soporta la conexin a la red. An cuando no es muy popular, el generador sncrono mltipolo puede operar con velocidades relativamente bajas [18], lo cual lo hace una buena opcin para aplicaciones de baja potencia. La desventaja de este equipo son los costos de mantenimiento, ya que es necesario cambiar los imanes permanentes cada determinado tiempo y esta accin no puede ser realizada mientras la turbina est en funcionamiento; por este motivo es necesario sacarla de operacin. En [10] se propone un sistema de control avanzado para un convertidor trifsico de tres niveles modulado por PWM, acoplado a un generador sncrono de imanes permanentes. El esquema de control est basado principalmente en el manejo de la potencia reactiva y activa. Posee adems unos bloques extras como un filtro LCL que conecta el convertidor a la red y un modulador PWM con un enlace de CD

cenidet

Captulo 1

Introduccin

balanceando que minimiza las prdidas. Muestra un buen desempeo pero el control es complicado ya que al agregarse otros bloques al sistema, ste se vuelve cada vez ms complejo (Figura 1 . 4).

Figura 1 . 4 Sistema de control propuesto en [10]

En [19], se propone una tcnica de control de corriente directa para una mquina mltipolos sncrona de imanes permanentes (PMSM). En este mtodo es indispensable controlar la corriente en todos los componentes, esto permite lograr un buen control del torque, lo cual nos dice que podemos modificar la velocidad de la mquina si as lo deseamos. El mtodo fue probado en una mquina sncrona de 24 polos, se obtuvieron buenos resultados, aunque posee la desventaja de que los rizos de corriente son elevados. La revisin del estado del arte concluye que los convertidores multinivel, son una buena opcin para las aplicaciones elicas. Existe poca literatura sobre los

cenidet

Captulo 1

Introduccin

generadores mltipolo, ya que son relativamente nuevos en esta rea de aplicacin, pero su uso est en aumento da con da y con buenos resultados.

1.4 Planteamiento del problema


En CENIDET ya se han realizado investigaciones y desarrollado trabajos: En [5] se realiz la implementacin de un emulador de turbina elica, el cual es utilizado para llevar a cabo pruebas de generacin eolo-elctrica En [6] se us un convertidor back-to-back con una mquina de induccin doblemente alimentada para un sistema de generacin de energa a velocidad variable, para ser aplicado en un sistema aislado Con el propsito de construir un banco de pruebas de generacin eoloelctrica. Sin embargo, no existe una investigacin donde se haya usado un generador multipolos en conjunto con un convertidor multinivel. Lo que dificulta comprobar las ventajas de esta topologa, ya que al no contar con un sistema de este tipo, que sea capaz de aprovechar al mximo la energa resultante, se tienen prdidas econmicas. Adems, debido a la constante demanda de nuevos y mejores sistemas de conversin de energa elica, se hace necesario, que da con da se lleve a cabo el diseo y construccin de nuevos equipos, con la finalidad de analizar las ventajas que estos presentan y probar su potencial de aplicacin.

1.5 Propuesta de solucin


Para completar el banco de pruebas de generacin eoloelctrica, se debe realizar una maqueta que emule el comportamiento de un sistema de conversin de energa elica. El cual est basado en una mquina de induccin doblemente alimentada y use un convertidor de potencia para transferir la energa generada a la carga.

cenidet

Captulo 1

Introduccin

Se propone el diseo e implementacin de una maqueta experimental que emule el comportamiento de un sistema de conversin de energa elica. El sistema est compuesto por los siguientes elementos: un emulador de turbina elica y un generador multipolos en cascada con un convertidor multinivel (Figura 1 . 5).
Convertidor Multinivel
Emulador de turbina elica

G
DFIG usada como generador multipolos

Carga trifsica

Figura 1 . 5 Sistema propuesto

El emulador de turbina elica es un motor de CD que se alimenta con dos fuentes independientes, una para el campo y otra para la armadura. Funciona de la siguiente manera: el voltaje para el campo se mantiene constante, mientras que el voltaje proporcionado a la armadura se vara para lograr los cambios de velocidad deseados. El emulador de turbina elica est conectado al generador de induccin doblemente alimentado (DFIG) de cuatro polos usado como generador multipolos. Los voltajes generados en el estator del generador multipolos son de CA, pero el convertidor multinivel se alimenta con voltajes de CD; por este motivo, se incluye un rectificador no controlado antes del convertidor multinivel. El convertidor de potencia se conecta en cascada al DFIG. El objetivo es comprobar el potencial de aplicacin del generador multipolos acoplado con el convertidor multinivel en cascada. Los interruptores localizados en el convertidor multinivel seran gobernados por el modulador implementado en el FPGA, utilizando la tcnica de modulacin PSPWM.

cenidet

Captulo 1

Introduccin

1.6 Objetivos
1.6.1 Objetivo general Elaborar la maqueta experimental que emule el comportamiento de un WECS (sistema de conversin de energa elica), basado en un convertidor en cascada con el generador multipolos.

1.6.2 Objetivos particulares Implementar el modulador que gobierne el encendido y apagado de los interruptores en el convertidor Acoplar las partes mecnicas y elctricas del sistema Validar mediante el protocolo de pruebas el funcionamiento correcto del sistema

1.7 Alcances del trabajo


Los alcances del trabajo para el tema de tesis fueron: Diseo y construccin de un inversor multinivel La implementacin del modulador PWM en un FPGA. Validacin de la tcnica de modulacin PSPWM La validacin del modulador PWM se realiz en un prototipo de baja potencia del inversor multinivel en cascada trifsico. No se contempl el diseo, construccin y puesta en operacin de un inversor multinivel en cascada de mediana o alta potencia.

1.8 Organizacin del documento


En el captulo 2 se describen los conceptos y fundamentos tericos necesarios para el desarrollo del tema de tesis, relacionados con el inversor multinivel y los generadores multipolos.

cenidet

10

Captulo 1

Introduccin

En el captulo 3 se presenta el diseo e implementacin del inversor multinivel, la elaboracin del modulador en el FPGA usando la tcnica de modulacin por ancho de pulsos con portadoras desfasadas. En el captulo 4 se describen las pruebas y resultados obtenidos en simulacin y en forma experimental. La simulacin se llev a cabo en el programa PSim. Los resultados obtenidos son de la maqueta experimental que emula un sistema de conversin de energa elica.

Para finalizar, en el captulo 5 se presentan las conclusiones y trabajos futuros que se sugieren para continuar con la lnea de investigacin de energas alternas.

cenidet

11

Captulo 2
Sistemas de conversin de energa elica
2.1 Introduccin
De las energas renovables no convencionales, la energa elica es la que ha tenido un mayor progreso tecnolgico en los ltimos aos en los pases desarrollados. La conversin de energa elica a elctrica posee una ventaja significativa respecto a las energas convencionales, pues no genera emisiones de contaminantes atmosfricos. Adems, en general, es compatible en el uso del terreno junto a otras actividades como agricultura o ganadera [4]. En los prrafos siguientes se muestra la descripcin de los componentes de un sistema de conversin de energa elica, sta se limita a los aspectos ms relevantes, sin considerar todos los aspectos o componentes tcnicos que son importantes para el funcionamiento de los sistemas elicos de generacin elctrica.

2.2 Aplicaciones elicas


La energa elica se origina del movimiento de las masas de aire y corresponde a una fuente de energa renovable que se encuentra disponible con un potencial muy grande e importante a nivel mundial [20]. Los aerogeneradores o sistemas de conversin de energa elica son equipos que transforman la energa cintica del flujo del viento en energa elctrica. Estn compuestos esencialmente por un rotor con aspas mejor conocido como turbina elica, la gndola con caja multiplicadora, generador elctrico y freno mecnico, controlador electrnico y mecanismo de orientacin. El esquema de funcionamiento de un WECS acoplado a la red se ilustra en la Figura 2 . 1[4].

cenidet

12

Captulo 2

Sistemas de conversin de energa elica

Figura 2 . 1 Esquema de funcionamiento de un WECS (aerogenerador)

Un WECS funciona de la siguiente manera: el viento pasa sobre la superficie de las aspas ejerciendo sobre ellas una fuerza de sustentacin que hace girar el rotor. Este movimiento de rotacin es transferido al eje principal y en casi todos los sistemas de conversin de energa elica es amplificado por medio de una caja multiplicadora que aumenta la velocidad de rotacin del rotor hasta la velocidad de rotacin de un generador [4]. El generador convierte la energa cintica en energa elctrica. En el caso de un sistema conectado a la red elctrica, la energa producida pasa a travs de un transformador que eleva la tensin desde el nivel de generacin hasta el nivel de la red elctrica a la que se conecta. La red elctrica transmite la energa generada para su consumo. La energa extrada por un WECS depende de la velocidad del viento, el rea del rotor, el diseo tcnico y de la densidad del aire. La velocidad del viento es la variable que posee el mayor impacto sobre el rendimiento de un aerogenerador, dado que la energa extrada de una turbina elica aumenta con el cubo de la velocidad del viento. Otro factor que afecta es la altura de las torres ya que a medida que se incrementa la altura sobre el nivel del suelo, la velocidad generalmente aumenta [16].

cenidet

13

Captulo 2

Sistemas de conversin de energa elica

La velocidad de giro de las turbinas elicas puede ser fija o variable. Ambos conceptos han mostrado su confiabilidad y eficiencia durante aos, pero la nueva generacin de turbinas de mega watts tiene una fuerte tendencia a la velocidad variable del rotor. La velocidad de giro del rotor es una caracterstica importante en una turbina elica porque influye directamente en la emisin de ruido [14]. Sistemas de generacin con y sin caja multiplicadora Las aspas son el elemento fundamental de un WECS, captan la energa del viento mediante la accin de fuerzas aerodinmicas y transmiten el giro rotacional hacia un eje que est conectado al generador elctrico mediante una caja multiplicadora (de engranes o engranajes) que incrementa el nmero de revoluciones traspasadas desde el rotor a baja velocidad hacia el generador elctrico convencional a alta velocidad (Figura 2 . 2).

Turbina elica

Viento

Eje del rotor

Caja multiplicadora (Gearbox)

Eje Gearbox

Red elctrica

Generador de induccin

Figura 2 . 2 Componentes principales de un WECS con caja multiplicadora (Gearbox)

De la misma manera, se han desarrollado WECS sin caja multiplicadora, que usan un sistema de transmisin directa, empleando generadores multipolo de baja velocidad en combinacin con la velocidad variable del rotor. Este tipo de aerogeneradores, que se presenta en la Figura 2.3, evita el uso de aceite lubricante para el sistema de engranaje, lo que representa una ventaja para la operacin y mantenimiento [21].

cenidet

14

Captulo 2

Sistemas de conversin de energa elica

Turbina elica

Generador sncrono multipolos

Viento

Eje del rotor

GS

Red elctrica

Figura 2 . 3 WECS con generador multipolo sin caja multiplicadora

Los WECS disponen de diferentes sistemas de control encargados de manejar los distintos sistemas mecnicos y elctricos que hacen posible la generacin de energa elctrica cumpliendo con los parmetros exigidos tales como voltaje, frecuencia, potencia reactiva y activa. Los sistemas de control se traducen fsicamente en dispositivos a los cuales se cargan programas capaces de actuar sobre los distintos mecanismos despus de haber analizado las variables correspondientes. A diferencia de otras formas de generacin de energa, estos equipos trabajan con una fuente de potencia que flucta en el tiempo debido a las rfagas, por lo que se debe disear cuidadosamente el sistema. Por otra parte, se requiere robustez y confiabilidad. Esto implica especificaciones de diseo muy particulares y da lugar al desarrollo de tecnologas diversas y sofisticadas en las reas de mquinas elctricas, electrotecnia, electrnica, control, y otras. En el presente trabajo se introducen los diferentes tipos de generadores elctricos y conexiones utilizadas en esta aplicacin y las tendencias actuales [22].

2.3 Turbinas elicas


Desde el inicio del desarrollo de las turbinas elicas modernas en el ao 1957, marcado por la innovadora turbina Gedser (200 kW), el concepto aerodinmico principal ha sido un eje horizontal y tres aspas de la turbina, conectada a una red elctrica de CA trifsica.

cenidet

15

Captulo 2

Sistemas de conversin de energa elica

Las actividades en este campo se sintieron alentados por la crisis del petrleo en 1973. Hace veinte aos el concepto de la turbina elica Riisager (Figura 2 . 4), inici una nueva rea. El concepto era similar al aerogenerador Gedser, pero se construy usando componentes estndar de bajo costo; por ejemplo, piezas de automviles ya desechados. Se convirti en un xito y fue el punto de partida para la industria generadora danesa.

Figura 2 . 4 Primeras turbinas elicas de la poca moderna

Durante las ltimas dos dcadas, la produccin de turbinas elicas ha crecido en tamao de 20 kW a 2 MW. Muchos conceptos diferentes han sido desarrollados y probados. Aquellos que han tenido xito son descendientes de la turbina Gedser [21].

cenidet

16

Captulo 2

Sistemas de conversin de energa elica

Una de las modificaciones realizadas a las turbinas existentes ha sido en el campo elctrico. Desde 1993, algunos fabricantes han sustituido el tradicional generador asncrono en el diseo de sus turbinas, por un generador sncrono, mientras que otros fabricantes introdujeron el generador asncrono de rotor bobinado. Los desarrollos elctricos incluyen el uso de la electrnica de potencia en el diseo del sistema generador, introduciendo el concepto de velocidad variable.

Debido a la rpida evolucin de la electrnica de potencia, ofreciendo mayor potencia, capacidad de manejo y menor precio por kW, la aplicacin de la electrnica de potencia en turbinas elicas se incrementar an ms. Otra cuestin interesante son los esfuerzos que se han puesto en la investigacin y el desarrollo de nuevos conceptos de motor/generador. Es evidente que los departamentos de desarrollo de los fabricantes de aerogeneradores estn activos, realizando el anlisis y evaluacin de los posibles nuevos conceptos de turbinas de viento [21].

A continuacin en la Figura 2 . 5 y Figura 2 . 6, se muestran las configuraciones ms comunes en turbinas elicas.

Figura 2 . 5 Conceptos de turbinas elicas con generador asncrono

cenidet

17

Captulo 2

Sistemas de conversin de energa elica

Figura 2 . 6 Conceptos de turbinas elicas con generador sncrono [21]

Dependiendo de la configuracin particular, el trmino convertidor de potencia abarca diferentes tipos de componentes de electrnica de potencia tales como: un arrancador suave (inciso a), una resistencia variable de rotor externa (inciso c), un rectificador (inciso e conectado en el estator, inciso f, g, h conectado al rotor) y un convertidor de frecuencia (inciso b, d, e, g y h).

Las configuraciones mostradas en las figuras anteriores ( Figura 2 . 5 y Figura 2 . 6), presentan el esquema de topologas tpicas usadas en las turbinas elicas. En la
Tabla 2. 1 se enlistan las configuraciones para aerogeneradores, las cuales cubren un

amplio rango de los conceptos de control de potencia aplicados a turbinas de viento.


Tabla 2. 1 Caractersticas de las configuraciones de turbinas elicas Conf. Convertidor de potencia Multipolo o caja multiplicadora (gearbox) a Arranque suave Caja multiplicadora Prdida o prdida activa b Convertidor de frecuencia Caja multiplicadora Prdida o prdida activa Velocidad variable Una o dos velocidades Control de potencia Comentarios

cenidet

18

Captulo 2

Sistemas de conversin de energa elica

Convertidor PE

Caja multiplicadora

Variacin del ngulo de ataque

Velocidad variable limitada Velocidad variable (DFIG) Velocidad variable

Convertidor de frecuencia

Caja multiplicadora

Variacin del ngulo de ataque

Convertidor de frecuencia

Multipolos

Prdida, prdida activa o variacin del ngulo de ataque

Rectificador

Caja multiplicadora

Prdida o variacin del ngulo de ataque

Velocidad variable

Rectificador y convertidor de frecuencia

Caja multiplicadora

Variacin del ngulo de ataque

Velocidad variable con caja multiplicadora

Rectificador y convertidor de frecuencia

Multipolos

Variacin del ngulo de ataque

Velocidad variable con caja multiplicadora

Una descripcin de los aspectos ms importantes de las diversas configuraciones para turbinas elicas se presenta a continuacin:

a) Es el concepto convencional aplicado por muchos fabricantes, turbina de viento de tres aspas usando un generador de induccin de rotor de jaula de ardilla. Durante algn tiempo se extendi el concepto con un banco de capacitores para la compensacin de potencia reactiva y un arrancador suave para una mejor conexin a la red. b) En esta configuracin el banco de capacitores y el arrancador suave son reemplazados por un convertidor de frecuencia de potencia plena. El concepto de potencia plena permite la operacin a velocidad variable en todas las velocidades del viento. c) Esta configuracin emplea un rotor bobinado y ha sido usado por Vestas desde mediados de 1990. La idea bsica de este concepto es controlar la resistencia del rotor usando una resistencia variable externa por medio de un
cenidet 19

Captulo 2

Sistemas de conversin de energa elica

convertidor de potencia. Con el convertidor montado en el eje del rotor, es posible controlar el deslizamiento en un rango de 10% (por medio de la resistencia externa). El control del deslizamiento implica controlar la potencia de salida en el sistema. d) Esta configuracin emplea un DFIG. Un convertidor de frecuencia controla directamente la corriente en los devanados del rotor, lo que permite el control de la salida del generador usando un convertidor de potencia. La introduccin de este concepto fue motivada principalmente por dos razones: 1) Velocidad variable en un amplio rango de velocidades comparadas con el concepto del inciso c 2) Es menos costoso comparado con el concepto de control de potencia completa. e) Una tpica aplicacin de esta configuracin es la carga de bateras, ya que usualmente proporcionan menos de 1 kW de potencia. Tambin son usadas en turbinas elicas para sistemas caseros o sistemas hbridos. f) Esta configuracin no es muy utilizada. Su poco uso comparado con los conceptos anteriores quiz se deba a tres razones: 1) la necesidad de un circuito externo de excitacin 2) la necesidad de anillos colectores 3) una estrategia ms compleja de seguridad de la turbina elica, lo que hace que la configuracin sea menos atractiva. g) Esta configuracin es ampliamente usada en turbinas elicas. Comparada a las anteriores, esta soporta velocidad variable si el convertidor de potencia de la red es un convertidor de frecuencia de cuatro cuadrantes. h) En esta configuracin, un generador sncrono multipolo es usado. En principio, es la misma configuracin que el inciso anterior, pero debido al generador multipolo no se requiere la caja multiplicadora. Las compaas de turbinas elicas Enercom y Lagerwey son ejemplos de fabricantes usando este concepto. De los conceptos anteriores, la configuracin a es la nica que no soporta operacin con velocidad variable [21].
cenidet 20

Captulo 2

Sistemas de conversin de energa elica

2.4 Generador multipolos


Las mquinas elctricas son el resultado de una aplicacin inteligente de los principios del electromagnetismo y en particular de la ley de induccin de Faraday, se caracterizan por tener circuitos elctricos y magnticos entrelazados. Las mquinas elctricas realizan una conversin de energa de una forma a otra, una de las cuales, al menos, es elctrica. Desde el punto de vista estrictamente energtico, es posible clasificarlas en tres tipos fundamentales: generador, motor y transformador. En este trabajo, slo se consideran las mquinas elctricas que funcionan como generador [23]. Generador: que transforma la energa mecnica en elctrica. La accin se desarrolla por el movimiento de una bobina en un campo magntico, resultando una f.e.m inducida que al aplicarla a un circuito externo produce una corriente que interacciona con el campo y desarrolla una fuerza mecnica que se opone al movimiento. En consecuencia el generador necesita una fuente de energa mecnica de entrada para producir la energa elctrica correspondiente [24]. Cada mquina en particular cumple el principio de reciprocidad electromagntica, lo cual quiere decir que son reversibles, pudiendo funcionar como generador o motor (aunque en la prctica existen diferencias en su construccin, que caracterizan a uno u otro modo de operacin). En las turbinas elicas diversos tipos de generadores son candidatos.

Comercialmente los generadores de jaula de ardilla as como los generadores de induccin son los ms populares. Tambin estn los generadores sncronos o alternadores, estos operan a velocidad sncrona, es decir a la velocidad que gira el campo magntico creado por las bobinas [25]. En el siguiente esquema (Figura 2 . 7) se muestran las formas de conexin de una turbina elica a un generador elctrico. Dentro de los dispositivos de accionamiento directo se encuentran las mquinas mltipolos. De igual forma se encuentran las mquinas sncronas y de induccin.
cenidet 21

Captulo 2

Sistemas de conversin de energa elica

Figura 2 . 7 Proceso de conversin de la energa mecnica en elctrica

Como vemos en la figura anterior, cuando se trata de generar energa elctrica por medio de la potencia del viento existe una variedad de configuraciones posibles que se pueden diferenciar en las caractersticas elctricas, electrnicas y mecnicas. El nmero de opciones para escoger es grande, la seleccin de un diseo final es largo y se convierte en un proceso muy complicado [26].

A continuacin se presentan las razones para utilizar un generador del tipo multipolo:

El inconveniente principal del uso de generadores de bajo nmero de polos (2, 4, 6 etc.), es la necesidad de implementar una caja multiplicadora, la cual incrementa el peso, genera ruido, demanda un mantenimiento regular e incrementa las perdidas del WECS. El incremento del costo no es significativo, pero es un elemento que en algunos aerogeneradores ha sido fuente de graves problemas. Por ello se utilizan cada vez mas sobretodo en aerogeneradores de velocidad variable, generadores

cenidet

22

Captulo 2

Sistemas de conversin de energa elica

sncronos con alto nmero de polos bien sean electroimanes o imanes permanentes [27].

Este tipo de generador multipolos evita el uso de caja multiplicadora debido a que al disponer de un gran nmero de polos, su velocidad de sincronismo es baja y perfectamente compatible con la velocidad del rotor de la turbina elica.

En aerogeneradores de pequea potencia (hasta 12 kW) se utilizan mayormente generadores sncronos de imanes permanentes. Esto es debido principalmente a su robustez y su bajo mantenimiento, evitan el uso de cajas multiplicadoras, aunque su precio es algo mayor. Este tipo de generadores se est utilizando cada vez ms en aerogeneradores de gran potencia debido a lo reducido de su peso y volumen al utilizar imanes con gran magnetismo [15].

2.5 Convertidores multinivel


El incremento de la demanda mundial de energa exige la aparicin de nuevas topologas de convertidores y de nuevos dispositivos semiconductores capaces de manejar grandes cantidades de potencia. La ltima generacin de dispositivos semiconductores son capaces de manejar voltajes de 2.5kV y corrientes de 6.5kA como mximo. Sin embargo, existe actualmente una dura competencia entre el uso de topologas clsicas de convertidores de potencia, con dispositivos de alto voltaje, y el uso de nuevas topologas de convertidores utilizando dispositivos semiconductores con capacidad de manejo de voltajes medios [28]. Los convertidores multinivel presentan grandes ventajas comparados con los convencionales (de dos niveles), entre estas, la ms atractiva es la relacionada a la calidad de las ondas de salida generadas (Figura 2 . 8). Entre ms elevado es el nmero de niveles, la calidad de la onda de salida mejora, reduciendo la distorsin

cenidet

23

Captulo 2

Sistemas de conversin de energa elica

armnica total (THD: Total Harmonic Distortion). Adems, puede manejar una potencia nominal mayor que en los convertidores convencionales.

Figura 2 . 8 Comparativa de seales en convertidores (a) y (b) de dos y tres niveles (c) multinivel

Estas propiedades hacen a los convertidores multinivel muy atractivos para la industria. Actualmente investigadores alrededor del mundo realizan grandes esfuerzos para mejorar el desempeo de los convertidores multinivel: simplificando el control y optimizando los algoritmos usados para reducir la THD. Muchas personas trabajan en el desarrollo de nuevas topologas, ya sea hibridas o nuevas y en el desarrollo de nuevas estrategias de control [28].

cenidet

24

Captulo 2

Sistemas de conversin de energa elica

2.4.1 Topologas existentes para convertidores multinivel


Las topologas de convertidores multinivel ms comunes son: Convertidor multinivel de diodos de enclavamiento (NPC) Convertidor multinivel de condensadores flotantes (FC) Convertidor multinivel en cascada (CHB) [28]

2.4.1.1 Convertidor multinivel de diodos de enclavamiento

La funcin principal de un inversor multinivel de diodos de enclavamiento (DCMLI) es sintetizar una onda sinusoidal a partir de varios niveles de tensin, normalmente obtenidos de condensadores que funcionan como fuentes de CD. Los

condensadores utilizados se conectan en serie para dividir la tensin, de esta manera los dispositivos de potencia operan con una tensin menor entre terminales. La salida de tensin se obtiene conectando la carga entre los puntos A y B formando un puente completo (Figura 2 . 9).

Figura 2 . 9 Estructura monofsica del convertidor con diodos de enclavamiento

Como se observa en la Figura 2 . 9, se emplean dos condensadores (C1 y C2) para dividir la tensin proporcionada por la fuente (Vcd) que alimenta este inversor. Debido a su principio de operacin los diodos de enclavamiento pueden llegar a manejar la tensin de ms de un nivel, aunque los interruptores principales slo manejen la tensin de un
cenidet 25

Captulo 2

Sistemas de conversin de energa elica

solo nivel. Lo anterior provoca que se tenga que utilizar la conexin en serie de diodos para repartir las tensiones. Una ventaja de esta topologa es que el control es simple; una desventaja es que entre ms se incrementa el nmero de niveles en el inversor, se dispara el costo por la excesiva cantidad de diodos que se requieren [29]. Particularmente los convertidores multinivel con diodos de enclavamiento han encontrado un mercado importante en aplicaciones convencionales tales como: accionamiento de motores de CA de gran potencia, cintas transportadoras, bombas, ventiladores y molinos [30].
2.4.1.2 Convertidor multinivel de condensadores flotantes

El convertidor multinivel de condensadores flotantes (FCMLI), se considera la alternativa ms cercana de la topologa de diodos de enclavamiento. Para este tipo de inversor multinivel, la salida puede expresarse como las posibles combinaciones de conexin de los condensadores de los que se compone. Su estructura (Figura 2 . 10) es parecida al DCMLI pero utiliza condensadores en lugar de diodos para establecer los niveles de tensin.

Figura 2 . 10 Convertidor multinivel con condensadores flotantes

Una diferencia con el convertidor de diodos de enclavamiento es que en este caso no se requieren de diodos extras. Por medio de las conmutaciones adecuadas se proporciona a la salida la tensin presente en los condensadores. Esta topologa limita de manera

cenidet

26

Captulo 2

Sistemas de conversin de energa elica

natural los incrementos de voltaje de los dispositivos e introduce ms estados de conmutacin, que pueden ser usados para mantener balanceada la carga de los condensadores. Las desventajas de este tipo de inversor son: la necesidad de cargar los condensadores antes de empezar a operar como inversor, lo que implica una posible secuencia de carga o arranque o utilizar algn sistema externo para monitorear la carga de los condensadores y mantenerlos a la tensin deseada. Para un nmero elevado de niveles se deben usar muchos condensadores. El control del inversor es complicado cuando el nmero de niveles es alto, ya que se debe mantener un nivel de tensin en los condensadores y a la vez realizar la operacin como inversor. Los convertidores multinivel con condensadores flotantes, son ms utilizados para aplicaciones donde se requieren frecuencias de conmutacin altas, con un ancho de banda amplio [29].
2.4.1.3 Convertidor multinivel en cascada

Esta topologa realiza la misma funcin que las anteriores, genera una tensin senoidal a partir de distintas fuentes de CD y su estructura se basa en la conexin en cascada de inversores puente completo. Este tipo de configuracin es muy utilizada en aplicaciones en fuentes de CA y variadores de velocidad. El xito de esta ltima topologa se debe a su capacidad de expansin en serie [31].

Va Vcd1

Vcd2

Figura 2 . 11 Convertidor multinivel en cascada

cenidet

27

Captulo 2

Sistemas de conversin de energa elica

Este tipo de inversor (Figura 2 . 11) evita el uso de diodos de enclavamiento o condensadores de balanceo de tensin. Es posible obtener una mnima distorsin armnica al controlar los ngulos de disparo de los diferentes niveles de tensin. Las principales caractersticas de la topologa de inversor multinivel de puentes completos en cascada son: a) La tensin de fase es la suma de las tensiones de salida de los inversores puente completo individuales. b) Gran flexibilidad para poder incrementar el nmero de niveles, ya que slo se necesita agregar inversores sin tener que redisear la etapa de potencia. c) Conforme aumenta el nmero de niveles, la tensin que soportan los dispositivos semiconductores disminuye, debido a que cada inversor maneja solo la tensin presente en su fuente de alimentacin. d) Es posible balancear las prdidas por conmutacin, ya que dependiendo del nmero de niveles es posible que diferentes conexiones de inversores puente completo proporcionen la misma tensin en la salida del inversor multinivel [32]. En el caso de esta topologa, el nmero de niveles n se calcula con base en las fuentes de CD (s) que tengamos, de acuerdo a (1): = 2 + 1 . . 1 Para un convertidor monofsico formado por un solo puente completo tendremos: = 2 1 + 1 = 3 Como se tiene un puente completo, lo nico que se requiere para alimentarlo es una fuente de CD. Por consiguiente se obtiene un inversor de tres niveles, en el caso de que se quieran ms niveles, es cuestin de agregar los puentes completos deseados y las fuentes que los alimentan. La tensin en la salida se obtiene por medio de la suma de las tensiones que cada inversor individual proporciona, entonces la tensin de fase se puede expresar como (2):

cenidet

28

Captulo 2

Sistemas de conversin de energa elica

= 1 + 2 + + 1 + . (2) Para cada topologa existen diferentes mtodos de control y cada uno depende fuertemente de la aplicacin. Los convertidores multinivel tienen muy buenas ventajas, y se pueden obtener resultados mejores, si se aplica una estrategia de control adecuada. Para este trabajo de tesis se consider el convertidor multinivel en cascada por sus ventajas y adems porque su diseo es modular [31].

cenidet

29

Captulo 3
Diseo e implementacin de la maqueta experimental
3.1 Introduccin
En este captulo se presenta el diseo del convertidor multinivel, el desarrollo de la etapa de control del mismo, implementado en un arreglo de compuertas programables en campo (FPGA) y la forma en que se conect el generador multipolos con el inversor multinivel. El generador multipolos est basado en una generador de induccin doblemente alimentada (DFIG).

3.2 Configuracin del generador multipolos


El generador multipolos se implement en un DFIG, el cual se muestra en la Figura 3.
1. La razn para implementar el sistema generador en una mquina de induccin es

que sta cumple con las condiciones que se buscan en el diseo del sistema, ya que es un equipo de baja potencia y adems de fcil manejo.

Figura 3. 1 DIFG utilizado como generador multipolos

cenidet

30

Captulo 3

Diseo e implementacin

En el generador de la figura anterior se tiene la opcion de operar con dos o cuatro polos. En la Figura 3. 2 se observan los devanados disponibles en el estator del DFIG.

Figura 3. 2 Esquema de los devanados en el estator para mquinas de CA

Al establecerse un puente entre U2-U5, V2-V5 y W2-W5, las bobinas asociadas a esos devanados se colocan en serie y, por lo tanto, el generador funciona con dos polos. Al remover el puente, cada bobina queda independiente y se obtiene el funcionamiento como un generador de cuatro polos con devanados separados. De acuerdo a la bibliografa revisada, existen varios tipos de generadores disponibles para aplicaciones elicas [33], [14], [21], [17], [34] y [35]. Con base en el estudio realizado, un generador sncrono de imanes permanentes (PMSG), es la mejor opcin para implementar la maqueta experimental; sin embargo, en cenidet no se cuenta con una mquina de este tipo y por esa razn se ha trabajado anteriormente con un DFIG [5] y [6]. Para comprender el concepto de generador multipolo es necesario trasladarnos al concepto y estructura bsica de una mquina elctrica. En una mquina elctrica, tenemos un rotor y estator; el rotor es la parte que siempre est en movimiento mientras que, el estator es fijo. Debido a los campos magnticos que se generan en el rotor al girar y que cortan las lneas de flujo de las bobinas del estator, en las
cenidet 31

Captulo 3

Diseo e implementacin

bobinas del estator se induce un voltaje de cierta magnitud y frecuencia, dependiendo de la velocidad del rotor. Las bobinas del estator de la mquina de induccin tienen terminales de salida donde se puede medir el voltaje inducido. En un WECS los generadores son conectados a un convertidor de potencia el cual, de acuerdo a la topologa empleada, proporciona energa para ser almacenada o distribuida. En la Figura 3. 3, se puede observar que naturalmente una bobina nos produce dos polos, N y S. Cuando se trata de una conexin trifsica, se tiene una bobina por cada fase (o dos polos por fase). Podemos esperar seis terminales a las que se conecta alguna carga (Figura 3. 4).

Figura 3. 3 Generador con dos polos (un devanado)

Figura 3. 4 Conexiones disponibles para un generador trifsico con dos polos

cenidet

32

Captulo 3

Diseo e implementacin

En este caso, por las caractersticas de la mquina elctrica, cuando se opere con cuatro polos, se tendrn disponibles 12 terminales o lo que es lo mismo seis devanados separados del estator .En la Figura 3. 5 se puede observar las terminales del estator.

Figura 3. 5 Conexiones disponibles en el DFIG

El DFIG est basado en una mquina de induccin doblemente alimentada, su matrcula es DL10280, con una potencia nominal de HP (aproximadamente 372W), para un voltaje nominal trifsico de 42Vrms. La conexin de los bobinados del rotor y estator es en estrella (Y) con una relacin de vueltas de 1:1. Es importante mencionar que el generador multipolos proporciona seis seales de frecuencia y amplitud variable, una por cada devanado del estator. En la Figura 3. 6 se observa que, para cierta velocidad el generador proporciona una seal con una amplitud de 36.8 Vrms a una frecuencia de 76 Hz aproximadamente; sin embargo, al cambiar la velocidad del emulador de turbina elica se obtiene una onda de voltaje de menos amplitud 34.1 Vrms y mayor frecuencia 89 Hz (Figura 3. 7).

cenidet

33

Captulo 3

Diseo e implementacin

Figura 3. 6 Seales de voltaje medidas en el estator del generador multipolos

Las seales de voltaje se midieron en tres de los seis devanados del estator, debido a que el osciloscopio slo cuenta con cuatro canales no fue posible anexar las formas de onda de todas las seales.

Figura 3. 7 Seales de voltaje al variar la velocidad en el emulador de turbina elica

cenidet

34

Captulo 3

Diseo e implementacin

En un WECS real de velocidad variable sucede lo mismo que con las formas de ondas de la Figura 3. 6 y Figura 3. 7: la amplitud de las ondas es variable en el tiempo y tambin la frecuencia de la onda de acuerdo a los cambios de velocidad en la turbina elica. Es por eso que los sistemas de conversin utilizan un convertidor para ajustar la frecuencia del voltaje entregado a fin de que esta sea constante.

3.3 Diseo del convertidor multinivel


Un inversor multinivel en cascada se caracteriza por tener dos o ms circuitos puente completo conectados en serie. Por la configuracin que tiene el generador, se pueden alimentar dos puentes completos por cada fase. Antes de continuar es importante definir el concepto de puente completo, que es un convertidor de cuatro cuadrantes que permite el paso de la corriente en un sentido u otro. El puente H como tambin es llamado, est formado por cuatro interruptores. En la Figura 3. 8 se observa la estructura de un puente completo. El trmino Puente H proviene de su representacin grfica tpica.

S11

S13

Va Vcd1
S12 S14

Vb

Figura 3. 8 Estructura bsica de un puente completo

Como se observa en la figura anterior, un puente completo es alimentado por una fuente de CD o bus de CD. El nivel de tensin del bus de CD se determina con base en el voltaje que requiere la carga. Las terminales Va y Vb son las de la carga. El voltaje en la carga tiene una polaridad cuando se conmutan 11 y 13 , esta polaridad se invierte cuando se activan 12 y 14 . En total se tienen dos polaridades
cenidet 35

Captulo 3

Diseo e implementacin

posibles: positiva y negativa. Cuando los transistores se encuentran apagados la salida queda flotando. Si se aade otro puente completo en serie, se incrementa el nmero de niveles a la salida del convertidor.

Figura 3. 9 Inversor de cinco niveles en cascada y la forma de onda generada

Como se observa en la Figura 3. 9, al aadir el puente H en cascada se incrementa el nmero de fuentes requeridas para alimentar el convertidor de cinco niveles. El generador multipolos proporciona dos seales de voltaje de CA, de amplitud y frecuencia variable por cada fase. En total se requieren seis fuentes de voltaje de CD para alimentar el convertidor multinivel trifsico (Figura 3. 10). Este es el motivo de incluir el rectificador no controlado en el diseo del inversor multinivel.

Convertidor Multinivel
Fase A Fase B Fase C

Vcd1 Vcd3

Vcd5

Vcd2 Vcd4

Vcd6

Figura 3. 10 Esquema del convertidor multinivel trifsico

cenidet

36

Captulo 3

Diseo e implementacin

En el esquema de la figura anterior no se incluye el circuito rectificador, ya que por lo regular se utilizan fuentes de voltaje separadas para alimentar los puentes completos. Esto es caro e imprctico. En este trabajo de tesis se propone un circuito que no depende de fuentes externas sino de la energa del generador multipolos, lo cual representa una ventaja sobre otras topologas usadas hasta el momento. Para rectificar las seales de CA, se utiliz un rectificador no controlado usando el circuito integrado DB102 y un capacitor electroltico de 1000 para filtrar la tensin. El rectificador de onda completa (DB102) soporta tensiones de hasta 150 Vrms y corrientes del orden de los 2 Amperes. El esquema del circuito utilizado para rectificar la seal, se muestra en la Figura 3. 11.

Figura 3. 11 Circuito rectificador y regulador

Adems del rectificador no controlado, se agreg el regulador de voltaje LM7805 que proporciona en su salida 5 , usados para alimentar las compuertas internas del CI L298N. Continuando con el diseo del inversor, se busc satisfacer ciertas necesidades de diseo; por ejemplo, se requera un circuito integrado que internamente tuviera un puente completo de baja potencia y que ofreciera la capacidad de controlar las

cenidet

37

Captulo 3

Diseo e implementacin

conmutaciones de una manera sencilla. Esto llev a escoger el circuito integrado L298N que se muestra en la Figura 3. 12.

Figura 3. 12 Circuito integrado L298N

El circuito integrado de la Figura 3. 12 est compuesto de un conductor en puente completo de alto voltaje y alta corriente, diseado para aceptar la norma de niveles lgicos TTL y cargas inductivas tales como rels, solenoides, motores de CD y paso a paso. Su diagrama interno se muestra en la Figura 3. 13.

Figura 3. 13 Diagrama interno del CI L298

Dos entradas de habilitacin (EnA y EnB en la Figura 3. 13) permiten activar o desactivar el dispositivo, independientemente de las seales de entrada. Se agrega

cenidet

38

Captulo 3

Diseo e implementacin

una entrada adicional de menor tensin para referenciar las compuertas que funcionan con 5 volts, razn por la cual se agreg el regulador de tensin (Figura 3. 11) al diseo del inversor multinivel. Las caractersticas del CI L298N se muestran en la
Tabla 3. 1.

Tabla 3. 1 Caractersticas elctricas del CI L298N

A continuacin en la Figura 3. 14, se muestra el esquemtico del diseo completo del convertidor multinivel, incluyendo el rectificador y regulador de voltaje.

Figura 3. 14 Esquemtico del convertidor de cinco niveles monofsico

cenidet

39

Captulo 3

Diseo e implementacin

Figura 3. 15 Convertidor de cinco niveles monofsico en circuito impreso

El resultado del diseo se muestra en la Figura 3. 15 donde se puede ver la placa de circuito impreso del convertidor multinivel en su versin monofsica.

Figura 3. 16 Convertidor multinivel conectado al generador multipolos

cenidet

40

Captulo 3

Diseo e implementacin

En la Figura 3. 16 se observa la forma en que el convertidor multinivel se conect al generador multipolos y al modulador FPGA. En la Figura 3. 17 se observa el convertidor multinivel trifsico.

Figura 3. 17 Convertidor multinivel trifsico

El resultado del proceso de diseo del convertidor multinivel es una maqueta experimental de baja potencia (Figura 3. 17), modular, de bajo costo y funcional.

3.3.1 Tcnica de modulacin PSPWM


Despus de una revisin del estado del arte, se concluy que la tcnica adecuada de modulacin para convertidores multinivel en cascada es la de modulacin por ancho de pulso con portadoras desfasadas (PSPWM). La caracterstica principal de esta tcnica es la de realizar corrimientos de fases entre portadoras con la finalidad de enviar el rizo de conmutacin a frecuencias mucho ms altas que la de conmutacin; debido a esto se puede reducir la THD en el voltaje de salida [31]]. Otra caracterstica importante es la capacidad para variar la amplitud de la tensin de salida, lo cual se lleva a cabo variando el ndice de modulacin en amplitud. Si tomamos en cuenta que en ocasiones existen desbalances entre las tensiones requeridas por l inversor y las tensiones proporcionadas por el generador, entonces
cenidet 41

Captulo 3

Diseo e implementacin

se puede compensar el desbalance modulacin.

entre ambas modificando el ndice de

Existe un parmetro con el que podemos estimar la frecuencia de conmutacin de los interruptores: es el ndice de modulacin en frecuencia y se define como: = . (3)

De acuerdo a estudios previos se recomienda que este sea mayor a 21. En particular se propuso un = 50, para no estresar trmicamente a los interruptores [31]. De acuerdo al nmero de niveles se calcula el nmero de seales portadoras, se requieren n-1 seales portadoras por cada nivel ( = ), lo anterior por fase. Ejemplo: Para un inversor de 9 niveles ( = 9) Portadoras = n-1 = 8 Debe recordarse que son cuatro seales portadoras por fase.
3.3.1.1Desfase entre seales

Las seales portadoras tienen un desfase entre s, de acuerdo al nmero de niveles que se tenga en el inversor. El desfasamiento se calcula con (4): = 360 . . (4) 1

Para un convertidor de cinco niveles, el desfasamiento entre portadoras es: = 360 = 90 51

cenidet

42

Captulo 3

Diseo e implementacin

Se requiere una seal moduladora por cada fase del sistema. En este caso la maqueta es un sistema trifsico, por lo cual se tienen tres seales moduladoras desfasadas 120 . A continuacin en la Figura 3. 18 se muestran las seales portadoras y moduladoras para el caso de un sistema monofsico de cinco niveles, las seales estn desfasadas 90 . Otro elemento que es necesario tomar en cuenta es el nmero de circuitos comparadores, encargadas de generar el patrn de conmutacin de los interruptores. El nmero de circuitos usados por fase esta dado por (5): = 1 . (5)

Figura 3. 18 Formas de onda de la tcnica PSPWM

En la Figura 3. 18 se observan: a) seales portadoras y moduladora, de b) a e) la comparacin de las seales y f) la forma de onda de salida.

cenidet

43

Captulo 3

Diseo e implementacin

3.3.2 Implementacin del modulador


Para el control del convertidor multinivel se implement un modulador en un FPGA Spartan 3 como el de la Figura 3. 19. Los patrones de conmutacin generados en el modulador obedecen a la tcnica PSPWM. Se describe el lenguaje utilizado y la programacin del FPGA.

Un FPGA es un dispositivo que contiene bloques lgicos cuya interconexin y funcionalidad se pueden configurar de acuerdo a lo que se desee realizar. La lgica programable puede reproducir operaciones tan sencillas como las llevadas a cabo por una compuerta lgica (and,or, etc.) o hasta un sistema tan complejo como el de un microprocesador [36].

Figura 3. 19 FPGA utilizado para implementar el modulador que controla las seales de encendido y apagado de los interruptores ubicados en el inversor multinivel.

Las especificaciones del FPGA son [37]: o Matrcula XC3S400 o Arreglo de compuertas 400 000 o 264 Entradas/Salidas o 116 Entradas/Salidas diferenciales o 4 Administradores de reloj digital (DCM) o Reloj de 50 MHz
cenidet 44

Captulo 3

Diseo e implementacin

o Socket para un reloj auxiliar


o o o o o o o o o o o

2 Mbit de memoria programable de solo lectura (PROM) 1 Mbit de memoria no voltil Puerto para mouse PS/2 Puerto VGA Conector hembra DB9 Cuatro displays de siete segmentos Ocho interruptores deslizables Ocho LEDs de salida Cuatro push-bottoms Tres conectores de expansin Reguladores de voltaje a 3.3 , 2.5 1.2

El lenguaje de programacin empleado es VHDL (es la combinacin de VHSIC que significa Circuitos integrados de muy alta velocidad y HDL que significa Lenguaje de descripcin de hardware) [38].

VHDL Para programar en este lenguaje, es necesario seguir el flujo de diseo el cual incluye tres actividades principales:

1. Introduccin/Descripcin del diseo 2. Realizacin/Implementacin del diseo 3. Programacin del dispositivo [39]

Se describen brevemente los tres puntos anteriores:

cenidet

45

Captulo 3

Diseo e implementacin

1.- En el caso de la introduccin del diseo, esta se puede efectuar mediante la captura de esquemticos o mediante la descripcin basada en lenguaje. Despus de realizar la descripcin del diseo, se verifica la funcionalidad de la lgica.

2.- Durante la implementacin del diseo, se realizan varios procesos como: sntesis del diseo, verificacin de reglas de diseo, mapeo de la lgica, colocacin de la lgica en los bloques configurables, enrutamiento y la creacin del archivo de programacin. De igual forma se puede depurar el cdigo mediante el uso de otros programas.

3.- Despus de la creacin del archivo de programacin, el FPGA est listo para ser programado e implementar el algoritmo en el dispositivo. El programa ModelSim fue empleado para realizar la simulacin y compilacin del cdigo VHDL, con el fin de verificar que este funcionaba correctamente y adems, al usar este programa se asegura que la herramienta Xilinx Ise puede sintetizar el cdigo [40].

cenidet

46

Captulo 4
Resultados

4.1 Introduccin
En este captulo se presentan los resultados experimentales y de simulacin, utilizando el convertidor multinivel y el generador multipolos mostrado en el captulo 3. Cabe mencionar que las simulaciones no siempre son aproximadas a la realidad, ya que en ellas no se consideran muchos factores que en el mundo real se encuentran presentes. Durante las pruebas realizadas, nicamente se vario la velocidad del emulador de turbina elica y el ndice de modulacin . La carga se mantuvo constante durante todo el tiempo.

cenidet

47

Captulo 4

Resultados

4.2 Resultados en simulacin


4.2.1 Simulacin del inversor multinivel
Se llev a cabo la simulacin del inversor de cinco niveles, en la Figura 4. 1 se muestra slo una fase del circuito completo.

Figura 4. 1 Esquema del inversor multinivel monofsico realizado en PSim v7.0

La simulacin del circuito es completamente ideal, ya que no se agregan los retardos en las seales de conmutacin, los desbalances en el bus de CD y por ser ideales, los interruptores no tienen prdidas.
cenidet 48

Captulo 4

Resultados

En la Figura 4. 2 se muestra la seal de salida de la fase A para un convertidor de cinco niveles.

Figura 4. 2 Voltaje (azul) y corriente (rojo) en una rama del inversor multinivel

En la Figura 4. 2 se observa que el voltaje y la corriente se encuentran en serie, por ser una carga resistiva. La frecuencia de conmutacin utilizada es de 1 kHz. Para una frecuencia de conmutacin de 3.5 kHz, los voltajes del convertidor multinivel se observan en la Figura 4. 3.

Figura 4. 3 Seales de voltaje del convertidor multinivel trifsico

cenidet

49

Captulo 4

Resultados

El desfase entre los voltaje de la figura anterior es de 120.

Figura 4. 4 Voltaje en una fase del convertidor multinivel

En la figura 4.4 se muestra el valor del voltaje cuando el bus de CD tiene un valor de 20 Volts. Como se observa en la figura, el valor eficaz de la seal es de aproximadamente 24 Vrms. Al aumentar el valor de la fuente de CD el valor del voltaje eficaz aumenta y se presenta en la figura 4.5.

Figura 4. 5 Seal de voltaje al aumentar la tensin en el bus de CD

cenidet

50

Captulo 4

Resultados

Se clculo la THD con la ecuacin 4.1, para la forma de onda de la Figura 4. 5 dando como resultado una distorsin del 35%. El valor de la THD puede disminuir aumentando el nmero de niveles del convertidor multinivel.
2

100 . (6)

A continuacin, en la Figura 4. 6 se muestra el espectro de Fourier para la seal de voltaje del convertidor de cinco niveles:

Figura 4. 6 Espectro de Fourier para seal de salida de la fase A del inversor de cinco niveles

Como se observa en la Figura 4. 6, el primer grupo de los armnicos se presenta una frecuencia de 12 y de ah a mltiplos de esa frecuencia. La presencia de armnicos puede provocar un aumento de las prdidas debido a la disminucin del factor de potencia, averas y mal funcionamiento.

cenidet

51

Captulo 4

Resultados

Al hacer el clculo de la THD para la forma de onda de un convertidor de tres niveles, esta resulta de 55%, la cual es mucho mayor que para el convertidor de cinco niveles. En la Figura 4. 7 se muestra el espectro de Fourier de la seal de salida del convertidor de tres niveles.

Figura 4. 7 Espectro de Fourier de la salida del convertidor de tres niveles

Se puede ver en la Figura 4. 7 que los armnicos se presentan a una frecuencia menor en comparacin con la Figura 4. 6. Al incrementar el nmero de niveles los armnicos aparecen cada vez a frecuencias ms altas y su magnitud es menor. Es decir, entre mayor sea el nmero de niveles, se obtiene una menor THD.

4.2.2 Simulacin del modulador


De la misma forma que se simul el convertidor multinivel, se llev a cabo la simulacin del modulador implementado en el FPGA [41]. La intencin era obtener los patrones de conmutacin despus de describir en lenguaje VHDL el algoritmo de control que emplea la tcnica PSPWM.

cenidet

52

Captulo 4

Resultados

La simulacin arroj la siguiente imagen, donde se aprecian los patrones de conmutacin.

Figura 4. 8 Patrones de conmutacin simulados

En la Figura 4. 8 se muestran los patrones de conmutacin que resultan de la simulacin del cdigo programado en lenguaje VHDL. Como se observa son doce seales de control, las seales de abajo representan algunos contadores y la seal de reloj de referencia.

cenidet

53

Captulo 4

Resultados

4.2.3 Resultados experimentales


Antes de probar el generador multipolos acoplado con el convertidor multinivel, fue necesario comprobar que el modulador proporcionaba correctamente los patrones de conmutacin. A continuacin se muestran los patrones obtenidos directamente de los pines de salida del FPGA.

Figura 4.9 Figura 4. 9 Patrones de conmutacin para el convertidor multinivel

Se presentan solamente dos de las seales de conmutacin (Figura 4. 9) debido a que el osciloscopio no cuenta con canales suficientes para mostrar el total de ellas, que son doce. En simulacin los interruptores se conmutan a una frecuencia de 3.5 ; en la implementacin se observa que existe una diferencia en cuanto al valor medido que se muestra en el osciloscopio.
cenidet 54

Captulo 4

Resultados

Una vez que las seales de control estuvieron listas, se conect el sistema para realizar las pruebas. El protocolo de pruebas consisti en variar la velocidad del emulador de turbina elica para validar que el convertidor multinivel responde de manera correcta ante los incrementos y decrementos del voltaje en las terminales del generador multipolos. El emulador de turbina elica consiste en el motor de CD que aparece en la Figura 4.
10, el cual se encuentra acoplado al eje del rotor del generador multipolos. Por ser un

motor de campos separados, se alimenta con dos fuentes independientes de voltaje, una de ellas se mantiene con una tensin constante (campo), mientras que la otra fuente se vara para obtener los cambios en la velocidad deseada.

Modelo: CD3475 Potencia: 3 4 Voltaje de armadura: 90 Voltaje de campo: 100/50 Corriente de armadura: .6/1.2 Amperes RPM: 1750

Figura 4. 10 Emulador de turbina elica y sus caractersticas elctricas

El emulador de turbina elica funciona en un intervalo amplio de velocidades. Al hacerlo funcionar en su velocidad mnima (200 rpm) en la carga se obtiene la seal de voltaje que se observa en la Figura 4. 11.

cenidet

55

Captulo 4

Resultados

Figura 4. 11 Seal de voltaje en una rama del convertidor multinivel

El valor del voltaje en la Figura 4. 11 es bajo porque la velocidad se encuentra cerca del lmite menor de la velocidad de funcionamiento del emulador; a pesar de eso, el convertidor multinivel funciona bien, mantiene la seal escalonada de cinco niveles.

Figura 4. 12 Seal de voltaje en la fase A del convertidor multinivel

Al aumentar la velocidad en el emulador de turbina elica, aumenta visiblemente el valor del voltaje (Figura 4. 12) en la seal de salida del convertidor multinivel.
cenidet 56

Captulo 4

Resultados

Figura 4. 13 Seales de dos fases del convertidor multinivel

Hay que recordar que, adems de responder a los cambios de velocidad en el emulador de turbina, el inversor multinivel debe mantener la frecuencia de la seal de salida. En la Figura 4. 13 se observa que el periodo de la seal es de 16.66 ms, el cual corresponde a una frecuencia de 60 Hz, lo que nos asegura que el convertidor mantiene tanto la forma de onda deseada como la frecuencia.

Figura 4. 14 Seal trifsica en la salida del convertidor multinivel

cenidet

57

Captulo 4

Resultados

En la Figura 4. 14 se muestran las formas de ondas en el convertidor multinivel trifsico. De nueva cuenta, al variar la velocidad en el emulador de turbina elica, el cambio se refleja en la salida del convertidor al aumentar la amplitud del voltaje. El conjunto que forma el convertidor multinivel con el generador multipolos, funcion favorablemente en todo el intervalo de velocidades que va de 200 a 1900 , proporcionado por el emulador de turbina.

Figura 4. 15 Seal de corriente en la carga (verde) y voltajes en la carga

Por ser una carga totalmente resistiva (foco), el voltaje se encuentra en fase con la corriente (Figura 4. 15). La corriente mxima que se tuvo en el convertidor multinivel lleg al orden de los 500 mA.

cenidet

58

Captulo 4

Resultados

Figura 4. 16 Variacin del voltaje y corriente al aumentar el ndice de modulacin

Variar la velocidad del emulador de turbina elica aumenta el voltaje en la carga del inversor multinivel. Sucede lo mismo si se vara el ndice de modulacin m, esto se puede ver en la Figura 4. 16. Al variar m de .70 a .80 se logr aumentar el Vrms en la carga.

cenidet

59

Captulo 4

Resultados

Figura 4. 17 Voltajes en la carga

Los voltajes de lnea o fase que se muestran en la Figura 4. 17, se encuentran desfasados 120.

Figura 4. 18 Voltaje en la fase a, b y voltaje entre fases ab

Al medir el voltaje entre fases a-b, se obtiene la seal escalonada de nueve niveles que aparece en la Figura 4. 18.

cenidet

60

Captulo 4

Resultados

Figura 4. 19 Voltajes entre fases

Al igual que sucede con los voltajes de lnea, los voltajes entre fase se encuentran desfasados 120 (Figura 4. 19). Al analizar las seales obtenidas en el dominio de la frecuencia se obtuvieron las siguientes observaciones: En todos los anlisis del espectro de Fourier para las seales obtenidas en el convertidor multinivel, se observa un resultado similar al que se muestra en la Figura
4. 20. Cuando se aumenta la velocidad en el emulador de turbina elica se aumenta

la magnitud de la fundamental, y ligeramente la magnitud de los armnicos que se encuentran a alta frecuencia. Se observa que las bandas laterales de los armnicos aparecen a la frecuencia de conmutacin de los interruptores que es 3.5 kHz y despus de esto a mltiplos de esa frecuencia. Cuando se modifica el ndice de modulacin se modifica tambin la magnitud de la fundamental.

cenidet

61

Captulo 4

Resultados

Al analizar el espectro de Fourier de las seales obtenidas en el osciloscopio se obtienen los siguientes resultados que se muestran en las Figura 4. 20.

Figura 4. 20 Anlisis espectral para la una fase

cenidet

62

Captulo 4

Resultados

Del anlisis espectral se obtienen las siguientes tablas:


Tabla 4. 1 Relacin entre la velocidad del emulador de turbina elica y la amplitud de la fundamental

Velocidad (RPM) 400 1100 1754

Amplitud de la fundamental (volts) Para m = 0.60 Para m = 0.75 22.58 37.80 26.64 44.91 30.87 52.14

Tabla 4. 2 Relacin entre el voltaje entre fases y la amplitud de la fundamental

Velocidad (RPM)

Amplitud de la fundamental (volts) Para m = 0.80 54.12 61.09

400 1754

cenidet

63

Captulo 5
Conclusiones
En este captulo se describen las conclusiones a las que se lleg durante el desarrollo del tema de tesis.

5.1 Conclusiones del trabajo


En este trabajo de tesis se present una topologa diferente para sistemas de conversin de energa elica, en comparacin con los ya implementados en cenidet. La topologa consiste en un generador multipolos en cascada con un convertidor multinivel. Fue necesario disear e implementar el convertidor de baja potencia para verificar las ventajas de esta topologa. Las observaciones del trabajo son: El emulador de turbina elica funciona en un intervalo de

200 1800 . En ese rango el generador multipolos alimenta al convertidor multinivel y proporciona en la salida (carga), una seal de voltaje con una frecuencia constante de 60Hz. La amplitud del voltaje depende de la velocidad del emulador de turbina elica. La tcnica de modulacin PSPWM que controla el encendido y apagado de los interruptores en el convertidor multinivel, enva los armnicos a una frecuencia mayor que la de conmutacin. Adems, al incrementar el ndice de modulacin o la velocidad del emulador de turbina elica se obtiene un mayor voltaje en la carga. El nmero de niveles del convertidor est directamente relacionado con la cantidad de polos en el generador.
cenidet 64

Captulo 5

Conclusiones

Se obtuvo una maqueta experimental capaz de emular el funcionamiento de un sistema de conversin de energa elica de baja potencia, en este caso el convertidor multinivel funciona dentro de los parmetros de voltajes y corrientes suministrados por el generador multipolos. La tcnica de modulacin utilizada en el convertidor multinivel ayuda a proporcionar un mayor voltaje, al aumentar el ndice de modulacin lo que puede ser utilizado en sistemas donde se tengan que satisfacer una demanda de energa alta. El modulador se implement con buenos resultados ya que se pudo controlar de manera adecuada las tres fases del convertidor multinivel, logrando mantener una seal escalonada de frecuencia constante a pesar de las variaciones en la velocidad del emulador de turbina elica.

5.2 Trabajos futuros


Se enlistan a continuacin los trabajos sugeridos para continuar con el trabajo de tesis. Agregar un rectificador controlado que tenga la capacidad de mantener constante el voltaje del bus de CD, para que los voltajes proporcionados a la carga se mantengan balanceados. Implementar un algoritmo de control en el FPGA para controlar el encendido y apagado de los interruptores en el convertidor multinivel que sea capaz de compensar los ligeros desbalances en el bus de CD, programado en VHDL Implementar el generador multipolos en una mquina de imanes permanentes, conectada al convertidor multinivel para comparar los resultados con los obtenidos en este trabajo. Realizar el mismo esquema de desarrollo con un inversor que soporte mayor potencia y que tenga un nmero de niveles superior. El cual pueda ser conectado a la red elctrica.

cenidet

65

Anexos

Referencias
[1] AMDEE, El viento: en el mundo, 2010. *Online+. Available: http://amdee.wsiefusion.net/Viento/En_el_Mundo. SENER, Energias Renovables para el Desarrollo Sustentable en Mxico, 2006. *Online+. Available: http://www.sener.gob.mx/webSener/res/PE_y_DT/fe/e_renovables_mexico.pdf. IIE, Mquina Elica Mexicana, 2008. *Online+. Available: http://www.iie.org.mx/boletin022008/breves03.pdf. [Accessed: 220AD]. C. N. de Energa, Proyectos Eolicos. p. 89, 2006. R. Dominguez Ovando, Emulador de Turbina Elica para Banco de Pruebas de Generacin Elo-elctrica, CENIDET, 2007. D. L. Gonzlez Ojeda, Convertidor Back-to-Back para el Banco de Pruebas de Conversion Eoloelctrica en un Sistema Aislado, CENIDET, 2008. H. Xu and J. Li, FPGA Based Multiplex PWM Generator for Multilevel Converters Applied Wind Power Generator, IEEE, pp. 1-4, Mar. 2009. C. H. Ng, M. A. Parker, L. Ran, P. J. Tavner, and J. R. Bumby, A Multilevel Modular Converter for a Large , Light Weight Wind Turbine Generator, IEEE TRANSACTIONS ON POWER ELECTRONICS, vol. 23, no. 3, pp. 1062-1074, 2008. R. C. Portillo et al., Modeling strategy for back-to-back three-level converters applied to highpower wind turbines, IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, vol. vol, pp. 53no5pp1483-1491, 2006. M. Malinowski, S. Member, S. Stynski, W. Kolomyjski, and M. P. Kazmierkowski, Control of Three-Level PWM Converter Applied to Variable-Speed-Type Turbines, IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, vol. 56, no. 1, pp. 69-77, 2009. J. L. Villate, S. Ceballos, E. Robles, and I. Gabiola, Experimental Validation of Multilevel Converters for Variable Speed Wind Turbines, EPE, pp. 1-8, 2005. M. Yin, G. Li, M. Zhou, and C. Zhao, Modeling of the Wind Turbine with a Permanent Magnet Synchronous Generator for Integration, 2007 IEEE Power Engineering Society General Meeting, pp. 1-6, Jun. 2007.

[2]

[3]

[4] [5]

[6]

[7]

[8]

[9]

[10]

[11]

[12]

cenidet

66

Anexos

[13]

G. Azevedo, Modeling of a Variable Speed Wind Turbine with a Permanent Magnet Synchronous Generator, IEEE International Symposium on Industrial Electronics, no. ISlE, pp. 734-739, 2009. I. Erlich, S. Member, J. Kretschmann, F. Koch, and J. Fortmann, Modeling of Wind Turbines based on Doubly-Fed Induction Generators for Power System Stability Studies, IEEE, pp. 1-8, 2008. H. Polinder, F. F. a. Van Der Pijl, G.-J. De Vilder, and P. J. Tavner, Comparison of Direct-Drive and Geared Generator Concepts for Wind Turbines, IEEE Transactions on Energy Conversion, vol. 21, no. 3, pp. 725-733, Sep. 2006. H. Li and Z. Chen, Design Optimization and Evaluation of Different Wind Generator Systems, ICEMS, 2008. L. H. Hansen, P. H. Madsen, F. Blaabjerg, H. C. Christensen, U. Lindhard, and K. Eskildsen, Generators and power electronics technology for wind turbines, IECON01. 27th Annual Conference of the IEEE Industrial Electronics Society (Cat. No.37243), vol. 0, no. C, pp. 20002005, 2001. M. Popescu, M. V. Cistelecan, L. Melcescu, and M. Covrig, Low Speed Directly Driven Permanent Magnet Synchronous Generators for Wind Energy Applications, IEEE, pp. 784-788, 2007. M. Nemec, D. Nedeljkovid, K. Drobni, and V. Ambroi, Direct Current Control of a Multi-Pole Synchronous Machine, IEEE, pp. 515-519, 2008. S. G, P. H, B. D. J, and F. J. A, Review of Energy Conversion System for Large Wind Turbines, EWEC, 2008. L. H. Hansen, L. Helle, F. Blaabjerg, E. Ritchie, H. Bindner, and P. Srensen, Conceptual survey of Generators and Power Electronics for Wind Turbines, vol. 1205, no. December, 2001. A. D. Hansen, Control strategy of a variable speed wind turbine with multipole permanent magnet synchronous generator, EWEC, 2007. C. I. Hubert, Electric Machines: Theory, Operation, Applications, Adjustment and Control, Segunda Ed. Prentice Hall, 2001. G. Bhag S and H. Huseyin R, Maquinas Elctricas y Trasnformadores, Tercera Ed. Oxford, 2003, p. 720. J. F. Mora, Mquinas Elctricas, Sexta Edic. 2008, p. 808.

[14]

[15]

[16]

[17]

[18]

[19]

[20]

[21]

[22]

[23]

[24]

[25]

cenidet

67

Anexos

[26]

L. S. Vargas, C. Rahmann, and R. Palma-behnke, Anlisis Dinmico de la Operacin de Parques Elicos Interconectados al SIC, pp. 1-7. E. A. Ladrn and M. L. Moran, Dinmica de Aerogeneradores. . L. Franquelo, J. Rodriguez, J. Leon, S. Kouro, R. Portillo, and M. Prats, The age of multilevel converters arrives, IEEE Industrial Electronics Magazine, vol. 2, no. 2, pp. 28-39, Jun. 2008. E. Brcenas Brcenas, Anlisis y desarrollo de un inversor multinivel, Centro Nacional de Investigacin y Desarrollo Tecnolgico, 2002. M. Glinka and R. Marquardt, A New AC / AC Multilevel Converter Family, IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, vol. 52, no. 3, pp. 662-669, 2005. J. A. Garca Estrada, Modulador PWM en FPGA para un inversor multinivel en cascada, 2009. C. A. Sanabria Sanchez, Estrategia PWM implementada en un FPGA para aplicacion en inversores multinivel, Centro Nacional de Investigacin y Desarrollo Tecnolgico, 2004. H. L. Z. Chen, Overview of different wind generator systems and their comparisons, IEEE IET Renewable Power Generation, no. 2007, pp. 123-138, 2008. J. Wang, W. Wang, G. W. Jewel, and D. Howe, Design optimisation of a miniature multi-pole permanent magnet generator - Electrical Machines and Drives, 1999. Ninth International Conference on (Conf. Publ. No. 468), Distribution, no. 468, pp. 128-132, 1999. D. Schulz and E. P. Systems, State-of-the Art of Wind Turbine Electrical Systems and Grid Interconnection, Development Dialogue, 2007. M. A. Reyes and O. Arellano Cardenas, Electrnica digital. 2009. Xilinx, Spartan-3 FPGA Starter Kit Board, Byte, vol. 130, pp. 1-64, 2008. D. L. Perry, VHDL: Programming by Example. . Xilinx, X i l i n x I S E W e b P A C K TM V H D L T u t o r i a l, Main, vol. 99163, no. 509, pp. 116, 2010. V. R. Ricardo and B. I. Ignacio, Laboratorio de Sistemas Digitales Programables II. . Xilinx, ModelSim VHDL Simulation Tutorial, ReVision, vol. 102, 2000. Xilinx, Spartan-3 Generation FPGA User Guide, ReVision, vol. 331, 2010.

[27] [28]

[29]

[30]

[31] [32]

[33]

[34]

[35]

[36] [37] [38] [39]

[40] [41] [42]

cenidet

68

Anexos

Anexo A
Placa de circuito impreso (PCB) del inversor multinivel monofsico

Figura A. 1 Circuito impreso del inversor de cinco niveles

La Figura A. 1 muestra el PCB de convertidor.

cenidet

69

Anexos

La Figura A. 2 muestra la ubicacin de los componentes en la placa de circuito impreso.

Figura A. 2 Vista superior del inversor multinivel

cenidet

70

Anexos

Anexo B
Esquema de simulacin del inversor multinivel trifsico.

Figura B. 1 Esquema de simulacin del inversor multinivel trifsico

cenidet

71

Anexos

Anexo C
Cdigo de programacin en VHDL Programa principal: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.sine_package.all; use work.sine_package2.all; use work.sine_package3.all; use work.sine_package4.all; entity frec_div is PORT (wave_out, wave_out2, wave_out3, wave_out4: out std_logic; CLK,EN : IN BIT; CUENTA, cuenta2, cuenta3, cuenta4: OUT BIT); END frec_div; architecture behavioral of frec_div is signal table_index: table_index_type; signal table_index2: table_index2_type; signal table_index3: table_index3_type; signal table_index4: table_index4_type; SIGNAL VALOR : natural RANGE 0 TO 1000; SIGNAL VALOR2 : natural RANGE 0 TO 1000; SIGNAL VALOR3 : natural RANGE 0 TO 1000; SIGNAL VALOR4 : natural RANGE 0 TO 1000; BEGIN -- PWM 1 PROCESS (CLK,EN) variable table_value2: table_value2_type; BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF (EN='1') THEN IF VALOR = 817 THEN CUENTA <= '1'; VALOR <= 0;
cenidet 72

Anexos

table_value2 := get_table_value2( table_index2 ); wave_out2 <= (table_value2); if table_index2 = 1020 then table_index2<= 0; else table_index2 <= table_index2 +1; end if; ELSE VALOR <= VALOR +1 ; CUENTA <= '0'; END IF; END IF; END IF; END PROCESS; --PWM 2 PROCESS (CLK,EN) variable table_value: table_value_type; BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF (EN='1') THEN IF VALOR2 = 817 THEN CUENTA2 <= '1'; VALOR2 <= 0; table_value := get_table_value( table_index ); wave_out <= (table_value); if table_index = 1020 then table_index<= 0; else table_index <= table_index +1; end if; ELSE VALOR2 <= VALOR2 +1 ; CUENTA2 <= '0'; END IF; END IF; END IF; END PROCESS; --PWM 3 PROCESS (CLK,EN) variable table_value3: table_value3_type; BEGIN IF (CLK'EVENT AND CLK = '1') THEN
cenidet 73

Anexos

IF (EN='1') THEN IF VALOR3 = 817 THEN CUENTA3 <= '1'; VALOR3 <= 0; table_value3 := get_table_value3( table_index3 ); wave_out3 <= not(table_value3);--agregu el "not" if table_index3 = 1020 then table_index3<= 0; else table_index3 <= table_index3 +1; end if; ELSE VALOR3 <= VALOR3 +1 ; CUENTA3 <= '0'; END IF; END IF; END IF; END PROCESS; --PWM 4 PROCESS (CLK,EN) variable table_value4: table_value4_type; BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF (EN='1') THEN IF VALOR4 = 817 THEN CUENTA4 <= '1'; VALOR4 <= 0; table_value4 := get_table_value4( table_index4 ); wave_out4 <= not(table_value4);--agregu el "not" if table_index4 = 1020 then table_index4<= 0; else table_index4 <= table_index4 +1; end if; ELSE VALOR4 <= VALOR4 +1 ; CUENTA4 <= '0'; END IF; END IF; END IF; END PROCESS; end behavioral;

cenidet

74

Anexos

Cdigo del paquete de datos usado: library ieee; use ieee.std_logic_1164.all; package sine_package is constant max_table_value: integer := 1020; subtype table_value_type is std_logic; constant max_table_index: integer := 1020; subtype table_index_type is integer range 0 to max_table_index; subtype sine_vector_type is bit; function get_table_value (table_index: table_index_type) return table_value_type; end; package body sine_package is function get_table_value (table_index: table_index_type) return table_value_type is variable table_value: table_value_type; begin case table_index is when 0 => table_value:= '0' when 1 => table_value:= '0' when 2 => table_value:= '0' when 3 => table_value:= '0' when 4 => table_value:= '0' when 5 => table_value:= '0' when 6 => table_value:= '0' when 7 => table_value:= '0' when 8 => table_value:= '0' when 9 => table_value:= '0' when 10 => table_value:= '0' when 11 => table_value:= '0' when 12 => table_value:= '1' when 13 => table_value:= '1' . . . When n => table_value := x end case; return table_value; end; end; ; ; ; ; ; ; ; ; ; ; ; ; ; ;

cenidet

75

Anexos

Anexo D
Tabla D. 1 Configuracin de los puertos de salida

Fase A Nmero de pin del FPGA 5 7 9 11 N7 T8 R6 T5 Nombre

Fase B Nmero de pin del FPGA 29 31 33 35 H4 J3 K5 L3 Nombre

Fase C Nmero de pin del FPGA 18 20 22 24 K5 P9 M10 G4 Nombre

La configuracin de los puertos se hace por software [42].

cenidet

76

You might also like