You are on page 1of 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO.

12, DECEMBER 2012

3927

Macromodeling of Distributed Networks From Frequency-Domain Data Using the Loewner Matrix Approach
Muhammad Kabir, Student Member, IEEE, and Roni Khazaka, Senior Member, IEEE
AbstractRecently, Loewner matrix (LM)-based methods were introduced for generating time-domain macromodels based on frequency-domain measured parameters. These methods were shown to be very efcient and accurate for lumped systems with a large number of ports; however, they were not suitable for distributed transmission-line networks. In this paper, an LM-based approach is proposed for modeling distributed networks. The new method was shown to be efcient and accurate for large-scale distributed networks. Index TermsDistributed networks, frequency-domain data, Hamiltonian matrix, Loewner matrices (LMs), matrix format -parameters, time-domain macrotangential interpolation, model, vector tting, vector format tangential interpolation, -parameters.

I. INTRODUCTION N microwave and high-frequency applications, we are often faced with complex multiport linear structures for which it is impossible to derive accurate physics-based analytical models in the form of rst-order differential equations suitable for circuit simulation. However, one can usually obtain accurate frequency-domain or -parameter data describing such structures through the use of measurement or full-wave simulation tools. In this paper, we propose a new algorithm for the automatic generation of an accurate SPICE-compatible time-domain macromodel directly from frequency-domain - or -parameter data. Several algorithms were proposed in the last few decades for macromodeling based on frequency-domain data. One approach is the global rational approximation macromodeling [1], which is based on least-squares approximations, but the application of such methods is restricted to low-order and narrow-frequency-band systems due to ill-conditioning. A moment-generation scheme based on time-domain integration was proposed in [2], but the procedures of this algorithm is numerically challenging, as pointed out in [3]. A rational
Manuscript received July 06, 2012; revised September 20, 2012; accepted September 24, 2012. Date of publication November 19, 2012; date of current version December 13, 2012. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Montral, QC, Canada, June1722, 2012. The authors are with the Department of Electrical and Computer Engineering, McGill University, Montreal, QC, Canada H3A2A7. Color versions of one or more of the gures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identier 10.1109/TMTT.2012.2222915

approximation algorithm based on NevanlinnaPick interpolation was presented in [4]. This method uses the mirror image of the original data points which cannot identify the original system [5]. A convex programming approach for generating guaranteed passive approximations was proposed in [6], but the method is limited to low-order systems with a smaller number of ports due to the CPU-expensive optimization process. Another approach for handling frequency-domain data is convolution-based techniques [7][11]. However, convolution, in general, can be computationally expensive since the convolution operator needs to take into account all of the past history [7]. Recursive convolution can be used to address this issue if a pole residue representation of the system can be found [7]. In fact, the method proposed here can be used in conjunction with recursive convolution. Recently, the Vector Fitting method [12][15] was developed and rened [16][21] as an effective method for addressing this issue. However, this method can have difculties modeling systems with a large number of poles and a large number of ports. More recently, a new approach based on the Loewner matrix (LM) pencil has been proposed [22][24]. This method was shown to be very efcient and accurate compared with Vector Fitting [23], particularly for systems with a large number of ports. However, the LM approach cannot model distributed networks that are very common in microwave applications. In [25], a new LM-based approach was proposed that can handle distributed networks and is accurate and efcient for systems with a large number of ports and a large number of poles. In this paper, we expand on [25] by providing the full details of the algorithm so that it can be more easily understood and reproduced. Furthermore, a new more accurate and efcient is presented in addition to a pasway of computing and sivity checking algorithm. Finally, more detailed examples with passivity checks and comparisons with the most recent implementation of Vector Fitting [12], [18], [26] are presented. These show considerable improvement in terms of accuracy, model size, and CPU cost. In particular, an improvement of two to three orders of magnitude in accuracy was observed. II. PROBLEM FORMULATION Consider the -port linear system shown in Fig. 1. The objective of the algorithm described in this paper is to construct a SPICE-compatible time-domain macromodel based on frequency-domain multiport network parameter data, which can be obtained through measurement or simulation.

0018-9480/$31.00 2012 IEEE

3928

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 12, DECEMBER 2012

Fig. 2. Data selection for VFTI.

Fig. 1.

-port linear network.

A. Frequency-Domain Data The system can be fully represented in the frequency domain by its -parameters (1) where is the complex frequency, and are the vectors of port currents and port voltages, respectively, is the -parameter matrix, and is the number of ports. In many practical applications, a closed-form expression for the -parameters is not available. Instead, measured or simulated -parameters are available over a certain frequency range. This frequency-domain data is expressed as (2) where is the complex frequency, is the -parameters at frequency , and , where is the number of data points. B. Time-Domain Macromodel Our goal in this paper is to obtain a SPICE-compatible timethat matches the fredomain macromodel of the network quency-domain data in (2). This macromodel can be expressed as a linear time-invariant (LTI) system in descriptor system form with inputs and outputs as (3) where and contain the vectors of port voltages and currents, respectively, the matrices , , , and dene the LTI descriptor system, and is the order of the system. is generally singular and the matrix pencil is regular. The poles . of the system are the eigenvalues of the pencil Note that a closed-form expression of the frequency domain -parameters of the system in (3) can be expressed as (4) Finally it is important to note that both and can be embedded in the system matrices as shown in Appendix A. III. LOEWNER MATRIX METHOD Here, we will present an overview of the LM method [23] for obtaining a time-domain macromodel as dened in (3) from frequency-domain data as dened in (2). This method can be summarized in the following steps. and, for the left data set, we have (6) where and denotes the complex conjugate. Note that the number of frequency samples can be assumed to be even without loss of generality. 2) Data Splitting for MFTI [24]: In the case of MFTI, the odd frequency samples along with their complex conjugates are put in the right data set and the even ones in the left data, set as shown in Fig. 3. In other words, for the right data set, we have (7) and, for the left data set, we have (8) where .
Fig. 3. Data selection for MFTI.

A. Splitting the Data The rst step of the LM algorithm is to append the frequencydomain data with the complex conjugates at the negative frequencies, thus resulting in data points or double the original number. The data is then divided into two groups, which we refer to as the left data set and the right data set as follows:

where , , , and , , and are complex frequencies. There are a number of possible approaches for splitting the data. In this work, we have implemented two that are chosen to result in real matrices that can be easily expressed in the time domain. The rst is associated with the Vector Format Tangential Interpolation (VFTI) [23] algorithm, and the second is based on the Matrix Format Tangential Interpolation (MFTI) [24] algorithm. 1) Data Splitting for VFTI [23]: In VFTI, the right data set contains the rst half of the frequency points along with their complex conjugates, and the left data set contains the remaining data, as shown in Fig. 2. In other words, for the right data set, we have (5)

KABIR AND KHAZAKA: MACROMODELING OF DISTRIBUTED NETWORKS FROM FREQUENCY-DOMAIN DATA USING THE LOEWNER MATRIX APPROACH 3929

B. LMs The next step of the LM algorithm is to construct the LM , the shifted LM as well as two other matrices and . This is done block by block as follows: (9) where , resent the th block entry of and are dened as , and , repand , respectively, and

C. Real LMs The LMs as constructed in (9) and (11) are complex. In order to obtain a real macromodel, real LMs can be computed using a similarity transformation [23]

(14) where is a block-diagonal matrix with each block

(10) where and are the tangential direction matrices for the right and left data sets, respectively. Then, the are constructed as follows: matrices and

where is the identity matrix. For VFTI, will simply be replaced by 1. On the other hand, MFTI. D. Time-Domain Macromodel

, so for

(11) and as well as the number of Note that the choice of columns/rows depends on the type of tangential interpolation used. 1) Tangential Directions for VFTI [23]: and are vec. The directions are dened as follows: tors in VFTI i.e.

The third and nal step of the LM algorithm is to extract the time-domain macromodel from the LMs. 1) Extraction of the Macromodel: A direct relationship between the LMs and the underlying time-domain macromodel was shown. In fact, it can be shown that the macromodel can be obtained by extracting the regular part of the matrix pencil [22]. The regular part can be extracted, for example, by a singular value decomposition (SVD) [22], [23] as (15) , , is a diwhere agonal matrix containing the singular values, and are the orthonormal matrices, and denotes the complex conjugate , will result in transpose. Any value of , the same SVD, except for the case where is one of the eigenvalues [23]. If a sufcient number of data points is used, the in general is not full-rank. The regular part matrix of the system is obtained by taking the rst columns of and to form the following orthonormal bases:

(12) and where , the identity matrix of size . In other words, versa. For example, the columns of given as follows: is the th column of if , else , , and vice for and is

Note that this choice of tangential interpolation effectively means that, at each frequency point, only one row/column of the -parameter matrix is used. The rest of the data is discarded. Furthermore, in VFTI, and dened in (9) is . are scalars and the size of and and 2) Tangential Directions for MFTI [24]: For MFTI, are of size , i.e., . The directions are dened as follows:

(16) where and represent the th column of and , respectively, and is the order of the system. Then, the time-domain macromodel is extracted as follows:

(13) where and is the identity matrix. Note that the choice of interpolation results in and , and thus the whole -parameter matrix is used at each frequency point. In this case, and are and the size of and is . block matrices of size

(17) Note that the matrix is always zero at this stage, and its contribution is embedded inside the other matrices.

3930

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 12, DECEMBER 2012

Fig. 4. Normalized singular value plot.

2) Order of the Macromodel and the Impact of : Note that the order of the system is needed in (16). is determined from the plot of the normalized singular values of in (15). A large drop in the plot indicates that the underlying time-domain macromodel exists. In that case, there will be a clear separation between the singular values corresponding to the singular part and the regular part is the index of the largest drop in the plot. [27]. The order For example, the largest drop for the system shown in Fig. 4 for that system. occurs at 287, so Note that, if is present, another smaller drop in the singular , where is the number of ports. In this values occur at case, must be extracted in order to maintain the stability and passivity of the model [23]. IV. PROPOSED APPROACH FOR MODELING DISTRIBUTED NETWORKS The LM method was shown to be very efcient and accurate for modeling systems with a large number of ports [23]. One of the key properties of this method is that it is a system identication technique that identies the exact order of the underlying system and extracts its actual poles. In order to achieve this, the frequency-domain data must cover most of the bandwidth of the system. For example, as shown in Fig. 5, the frequency-domain data spans the full bandwidth of the underlying lumped system and the resulting singular value plot clearly identies the order of the system. This approach is impossible to apply to distributed networks which are common in microwave applications, because these networks have innite bandwidth and an innite number of poles (an example is shown in Fig. 6). In this case, it is impossible to completely identify the underlying system using a nite-order time-domain representation. In fact, for distributed systems, any extracted macromodel is a form of discretized approximation. In this paper, we present a technique based on the LM method, which generates an accurate time-domain lumped model of a distributed network from frequency-domain data over a desired bandwidth. The details of the method are given as follows. A. LMs The real LMs are constructed using the standard LM method in Sections III-AIII-C. Both VFTI and MFTI are possible.

Fig. 5. (a) Frequency-domain data covering the whole bandwidth. (b) System identication based on drops in the singular values.

Fig. 6. Example of a distributed system.

B. Determining the Order of the System The next step is to determine an appropriate order for the macromodel. For this, a singular value decomposition is as described in performed on the LM pencil Section III-D1 and shown as follows: (18) The normalized singular values are then plotted as shown in Fig. 7. Note that, in this case, the plot does not contain clear drops identifying the order of the underlying system as was the case in Fig. 5. This is expected as the underlying system has an innite order. Instead our goal here is to select the order that provides the most accurate nonsingular macromodel. If the number of frequency points used is sufcient, the normalized singular values reach the accuracy threshold of the nite precision computation engine, at which point a slope change can be observed, of the macromodel is chosen as shown in Fig. 7. The order at the point of this slope change which separates the regular part from the singular part of the matrices.

KABIR AND KHAZAKA: MACROMODELING OF DISTRIBUTED NETWORKS FROM FREQUENCY-DOMAIN DATA USING THE LOEWNER MATRIX APPROACH 3931

Fig. 8. Poles of the macromodel with

and

embedded.

Fig. 7. (a) Frequency-domain data from 0 to 4 GHz for a distributed system. (b) Singular value plot.

Fig. 9. Pole diagram indicating the separation of the poles.

C. Extraction of the Regular Part of the LMs has been determined, the regular part of the As the order LMs is extracted in the same way as shown in (16) and (17) and given as

must be extracted in order to preserve the stability and accuracy and of the macromodel. The algorithm for extracting from the system matrices is outlined here and can be divided into two main steps. The rst step is to decouple the macromodel in (21) into two systems such that

(19)

(22) is the desired system and the where the system system contains the undesired poles that . The second step is are the artifact of embedding of and to compute and such that (23)

(20) resulting in the macromodel

which leads us to the nal macromodel

(24) (21) Note that the matrices and are zero at this stage, and their contribution is embedded inside the other matrices. D. Extraction of and with the closed-form expression dened by (25) 1) Extraction of the Model With the Desired Poles: First, the poles of the system in (21) are computed by nding the general. We then idenized eigenvalues of the matrix pencil tify the very large poles that are separated by a clear gap from the rest of the poles. Note that some of these poles may be stable. An example is provided in Figs. 8 and 9, which show a typical pole distribution (Fig. 9 is a zoomed-out version of Fig. 8). An example of the desired system poles is shown in Fig. 10. Once we have identied the desired and undesired poles, the next step is to partition the system as shown in (22).

The macromodel extracted in (21) matches the original data very accurately. However, it generally has unstable poles far from the origin, as shown in the example in Fig. 8. A similar problem was observed in the original LM method [23], where only real unstable poles were observed. This was due to the matrix in the system equations and was embedding of the corrected by extracting . In the case of distributed networks, where both real and complex poles are present, both and

3932

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 12, DECEMBER 2012

Fig. 10. System poles with

and

extracted. Fig. 11. Change of error with .

One way to partition is to transform the macromodel to a block-diagonal one based on the two sets of poles [28]. The process could be expensive for large-scale systems due to the generalized Sylvester equation that has to be solved to derive the block-diagonal structure. Another convenient way is Petrov Galerkin projection which uses oblique projection of the system to nd a reduced-order model [29], [30]. We employed the projection method using the left and right eigenvectors as projectors [29] to nd the reduced macromodel based on the desired poles. The right and left eigenvector matrices corresponding to the system in (21) can be calculated from the following relations:

The macomodel is stable but does not include the . contribution of and The macomodel based on the undesired poles is formed following the same procedure mentioned in (26)(29) as

(30) (26) where and are the diagonal matrices with the generalized eigenvalues and and are the corresponding right and left eigenvector matrices, respectively. The subspaces and to extract the desired system are then formed by preserving the eigenvectors corresponding to the desired poles as (27) where, are the indices of the desired poles. The eigenvectors are in general complex. The real subspaces are formed by splitting the real and the imaginary parts into separate vectors: where and are the orthonormal bases of the real subspaces spanning the subspaces formed by the eigenvectors corresponding to the undesired poles. and : The next step is to compute 2) Compute and . In order to do that, the error matrices over values of equally spaced and spanning the relevant bandwidth are formed using the model extracted based on the undesired poles as follows: (31) where and and are the real and complex part of the error matrix, respectively. The change of relative error with is shown in Fig. 11. As can be seen, data points is more than sufcient in most cases. is calculated by taking the average of the real part to yield

where and designate the real and the imaginary parts, and represent the real subspaces of respectively, and and , respectively. QR decomposition is then used to obtain the orthonormal bases and such that (28) The Macromodel corresponding to the desired poles is formed by an oblique projection using the orthonormal bases and as projectors

(32) For each entry of we have equations which can be solved by the least-square approach. The following vectors are formed to apply that approach:

(29)

. . .

. . .

KABIR AND KHAZAKA: MACROMODELING OF DISTRIBUTED NETWORKS FROM FREQUENCY-DOMAIN DATA USING THE LOEWNER MATRIX APPROACH 3933

Fig. 13. Circuit diagram for Example 1.

Fig. 12. Summary of the proposed algorithm.

and, nally, each entry of

is calculated as follows: (33)

where and . which is Now we have a macromodel stable and accurate and includes and explicitly outside. A summary of the proposed algorithm is provided in Fig. 12. E. Passivity of the Resulting System The passivity of the resulting system is checked by rst veriis positive denite and then using the generalized fying that Hamiltonian theorem [31]. The matrices and are formed as follows:

parameter values for the coupled line are taken from [34]. The parameter values (per unit length) for noncoupled line are 3.74 , 0 S, 283.7 nH, and 84.6 pF. The length of the coupled and non-coupled lines are 0.1 and 0.05 m, respectively. Example 2 is a 36-port transmission line network (Fig. 14). This example network is formed by connecting two networks of Example 1 in parallel using a 500- resistor between each pair of similar ports. Example 3 is a 72-port network formed by connecting two networks of Example 2 in parallel using the same resistor value as Example 2 between the similar pair of ports. Example 4, shown in Fig. 15, is a 63-port network. The network contains 9 9 noncoupled lines and 6 9 coupled lines. The summary of the example circuits is provided in Table I. for Example 4 is shown in Fig. 16 to show the complexity of the problem. The frequency-domain data from 0 to 4 GHz was generated using the matrix exponential stamp [35], which relies on the solution of the telegrapher equations in the frequency domain. B. Accuracy and Efciency Check We implemented two variations of the proposed method: MFTI and VFTI. The proposed algorithms were implemented on an Intel Core i7-2600 CPU (at 3.40 GHz) using MATLAB. The simulation results are summarized in Tables II and III. A sufcient number of frequency-domain data was used for all of the examples to identify the underlying systems. The number of data for each example was adjusted to keep the size of the same for both VFTI and MFTI. The proposed method is compared with the recent implementation of Vector Fitting. MATLAB source code of VFIT3, an implementation of Fast Relaxed Vector Fitting (FRVF), was used as the VF implementation [12], [18], [26]. The accuracy of the model was measured by the relative error (Appendix B) using 10 000 data points. The Frobenius norm of the errors (Appendix B) for these 10 000 data points for the models of the example circuits are provided in Figs. 1720, respectively. In summary, two possible implementations of the proposed approach (MFTI and VFTI) were compared with Vector Fitting. In general, MFTI performs better than VFTI in terms of accuracy and CPU cost. Furthermore, both approaches show

where is a Hamiltonian and is a symplectic matrix. The system is passive if the matrix pencil has no imaginary ) eigenvalue. The LM method usually pre(real part serves passivity of the underlying macromodel [23]. We were not able to nd a problem for which the model violates the passivity. However, any passivity violation can be corrected using the Hamiltonian Matrix perturbation [32], if required. V. SIMULATION RESULTS A. Example Circuits Here, we show a number of numerical examples that demonstrate the accuracy and efciency of the proposed method. Example 1 is an 18 port transmission line network (Fig. 13) containing nine coupled lines and nine noncoupled lines. Models for both coupled and noncoupled lines are shown in [33]. The

3934

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 12, DECEMBER 2012

Fig. 14. Circuit diagram for Example 2.

considerable improvement over Vector Fitting and scale very well as the number of ports increases. An improvement in accuracy of two to three orders of magnitude over Vector Fitting was observed. Note that the examples presented here do not include noisy data. However, the results in [24] and [36] would suggest that the data splitting scheme of MFTI is suitable for noisy data. C. Time-Domain Simulation Transient simulations are presented in Figs. 21 and 22 in order to show the accuracy and stability of the proposed methods in the time domain. The rst simulation in Fig. 21 is based on the model for Example 1, and the input at the near end was a 1-V, 2-ns pulse with 0.2-ns rise/fall time. The second simulation in Fig. 22 is based on the model for Example 4, and the input at the near end is a 1-V, 8-ns pulse with a rise/fall time of 0.2 ns. The simulations of the proposed model were done by generating a SPICE netlist of the MFTI model and simulating it in NGSPICE [37]. In order to verify the accuracy of the results, a comparison is shown with a time-domain simulation that we obtained by simple brute-force segmentation of the transmission lines. As can be seen from the simulation results, the proposed technique can be used to model systems with a considerable amount of delay as compared with the rise/fall time of the signals. D. Passivity Check The eigenvalues of the Hamiltonian and the symplectic matrix pencil for all the examples are shown in Figs. 2326, respectively ( for MFTI and for VFTI). It is evident from all of the gures that there is no purely imaginary (or very close to imaginary axis) eigenvalues for any of the examples, and we also found positive denite for all of the examples. Thus, the macromodels extracted for all of the examples are passive according to the passivity theorem mentioned in Section IV-E. Furthermore, a brute-force passivity check was performed on the macromodels for all of the examples. -parameter matrices were computed using (4) for 20 000 frequency points from 0 to 4 GHz and 15 000 points from 4 to 20 GHz; the

Fig. 15. Circuit diagram for Example 4.

TABLE I SUMMARY OF THE EXAMPLES CIRCUITS

Fig. 16.

for example 4.

KABIR AND KHAZAKA: MACROMODELING OF DISTRIBUTED NETWORKS FROM FREQUENCY-DOMAIN DATA USING THE LOEWNER MATRIX APPROACH 3935

TABLE II SIMULATION RESULTS (EXAMPLES 1 AND 2)

TABLE III SIMULATION RESULTS (EXAMPLES 3 AND 4)

Fig. 17. Frobenius norm of the errors for Example 1.

Fig. 20. Frobenius norm of the errors for Example 4.

Fig. 18. Frobenius norm of the errors for Example 2.

Fig. 21. Time-domain simulation for Example 1.

Fig. 19. Frobenius norm of the errors for Example 3. Fig. 22. Time-domain simulation for Example 4.

minimum value of the eigenvalues of are then plotted. The plots are presented in Figs. 2730, respectively. The

minimum eigenvalues are always positive and constant at high frequency. Thus, all of the macromodels are passive in the

3936

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 12, DECEMBER 2012

Fig. 23. Eigenvalues of Hamiltonian matrix pencil for Example 1.

Fig. 27. Minimum eigenvalues of

matrix for Example 1.

Fig. 24. Eigenvalues of Hamiltonian matrix pencil for Example 3.

Fig. 28. Minimum eigenvalues of

matrix for Example 2.

Fig. 25. Eigenvalues of Hamiltonian matrix pencil for Example 2.

Fig. 29. Minimum eigenvalues of

matrix for Example 3.

Fig. 30. Minimum eigenvalues of Fig. 26. Eigenvalues of Hamiltonian matrix pencil for Example 4.

matrix for Example 4.

VI. CONCLUSION range of frequency of interest as well as out of that band while we employed two different methods for checking passivity. In general, the Hamiltonian matrix-based method is sufcient and recommended. In this paper, a new LM-based method was proposed for the modeling of systems based on measured/simulated parameters. The new approach is suitable for distributed interconnect networks which have a very high bandwidth. The new method was

KABIR AND KHAZAKA: MACROMODELING OF DISTRIBUTED NETWORKS FROM FREQUENCY-DOMAIN DATA USING THE LOEWNER MATRIX APPROACH 3937

shown to be accurate and efcient compared with established techniques such as Vector Fitting, in particular for systems with a large number of ports. An improvement in accuracy of two to three orders of magnitude improvement was observed. APPENDIX A INSIDE THE SYSTEM MATRICES and

calculated one [using (4)]. The normalized the error is as follows:

-norm of the

(34) INCLUSION OF
AND

If are the system matrices, can be incorporated inside the other matrices

where is the squared Frobenius norm or the HilbertSchmidt norm of the matrix. ACKNOWLEDGMENT The authors would like to thank Dr. S. Lefteriu for her valuable information which helped give a better understanding of the original method and for providing one of the original codes to extract . The authors would also like to thank Prof. R Achar for his valuable advice to improve the paper. REFERENCES
[1] M. Elzinga, K. Virga, and J. Prince, Improved global rational approximation macromodeling algorithm for networks characterized by frequency-sampled data, IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 14611468, Sep. 2000. [2] R. Achar and M. Nakhla, Efcient transient simulation of embedded subnetworks characterized by -parameters in the presence of nonlinear elements, IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 23562363, Dec. 1998. [3] T. Palenius, Efcient time-domain simulation of interconnects characterized by large RLC circuits or tabulated s parameters, Ph.D. dissertation, Dept. Electr. and Commun. Eng., Helsinki Univer. of Technol., Espoo, Finland, Nov. 2004. [4] C. Coelho, J. Phillips, and L. Silveira, Passive constrained rational approximation algorithm using nevanlinna-pick interpolation, in Proc. Conf. Design, Automat. Test Europe, Paris, France, Mar. 2002, pp. 923930. [5] A. C. Antoulas, On the construction of passive models from frequency response data, Automatisierungstechnik, vol. 56, pp. 447452, Aug. 2008. [6] C. Coelho, J. Phillips, and L. Silveira, A convex programming approach for generating guaranteed passive approximations to tabulated frequency-data, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 23, no. 2, pp. 293301, Feb. 2004. [7] A. Djordjevic, T. Sarkar, and R. Harrington, Analysis of lossy transmission lines with arbitrary nonlinear terminal networks, IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 6, pp. 660666, Jun. 1986. [8] J. Grifth and M. Nakhla, Time-domain analysis of lossy coupled transmission lines, IEEE Trans. Microw. Theory Tech., vol. 38, no. 10, pp. 14801487, Oct. 1990. [9] S. Lin and E. Kuh, Transient simulation of lossy interconnects based on the recursive convolution formulation, IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 39, no. 11, pp. 879892, Nov. 1992. [10] V. Rizzoli, A. Costanzo, F. Mastri, and A. Neri, A general spice model for arbitrary linear dispersive multiport components described by frequency-domain data, in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 1, pp. 912. [11] T. Brazil, Nonlinear, transient simulation of distributed rf circuits using discrete-time convolution, in Proc. IEEE Int. Symp. Circuits, May 2007, pp. 505508. [12] B. Gustavsen and A. Semlyen, Rational approximation of frequency domain responses by vector tting, IEEE Trans. Power Del., vol. 14, no. 3, pp. 10521061, Jul. 1999. [13] B. Gustavsen and A. Semlyen, A robust approach for system identication in the frequency domain, IEEE Trans. Power Del., vol. 19, no. 3, pp. 11671173, Jul. 2004. [14] D. Deschrijver and T. Dhaene, Passivity-based sample selection and adaptive vector tting algorithm for pole-residue modeling of sparse frequency-domain data, in Proc. IEEE Int. Behavioral Modeling Simul. Conf., Oct. 2004, pp. 6873. [15] D. Saraswat, R. Achar, and M. Nakhla, A fast algorithm and practical considerations for passive macromodeling of measured/simulated data, IEEE Trans. Adv. Packag., vol. 27, no. 1, pp. 5770, Feb, 2004.

Proof: The by

-parameters of the original system are given

The

-parameters of the reduced system are given by

APPENDIX B ERROR CALCULATION To evaluate the overall performance of the resulting model, -norm of the error [23], [38] is used which measures the error in the magnitude of all of the entries. The same values of are and the used to nd the measured/simulated -parameter,

3938

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 12, DECEMBER 2012

[16] D. Deschrijver and T. Dhaene, Stability and passivity enforcement of parametric macromodels in time and frequency domain, IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 24352441, Nov. 2008. [17] T. Dhaene and D. Deschrijver, Stable parametric macromodeling using a recursive implementation of the vector tting algorithm, IEEE Microw. Wireless Compon. Lett., vol. 19, no. 2, pp. 5961, Feb. 2009. [18] B. Gustavsen, Improving the pole relocating properties of vector tting, IEEE Trans. Power Del., vol. 21, no. 3, pp. 15871592, Jul. 2006. [19] B. Nouri, R. Achar, M. Nakhla, and D. Saraswat, z-domain orthonormal vector tting for macromodeling high-speed modules characterized by tabulated data, in Proc. 12th IEEE Workshop Signal Propagation on Interconnects, May 2008, pp. 14. [20] P. Triverio, S. Grivet-Talocia, and M. Nakhla, An improved tting algorithm for parametric macromodeling from tabulated data, in Proc. 12th IEEE Workshop Signal Propagation on Interconnects, May 2008, pp. 14. [21] A. Chinea and S. Grivet-Talocia, A parallel vector tting implementation for fast macromodeling of highly complex interconnects, in Proc. IEEE 19th Conf. Electr. Performance Electron. Packaging Syst., Oct. 2010, pp. 101104. [22] A. J. Mayo and A. C. Antoulas, A framework for the solution of the generalized realization problem, Linear Algebra and its Application, vol. 425, no. 23, pp. 634662, Sept. 2007. [23] S. Lefteriu and A. C. Antoulas, A new approach to modeling multiport systems from frequency-domain data, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 29, no. 1, pp. 1427, Jan. 2010. [24] Y. Wang, C. Lei, G. Pang, and N. Wong, MFTI: Matrix-format tangential interpolation for modeling multi-port systems, in Proc. IEEE/ACM Design Automation Conf., Anaheim, CA, 2010, pp. 683686. [25] M. Kabir and R. Khazaka, Macromodeling of interconnect networks from frequency domain data using the Loewner matrix approach, in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1722, 2012, pp. 13. [26] D. Deschrijver, M. Mrozowski, T. Dhaene, and D. D. Zutter, Macromodeling of multiport systems using a fast implementation of the vector tting method, IEEE Microw. Wireless Compon. Lett., vol. 18, no. 6, pp. 383385, Jun. 2008. [27] G. W. Stewart, Perturbation theory for the singular value decomposition, in SVD and Signal Processing, II: Algorithms, Analysis and Applications, R. J. Vaccaro, Ed. Amsterdam, The Netherlands: Elsevier, 1990, pp. 99109. [28] P. Benner, Partial stabilization of descriptor systems using spectral projectors, in Numerical Linear Algebra in Signals, Systems and Control, ser. Lecture Notes in Electrical Engineering, P. V. Dooren, S. P. Bhattacharyya, R. H. Chan, V. Olshevsky, and A. Routray, Eds. Houten, The Netherlands: Springer, 2011, vol. 80, pp. 5576. [29] P. Krschner, Two-sided eigenvalue algorithms for modal approximation, Masters thesis, Faculty of Math., Chemnitz Univ. of Technol., Chemnitz, Jun. 2010. [30] A. Antoulas, C. Beattie, and S. Gugercin, Interpolatory model reduction of large-scale dynamical systems, in Efcient Modeling and Control of Large-Scale Systems, K. G. J. Mohammadpour, Ed. Berlin, Germany: Springer-Verlag, Feb. 2010. [31] Z. Zheng, C. Lei, and N. Wong, GHM: A generalized hamiltonian method for passivity test of impedance/admittance descriptor systems, in Proc. IEEE/ACM Computer-Aided Design Conf., San Jose, CA, Nov. 2009, pp. 767773. [32] W. Yuanzhe, Z. Zheng, K. Cheng-Kok, G. Pang, and W. Ngai, PEDS: Passivity enforcement for descriptor systems via hamiltonian-symplectic matrix pencil perturbation, in Proc. IEEE/ACM Computer-Aided Design Conf., San Jose, CA, Nov. 2010, pp. 800807. [33] C. Paul, Analysis of Multiconductor Transmission Lines. Hoboken, New Jersey: John Wiley and Sons, Inc., 2008. [34] A. C. Cangellaris and A. E. Ruehli, Model order reduction techniques applied to electromagnetic problems, in Proceedings IEEE Electrical Performance of Electronic Packaging (EPEPS00), Oct. 2000, pp. 239242.

[35] R. Achar and M. Nakhla, Simulation of high-speed interconnects, Proceedings of the IEEE, vol. 89, no. 5, pp. 693728, May 2001. [36] S. Lefteriu, A. Ionita, and A. Antoulas, Modeling systems based on noisy frequency and time domain measurements, in Perspectives in Mathematical System Theory, Control, and Signal Processing, ser. Lecture Notes in Control and Information Sciences, J. Willems, S. Hara, Y. Ohta, and H. Fujioka, Eds. Berlin, Germany: Springer, 2010, vol. 398, pp. 365378. [37] Ngspice: A mixed-level/mixed-signal circuit simulator, [Online]. Available: http://ngspice.sourceforge.net/ [38] A. C. Antoulas, Approximation of Large-Scale Dynamical Systems. Philadelphia, PA: Soc. Ind. Appl. Math., 2005, ch. 5.1.

Muhammad Kabir (S09) received the B.Sc. degree from Bangladesh University of Engineering and Technology, Dhaka, Bangladesh, in 2005, and the M.Sc. degree from Lakehead University, Thunder Bay, ON, Canada, in 2010. He is currently working toward the Ph.D. degree in electrical and computer engineering at McGill University, Montral, QC, Canada. He was a full-time Research Assistant with Lakehead University, Thunder Bay, ON, Canada, from May, 2010 to July 2010 and was with Motorola Telecommunication, Bangladesh, as a System Engineer from 2005 to 2008. His research interests include modeling of high-speed interconnect systems from simulated/measured parameters, fast frequency sweep algorithms for high-speed modules, parameterization of time-domain macromodels, and extraction of delays from the macromodel. Mr. Kabir served on the 2012 International Microwave Symposium organizing committee.

Roni Khazaka (S92M03SM07) received the B.S., M.S., and Ph.D. degrees from Carleton University, Ottawa, ON, Canada in 1995, 1998, and 2002, respectively, all in electrical engineering. In 2002, he joined the Department of Electrical and Computer Engineering, Mcgill University, Montral, QC, Canada, where he currently is an Associate Professor. In 2009, he was a Visiting Research Fellow with the University of Shizuoka, Japan. He has authored and coauthored over 60 journal and conference papers on the simulation of high-speed interconnects and RF circuits. His current research interests include electronic design automation, numerical algorithms and techniques, and the analysis and simulation of RF ICs, high-speed interconnects, and optical networks. Prof. Khazaka was the recipient of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) 2002 Microwave Prize, The Natural Sciences and Engineering Research Council (NSERC) of Canada scholarships (at the masters and doctoral levels), Carleton Universitys Senate Medal and University Medal in Engineering, the Nortel Networks scholarship, and the IBM cooperative fellowship. He has served on several IEEE committees and is currently vice chair of the IEEE Montreal section. As a student he was treasurer of the Carleton University IEEE student branch (19931994) and later a IEEE Region 7 (Canada) student representative on the IEEE Student Activities Committee (1995 to 1998). He was Montreal section treasurer (2005/2006), Montreal section student activities co-ordinator (2004), and founding chair of the IEEE Montreal Graduate of the Last Decade (GOLD) committee. He is a member of the technical program committee of the Signal Propagation on Interconnects Workshop since 2006 and the technical program review committee of the International Microwave Symposium since 2012. He served on the organizing committee and numerous conferences such as MWCAS, NEWCAS, ISSSE, CCECE, and the 2012 International Microwave Symposium.

You might also like