You are on page 1of 8

ARTCULO

L A PGINA

DE

PORTADA:

DEL

RADIOAFICIONADO

Construya un Frecuencmetro Digital


Frecuentemente es difcil empezar algo y esta pgina no es la excepcin. Gracias al empujn del Ing. Picerno y el espacio que con entusiasmo me brinda el Ing. Vallejo intentar desde estos prximos artculos dedicarme a la puesta en el aire de la pgina del radioaficionado, con la intencin de compartir con mis colegas una vasta serie de experimentos y equipos que pienso sern de inters para todos. Mi primer seal distintiva data del ao 1975 (tena 14 aos) y en esa poca haba slo dos posibilidades de salir en radio (80 Mts.AM), vender la casa y comprar un equipo comercial americano construir su propio equipo. Habrn adivinado que la ltima opcin era la ms popular. Fue as que con un grupo de amigos y ayuda espordica de algn viejo radioaficionado nos hicimos de varios bulbos termoinicos, transformadores, chassis en desuso y dems partes electrnicas para montar nuestros propios equipos. Vindolo a la distancia, mi primer equipo era desastroso: el micrfono era de carbn y haba que golpearlo a la mitad de la charla porque se empastaba, el transformador de modulacin era una reactancia de tubo fluorescente... en fin, la cuestin es que con eso y un receptor de un viejo combinado logrbamos comunicarnos. Al principio a unos pocos kilmetros, luego estudibamos mejorar los circuitos, cargar mejor la antena, etc,etc. As fue que lo perfeccionamos hasta cubrir casi todo el pas. Todava guardo en mi memoria la satisfaccin que me brind ese primer pipiolito. Todo este proceso llev a un aprendizaje gradual de la teora de las telecomunicaciones que me permite ofrecerles a Uds. en esta pgina una serie de proyectos para que el radioaficionado amante de la electrnica pueda desarrollar sus propios equipos de comunicaciones (QRP) y comparta conmigo la alegra de poder realizar contactos de radio con equipos hechos por uno mismo. Mi idea es comenzar brindando una serie de instrumentos imprescindibles para el taller del aficionado al QRP. En esta nota empezamos a ver un frecuencmetro digital, luego un medidor de circuitos sintonizados, imprescindible en estos menesteres, alguna fuente, algn transversor de recepcin y un transceptor de 80M BLU de 10W para el novato con sintona digital, del cual este contador de frecuencias forma parte. Espero que estos desarrollos sean de vuestro agrado. Preparado por: Guillermo H. Necco LW3DYL
Saber Electrnica N 5

Ar tculo de Por tada


INTRODUCCIN

Podemos definir a un frecuencmetro como un contador de eventos cclico, esto es, cuenta una serie de sucesos (los ciclos de la frecuencia que estamos midiendo), los presenta en un display, vuelve a cero y comienza a contar nuevamente. En la figura 1 podemos ver Figura 1 un diagrama en bloques elemental de un frecuencmetro como el en este caso cada 1 segundo. Aqu tenemos el corazn del aparato: suque aqu describimos. En el primer bloque tenemos una pongamos una seal de 3.567 ciclos etapa conformadora de entrada, que (tres mil quinientos sesenta y siete cies la que adapta el mundo analgico clos), si abrimos la llave de paso por al universo digital. Me explico: en un 1 segundo en el display aparecer el oscilador o amplificador que trabaje nmero 3.567, que es la frecuencia, con radiofrecuencia las seales no o sea, ciclos por segundo. Aqu poson cuadradas, que son con las que dramos quedarnos tranquilos, pero se trabaja en los sistemas digitales, hemos hecho una sola medida. Tenesino que pueden ser senoidales (en mos que poner un sistema que luego el mejor de los casos) o pueden tener de esta medida haga otra y otra y formas complejas. Si las ingresamos otra. Pensemos en el caso de una directamente al contador no podra sintona por la banda de 80 metros. distinguir en ellas un patrn regular. (para esto fue diseado), si midiraTal vez no podra siquiera contarlas, mos una sola vez sera engorroso dado que probablemente haga falta porque al girar el dial buscando una amplificarlas. Para eso se utiliza en la frecuencia determinada habra que entrada un amplificador de seal de estar manualmente tomando medialta impedancia (para no cargar el das a cada trecho y sera muy inccircuito bajo prueba) acoplado a un modo. Es as que se intercala un sisteTrigger de Schmitt, que es un circuito que empareja y regulariza las ondas ma de reloj. Este se encarga de conpara poder ingresarlas al contador di- trolar secuencialmente las operaciogital. Si en la entrada del conforma- nes bsicas para que el contador todor inyecto una seal, por ejemplo, me una medida despus de otra, sesenoidal de 357kHz voy a obtener a gn se muestra en la figura 2. En esta figura observamos un la salida una seal perfectamente cuadrada de 357kHz. Obtenemos pulso de 1 segundo en estado alto aqu lo que nos interesa: cualquiera que es el que abre la llave electrnisea lo que tengamos a la entrada lo ca, dejando paso a las seales para pasamos a onda cuadrada pero respetando fielmente la frecuencia de la seal, que es lo que pretendemos contar. Luego de tener la seal en condiciones para ingresar al contador digital la hacemos pasar por una llave electrnica controlada por un reloj, que se abre a intervalos regulares, Figura 2

contar. Un instante despus vemos un pequeo pulso en estado alto denominado latch o cerrojo. Este pulso habilita el nmero que cont el contador para que pase al display. Ahora lo explicar con ms detalle. Luego de ste viene otro pequeo pulso llamado reset o puesta a cero del contador y luego nuevamente vuelve el pulso de 1 segundo que habilita la llave. Supongamos por un momento que el latch no est conectado. En el contador observaramos los numeritos ir movindose rpidamente aumentando hasta que termina el pulso de 1 segundo. All se quedaran quietos (y podramos ver la frecuencia) hasta que llegue el pulso de reset o puesta a cero, con lo que veramos los numeritos irse a cero para, al abrirse nuevamente la llave, volver a verlos incrementndose rpidamente hasta la cifra final. Como pueden imaginar, esto es muy cansador para la vista. Es as que se intercala entre el contador digital y la presentacin (los display de 7 segmentos) otra llave electrnica que se abre, dejando pasar los datos, cuando est alto el pulso de latch. El proceso ahora sera el siguiente: se abre la llave de entra-

Saber Electrnica N 5

Construya un Frecuencmetro Digital


da por 1 segundo y el conTabla 1 tador cuenta los ciclos. Al cerrarse la llave de entrada D C B ENTRADA y al haber terminado la cuenta, se abre la llave de Primer pulso 0 0 0 latch y el resultado es preSegundo pulso 0 0 1 sentado en el display. PaTercer pulso 0 0 1 sado el instante la llave de Cuarto pulso 0 1 0 latch se cierra y el resultaQuinto pulso 0 1 0 do permanece fijo en el Sexto pulso 0 1 1 display. Aparece ahora el Sptimo pulso 0 1 1 pulso de puesta a cero del Octavo pulso 1 0 0 contador, pero en el disNoveno pulso 1 0 0 play permanece el resultado de la cuenta anterior, dado que la llave de latch est cerra- mucho pensar) A,B,C y D. Estas enda, e ignora todo lo que sucede de- tradas tienen un peso determinado. trs de ella, operando como si fuera Si mantenemos las entradas a masa una memoria temporal. Terminado el el display marca cero. Si aplicamos pulso de puesta a cero, luego de un tensin a la entrada A (manteniendo instante vuelve todo a comenzar. Ha- las otras a masa) el display marca ce una nueva cuenta y al prximo uno. Si damos tensin a la entrada B pulso de latch presenta el nuevo re- el display marca dos. Si conectamos sultado, cambiando el anterior si fue- la tensin a la entrada C marcara ra distinto. En este sistema, lo que cuatro y si repetimos el procedimienobservamos es solamente el cambio to en la entrada D el display presende nmeros en el momento del pulso tara un ocho. Hasta ah viene brbade latch. Si la frecuencia es siempre ro, pero: la misma no veremos entonces camCmo hacemos para represenbio alguno en el display. tar un tres, por ejemplo? Simple, aplicamos tensin en las entradas A y B al mismo tiempo y teLOS CONTADORES nemos A+B, o sea 1+2 y el display Para poder observar la frecuencia nos presenta un 3. En el caso del que mide el contador digital tenemos seis damos tensin a las entradas B que adaptarla a nuestros parmetros y C, o sea 4+2 y en el del siete dade lectura, esto es: los nmeros del mos tensin a las entradas A,B y C cero al nueve. Para poder traducir simultneamente, obteniendo la suel lenguaje binario con el que se ma- ma 1+2+4= 7. Este circuito integrado incorpora nejan los circuitos lgicos al de los nmeros decimales, que usamos no- un cerrojo con memoria para las cuasotros, existe el circuito integrado tro entradas, denominado latch, que CD4511, que es un decodificador de funciona de la siguiente manera: si la BCD (decimal codificado en binario) entrada LE (latch enable o habilitacon excitador para una presentacin cin de cerrojo) est en estado bajo en display de 7 segmentos. Posee (esto es cero volts o a masa), los datos que ingresamos por las entradas asimismo un latch incorporado. El cdigo BCD no es otra cosa ABCD pasan directamente al display. que los nmeros del cero al nueve Si de repente pasamos la entrada LE codificados a binario. Tambin lo lla- al estado alto (le damos tensin), no man cdigo 8421. Veamos cmo es pasan ms datos y el display mantieesto: el circuito integrado tiene cuatro ne visualizado el ltimo dato que enentradas, denominadas (luego de tr. As como utilizamos este integrado para traducir el lenguaje lgico digital al de A los nmeros que corrientemente usamos, debemos 1 utilizar algn otro para que 0 nos traduzca, en este caso 1 una sucesin de eventos 0 (los ciclos de la frecuencia 1 que queremos medir), al 0 lenguaje de unos y ceros 1 del cdigo BCD que mane0 ja el CD4511. Este es un 1 contador doble (esto es que hay dos contadores en un solo encapsulado) denominado CD4518. En este contador tenemos una entrada y cuatro salidas: A,B,C y D. Supongamos que en el primer instante las salidas se encuentran en cero y entra un pulso. Veremos que la salida A cambia de estado de cero a uno. Si lo conectamos a un CD4511 observaramos un 1 en el display. Al entrar el segundo pulso la salida A cae a cero y la salida B pasa a estado alto. En el display vemos ahora un 2. Al ingresar el tercer pulso la salida B se mantiene en estado alto y la acompaa ahora la salida A, teniendo en el display un 3, y as sucesivamente hasta el 9 (vea la tabla 1). Veamos ahora el siguiente caso: supongamos que utilic el contador y med la cantidad de seis pulsos. El display marca seis y est todo brbaro, pero he aqu que quiero realizar una nueva cuenta. Si la ingreso as noms el display no me va a marcar 1 (que es el nuevo pulso que ingres) sino que me va a marcar 7, porque lo sum a los seis anteriores. Cmo puedo hacer entonces para separar las cuentas y cundo empiezo una nueva que arranque de cero? Hay en estos contadores una entrada de reset (restablecimiento a cero) que al aplicarle un nivel alto hace que olvide la cuenta anterior y pase todas las salidas a cero para poder comenzar una nueva cuenta. Nos falta ver el caso de conectar dos Saber Electrnica N 5

Ar tculo de Por tada


el 7 (0111), en el 8 (1000) no hay cambio, porque D subi de 0 a 1, en el 9 (1001) sigue todo igual y en el 0 (0000) tenemos que enva un pulso al segundo contador, porque D baj de 1 a 0, obteniendo en el display el nmero 10, que coincide con el dcimo pulso de cuenta. Acurdense que los nmeros en binario se leen DCBA. Con esta introduccin terica a los contadores de cifras ya podemos ver la primera parte de este proyecto, que es un contador de dos cifras, cuya placa vemos en la figura 3. Este contador puede construirse en grupos de a dos, es decir, podemos contar de 00 a 99, de 0000 a 9999 o de 000000 a 999999 (en nuestro prototipo pensamos en un frecuencmetro de cuatro dgitos y as se muestra en la foto que sirve de presentaccin para esta nota). Para esto la placa contadora tiene una conexin que se repite a cada costado, permitindonos conectar hasta tres o cuatro contadores en cascada. Observamos que tiene una entrada/salida de +12 Volts, que sirve para la alimentacin; una entrada/salida de masa; una de reset, para su puesta a cero; la entrada de cuenta de pulsos; el Latch Enable, para habilitar el cerrojo y una de CAR (que significa acarreo) que paso a explicar en detalle en los siguientes prrafos. Si miramos la placa de la figura 3 desde arriba (lado componentes), podemos ver que del lado izquierdo hay un borne llamado ENT (entrada) que no se repite del lado derecho, pero que a la misma altura hay un borne llamado CAR (acarreo). Al conectar en cascada dos o ms plaquetas para obtener 4 o ms dgitos de lectura la entrada es la de la primer placa de la izquierda; el CAR de sta se conecta a la ENT de la segunda y el CAR de la segunda a la ENT de la tercera y as sucesivamente si hubiera ms contadores. Asimismo debemos conectar las dems entradas/salidas. Para un mejor entendimiento, en la figura 4 se puede apreciar el dia-

Figura 3

contadores en cascada, esto es, uno despus del otro, para que uno cuente las unidades y el otro las decenas, por ejemplo. La pata 6 del CD4518, que es la salida D, de peso 8, se conecta a la pata 10 del mismo integrado, que es la entrada del contador siguiente. Aqu debo hacer una aclaracin: este contador tiene dos tipos de entrada; una que incrementa un nmero al detectar una transicin de 0 a 1, denominada flanco ascendente, y otra entrada que responde a un cambio de estado de 1 a 0, que se denomina flanco descendente, y es la que estamos utilizando en este diseo.

Por qu? Veamos el siguiente ejemplo: supongamos que estamos contando hasta 99. En las unidades tenemos que va contando 7 (0111), 8(1000), 9 (1001). Si tuviramos el contador conectado a la entrada de flanco ascendente, al llegar el nmero 8 la pata D se eleva de 0 a 1 enviando un pulso de cuenta al otro contador, por lo que en el display veramos el nmero 18 al octavo pulso, cosa que no condice con la realidad. En el diseo actual tenemos el segundo contador conectado a la pata de flanco descendente, obteniendo el siguiente resultado: en

Saber Electrnica N 5

Construya un Frecuencmetro Digital


Figura 4 nectamos la fuente de alimentacin de 12V, el negativo a masa y el positivo a +12V. Ya en el display debe empezar a verse algo. Si tocamos con un cablecito entre +12V y RESET debe marcar 00. Si con ese mismo cablecito tocamos ENT y MASA, con cada toque vamos a notar que se incrementa un nmero: 00, 01, 02... Si aumenta de a varios no se preocupen, es que a veces hace como unas chispas al conectarse y desconectarse y las cuenta a todas. Es lo que se llama rebote. Bien, si hasta aqu lo han hecho funcionar felicitaciones! Explicar ahora cmo continuar con la etapa de entrada y mecanismo de relojera.

grama de circuito impreso correspondiente a dos dgitos del display. Cuando uno inicia la cuenta en uno de estos contadores vemos que a cada pulso de entrada se incrementa un nmero en el display. As hasta llegar al nmero 99. Al prximo pulso el contador marca 00 y enva por la salida CAR un pulso al contador siguiente, por lo que en el display (suponiendo que sea de cuatro dgitos) se leera el nmero 0100. Si ingresamos otro tren de pulsos el primer contador volver a llegar a los 99 y al prximo pulso vuelve a 00 pero enva otro pulso por CAR al segundo contador, mostrando el display entonces el nmero 0200. Como pueden observar, aqu hay dos reset diferentes: uno es el de los contadores, que por s mismos vuelven a cero despus del nmero 9 y otro muy distinto es el que acciono de forma externa, y es el que est marcado en la plaqueta como RESET. Supongamos que me aburr de contar y me qued en el display el nmero 2546. Para reiniciar el contador aplico un pulso positivo en RESET y vuelve a 0000, pero porque yo lo quise, no porque fuera una consecuencia lgica de la cuenta (despus del 9 viene el cero). Por qu el proyecto est dividido en varias pequeas plaquetas y no en una grande? Porque la idea es que estos aparatos sean montados por estudiantes, hobbystas y experimentadores con poca experiencia en la electrnica y en todos estos aos he aprendi-

do que a los problemas hay que limitarlos; esto es, que si un contador no funciona doy todas las herramientas para revisarlo y probarlo hasta descubrir el error. El equivocarse en el armado de una placa es una de las mejores formas de aprender a analizar circuitos electrnicos, pero una cosa es revisar una plaquita de 5x7 cm con tres integrados, en la cual ya s que ah est la falla que intentar arreglar un placote de 15x20 cm donde probablemente no sepa ni por dnde empezar. Con respecto al armado, recuerden que son dos plaquetas que se conectan entre s con alambres que bien pueden ser los que sobran de las resistencias. Una de ellas va horizontal (la de los integrados) y la de los display va montada vertical para facilitarnos la lectura. Tengan en cuenta que para CMOS van display de ctodo comn. Recuerden montar primero los puentes de conexin y presten atencin que hay uno debajo de los integrados CD4511. Luego van las resistencias, despus los condensadores (cuidado con la polaridad) y por ltimo los circuitos integrados. Y hablando de pruebas, una vez armado el contador llega el momento de probarlo. Para empezar, hay que conectar externamente a la placa una resistencia de 10k entre los bornes ENT y +12V. Esto se hace para cargar la entrada con baja impedancia, dado que los integrados CMOS no pueden quedar con las patitas al aire dado que toman ruido del ambiente y provocan funcionamientos aleatorios. Luego de esto co-

LA ETAPA DE ENTRADA Pasamos ahora a la descripcin de la placa ms compleja. Esta consta de un amplificador conformador de seal de entrada y un sistema de relojera que brinda los pulsos de reloj, latch y reset para accionar los contadores y display, tal como se muestra en el circuito de la figura 5. La seal a medir ingresa a la base de un transistor de alta frecuencia, en este caso us un BF199, pero puede utilizarse tambin un BF494. Se amplifica y transfiere a otro BF199, cuyo colector est conectado a una serie de compuertas NAND tipo 74LS132, que se encargan de darle forma perfectamente cuadrada a las seales que entren al sistema. El uso de estos integrados, de tecnologa TTL (Transistor Transistor Logic) obedece a que son muy rpidos. Tengan en cuenta que con este frecuencmetro debemos poder medir con comodidad el oscilador de mezcla del equipo QRP de 80 metros, que anda por los 8MHz. Los integrados CMOS de la serie CD4XXX son muy econmicos y trabajan con cualquier tensin, pero son lentos, no pudiendo contar ms all de los 3 4MHz. Los integrados TTL son ms caros y hay que alimentarlos con una Saber Electrnica N 5

Ar tculo de Por tada

Figura 5 tensin estabilizada de +5V, pero en el prototipo de este frecuencmetro han llegado a medir hasta los 31MHz. Vale la pena gastar un centavito ms. Despus de las compuertas NAND, con la seal ya puesta en forma, tenemos un integrado 74LS90, que divide la frecuencia a medir por diez. Por qu es esto? Pues porque como ya dijimos, los CMOS son lentos, en este caso luego de un divisor TTL una frecuencia de 8MHz se transformara en una de 800kHz, valor perfectamente manejable para un dispositivo CMOS. Lgicamente tenemos que adecuar el display a los cambios de resolucin en la lectura, dado que se altera la precisin del frecuencmetro, pero ese detalle lo dejo para el final. Ahora tenemos una gama de frecuencias que es manejable por los CMOS, pero tenemos un problema: los TTL manejan 5 Volt, y los CMOS 12 Volt. Para solucionar este inconveniente es que intercalamos entre la salida del 74LS90 y la entrada del mecanismo de relojera, que es CMOS, un transistor BC547, cuya base es excitada con la salida de +5V del 74LS90 pero alcanza para enviarlo a la saturacin an con los +12V conectados a su colector. As tenemos repetido en el colector con +12V las seales de entrada que tienen +5V. En la figura 6 mostramos la tercera y ltima placa de este proyecto, que reune las etapas de entrada del

Figura 6

10

Saber Electrnica N 5

Construya un Frecuencmetro Digital


contador Johnson, que divide por diez los 50Hz para obtener 5Hz, o sea, 5 cuentas por segundo. A esa frecuencia, la puerta de entrada permanece 0,1segundo abierta y en el 0,1 segundo restante da los pulsos de latch y reset. La mejor forma de verlo es seguir paso a paso los estados del contador en la figura 7. Ahora bien, para obtener los 50Hz (o 500Hz para medir megaciclos) es necesario partir de una frecuencia mucho ms elevada y estable, para que al irla dividiendo aumente la precisin. Normalmente se utiliza un cristal, que provee una oscilacin precisa y sumamente estable conectado a una cadena divisora. Por ejemplo, para obtener 50Hz partimos de un cristal de 5MHz y dividimos por 10 para obtener 500kHz, a su vez por 10 para obtener 50kHz, otra vez por 10 para tener 5kHz, de nuevo por 10 para sacar 500Hz y por ltimo nuevamente por 10 para finalmente disponer los dichosos 50Hz. Hemos tenido que emplear 5 divisores por 10, ms el oscilador de cristal, se hace bastante engorroso y tambin mucho ms caro. No hemos mencionado el problema de conseguir un cristal de 5MHz, cosa bastante difcil. No sera ideal poder utilizar cualquier cristal que disponga, por ejemplo, tirado en el taller o que pueda recuperar de una PC, video o TV viejo? En este proyecto he utilizado el ms comn de todos los cristales, ese que sirve para NTSC y que sobra de todas las conversiones; el 3,579545M y paso a explicar cmo encajarlo en el diseo (vea la figura 8). Lo primero que tenemos que hacer es estirar la frecuencia de oscilacin del cristal hasta un nmero entero. Para esto vemos que en el CD4011 que hace de oscilador hay un trimmer o compensador, que es un capacitor variable ajustable a tornillo. Con ese trimmer se ajusta a la frecuencia de 3.580.000Hz, que coSaber Electrnica N 5

Figura 7 frecuencmetro. Ahora bien, habamos explicado que para que el contador acte hace falta una puerta de 1 segundo, luego de cerrarse sta un pulso de latch para mostrar el resultado en el display y un pulso que vuelva a cero los contadores, para comenzar todo una vez ms. Les haba comentado que este contador de frecuencias lo dise como visor de sintona de un equipo QRP. Tuve en cuenta entonces que la puerta de un segundo era muy lenta para el caso de una sintona continua, porque hace una medicin cada dos segundos (un segundo para medir y otro segundo para los pulsos de latch y reset). Es as que escog una puerta de 0,1 segundo, lo que me permite hacer cinco mediciones en un segundo, logrando as suficiente rapidez en la visualizacin. Esto trae aparejada una reduccin en la precisin del equipo, pero es aceptable en un equipo de radioaficionado. En este caso, si estoy en una frecuencia de 3.566.923MHz, dado que ya divid por diez en la entrada y le aplico la dcima parte a la puerta de entrada (equivalente a dividir nuevamente por diez) tengo que en el display observo 03.566.9 MHz, que como ya dije, es una precisin ms que suficiente para un transmisor QRP o su fase de ajuste. Un detalle a tener en cuenta es que siempre me acuerdo de los pobres (porque me incluyo en el gremio) y si ven en la plaqueta de relojera dos salidas, una de 500Hz y otra de 50Hz, es porque doy la posibilidad, al que no tiene los medios de armar un contador con 6 dgitos, a medir megahertz con cuatro display, a saber: Si intento medir un oscilador de 7.482.600Hz con cuatro dgitos voy a ver en el display 482.6 Y cuntos megahertz hay entonces? Simple, para este caso utilizamos una puerta de 0,01 segundo, que es como dividir por cien en la entrada que ya est dividida por diez, lo que nos hace obtener en el display de cuatro cifras el nmero 7.482 cuando la entrada de reloj est en 500Hz (puerta de 0,01s) y el nmero 482,6 cuando est en 50Hz (puerta de 0,1s). Con un simple clculo mental armamos la cifra 7.482.600, que la obtenemos con una precisin de 100Hz, ms que suficiente para nosotros. Paso a describir en detalle el sistema que utilizo para obtener la puerta y los pulsos de control. Es un viejo diseo europeo que es, a mi criterio, el que mejor funciona. Consta de un integrado CD4018, que es un

11

Ar tculo de Por tada

Figura 8 mo ven, est apenas 455 Hz ms arriba que la frecuencia de trabajo del cristal. Para este ajuste es imprescindible que un amigo nos preste un frecuencmetro o podemos mezclarlo con un receptor de radioaficionado con sintona digital. Esto es, acercamos la antena del receptor al oscilador, sintonizamos 3.580.0 en CW y ajustamos el trimmer hasta que justo all no se oiga ningn chiflido. Tenemos ahora 3.580.000Hz y tenemos que obtener 50Hz. Debemos hacer un divisor por 71.600. Cmo hacemos? Empezamos utilizando un CD4040 conectado para dividir por 716, obteniendo hasta aqu 5.000Hz. A esta frecuencia la ingresamos a un doble divisor por 10 CD4518 obteniendo una salida de 500Hz para contar megaciclos (si hiciera falta) y la dichosa frecuencia de 50Hz a la salida del ltimo divisor. Si quisieran conectar algn otro cristal o incluso hacer algn experimento con frecuencias extraas les explicar en detalle cmo es el uso del CD4040 como divisor programable. Para los que van a utilizar cuatro display y necesiten conmutar la frecuencia de clock, recuerden que deben instalar una llave doble inversora de la siguiente manera: El punto medio de una de ellas va a la entrada CLK del CD4018 (pata 14). Una va a la salida 500Hz del CD4518 (pata 10) y otra va a la salida 50 Hz del mismo integrado (pata 14). El otro punto medio va a una resistencia de 1K y sta a su vez a + 12V. Los extremos van a las conexiones dp (decimal point) del display, de forma que los puntos aparezcan en el lugar correcto cuando mide MHz (en 500Hz) que se vera (p.ej) 5.937 y cuando mide KHz (en 50 Hz) vgr. 937.2. Para los que van a utilizar seis o ms dgitos directamente unan con un cable la pata 14 del CD4518 con la pata 14 del CD4018 (figura 9). De esta manera hemos concluido con la explicacin del funcionamiento del frecuencmetro, el cual puede montar sin inconvenientes desde este momento. Sin embargo, para los principiantes, en la prxima edicin daremos el circuito completo de este proyecto en un solo diagrama, incluiremos la lista completa de materiales y explicaremos la forma de construir el frecuencmetro para no cometer errores. De esta manera, si Ud. es un tcnico experimentado ya puede comenzar el montaje de este valioso instrumento, caso contrario le sugiero que se contacte con ateclien@webelectronica.com.ar para obtener los detalles del armado o que aguarde hasta la prxima edicin. Figura 9

12

Saber Electrnica N 5

You might also like