You are on page 1of 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO.

9, SEPTEMBER 2004

2215

Passive Reduction Algorithm for RLC Interconnect Circuits With Embedded State-Space Systems (PRESS)
Dharmendra Saraswat, Student Member, IEEE, Ramachandra Achar, Senior Member, IEEE, and Michel S. Nakhla, Fellow, IEEE

AbstractWith the increasing operating frequencies and functionality in modern designs, the resulting size of circuit equations of high-frequency interconnect and microwave subnetworks are becoming large. Model-order reduction-based algorithms were recently suggested to handle the solution complexity of such circuits. The major objectives in state-of-the-art model-reduction algorithms are: 1) achieving accurate and compact models; 2) numerically stable and efficient generation of models; and 3) preservation of system properties such as passivity. Algorithms such as PRIMA generate guaranteed passive reduced-order models for large interconnect circuits described by RLC type of circuits. However, with the diverse technologies and complex geometries, it is becoming prevalent to describe some of the embedded linear modules in terms of state-space equations. In this paper, we show how to extend the scope of PRIMA-type first-level reduction algorithms for simultaneous reduction of combined circuits containing both RLC interconnects and embedded modules described by general passive state-space equations, while preserving the passivity of the resulting reduced-order model. Necessary formulation, proof of macromodel passivity, and validation examples are given. Index TermsAlgebraic Ricatti equations, electromagnetic (EM), Hamiltonian matrices, Krylov subspace, measured subnetworks, model order reduction, passive macromodels, PRIMA, state-space systems, tabulated data, transmission lines.

I. INTRODUCTION

HE RAPID growth in microwave and very large scale integration (VLSI) technology coupled with the trend toward complex/miniature devices is placing enormous demands on computer-aided design (CAD) tools focused on high-frequency modules. The design requirements are becoming very stringent, demanding sharper excitations, denser layouts, and lower power consumption. Consequently, traditional boundaries between the circuit/EM/mechanical and thermal design considerations are rapidly vanishing. Managing the modeling and simulation in such a complex environment presents highly demanding challenges [1][5]. In recent years, model-reduction-based algorithms have had a tremendous success in addressing these

Manuscript received December 24, 2003; revised June 1, 2004. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada, by Micronet, a Canadian Network of Centers of Excellence on Microelectronics, by the Canadian Microelectronics Corporation, and by the Gennum Corporation. The authors are with the Department of Electronics, Carleton University, Ottawa, ON, Canada K1S 5B6 (e-mail: achar@doe.carleton.ca). Digital Object Identifier 10.1109/TMTT.2004.834571

difficulties. These techniques lead to significant computational advantages as the size of the reduced model is much smaller than the original system. In addition, model reduction has come to be viewed as a method for generating reduced models for all sorts of physical systems [5][30]. Krylov-subspace-based model-reduction methods [5][11] were proposed to obtain accurate models at reasonable computational cost. Preserving passivity of reduced-order models is important because stable, but nonpassive, models may lead to unstable systems when connected to other passive components. Several reduction algorithms (such as PRIMA [9]) that preserve passivity of reduced-order models of large RLC circuits have appeared. Recently, due to the diverse nature of high-speed modules in microwave and integrated-circuit designs, macromodeling based on state-space equations is gaining importance. For example, a given linear subnetwork describing a signal path could consist of a large lumped RLC network, distributed transmission lines, and electromagnetic (EM) and measured modules (see Fig. 1). The preferred approach in such cases is to analyze each of these modules separately (using the most appropriate algorithm available for that purpose, e.g., measured subnetworks are characterized using algorithms such as discussed in [31][40], multiconductor transmission lines are macromodeled using algorithms such as discussed in [6] and [11], etc.), and subsequently represent them by passive state-space equations. Generally, these embedded state-space systems are of relatively low order compared to the RLC part of the circuit. From the transient analysis perspective, it would be of great interest to reduce the large RLC part and multiple embedded state-space systems simultaneously so as to get a single passive macromodel. However, PRIMA (referred to as a first-level reduction algorithm in literature, which is applicable to large systems) in its current form has practical issues that may prevent its application to include systems outside the class of RLC circuits. It employs congruence transformation and can preserve the passivity of the reduced model of the original system provided its circuit matrices satisfy the positive semidefinite conditions outlined in [9]. However, including embedded modules described by state-space equations may pose difficulties in satisfying these conditions. If the passive state-space equations are specifically derived in a form that is suitable for PRIMA (e.g., those resulting from macromodeling of EM subnetworks as described by [13][15]), then the passivity of the resulting model can

0018-9480/04$20.00 2004 IEEE

2216

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 9, SEPTEMBER 2004

Fig. 1. Linear subnetwork  with diverse high-speed modules (distributed, EM, RLC elements, etc.).

be preserved. However, in the case of general embedded passive state-space systems (e.g., macromodels of measured subnetworks resulting from [31] and [36], from second-level reduction algorithms such as [24], etc.) the positive semidefiniteness of the resulting unified circuit matrices may not be guaranteed, leading to nonpassive reduced model. This is illustrated with a numerical example in Section III. To address the above difficulty, this paper presents passive reduction algorithm for RLC interconnect circuits with embedded state-space systems (PRESS). The proposed algorithm adopts a PRIMA type of formulation for RLC networks while providing a means to include multiple passive state-space described modules. Reduction is performed using a congruent type of transformations to obtain a single passive macromodel for the entire linear subnetwork. This paper also presents the proof of passivity of the reduced-order model. Examples are provided to demonstrate the accuracy and efficiency of the proposed algorithm. It is to be noted that second-level reduction algorithms (such as positive-real balance realization (PR-TBR) [24], which are applicable to stand-alone and relatively smaller state-space systems) can still be used to achieve further reduction in the order of the reduced model. The remainder of this paper is organized as follows. Section II briefly reviews passivity issues and the PRIMA algorithm. Section III presents the problem formulation pertinent to this paper. Section IV presents the proposed reduction algorithm for RLC circuits with embedded state-space systems. Sections V and VI present computational results and conclusions, respectively. II. REVIEW OF PASSIVITY ISSUES AND THE PRIMA ALGORITHM A brief background on passivity issues and also passive model-order reduction based on PRIMA type of algorithms is provided here. Passivity implies that a network cannot generate more energy than it absorbs, and no passive termination of the network will cause the system to go unstable. Therefore, when modeling linear passive systems, it is desired that the resulting reduced-order model is also passive. The loss of passivity can be a serious problem because transient simulations of reduced networks may encounter artificial oscillations when connected to the rest of the circuitry. Krylov-subspace-based techniques were suggested in the literature to overcome the ill conditioning with the direct Pad approximation [8] and also to ensure passivity of reduced-order

models [9], [10]. A brief overview of one of these methods, i.e., PRIMA, as relevant to the method proposed in this paper is given here. Consider a -port linear subnetwork consisting of lumped RLC components, which can be expressed using timedomain modified nodal analysis (MNA) equations as

(1) where the following holds. is the vector of node voltage waveforms appended by independent voltage source current and linear is the node-space of subnetwork . inductor current. is a selector matrix, map ) of the subping port voltages into the node space ( network , where . and are port voltage and current vectors, respectively. , are constant matrices describing lumped memory and memoryless elements of subnetwork , respectively. and are obtained using the formulation in [9], such that (2) where , , are matrices containing stamps of resistors, capacitors, and inductors, respectively. Matrix corresponds to current variables in a Kirchoff s current law (KCL) formulation. Provided that the , , original network is composed of RLC elements, lead to symmetric nonnegative definite matrices. and With this formulation, the resulting is also symmetric nonnegative definite. Taking the Laplace transform of (1) and solving for port current variables, the admittance matrix of the -port linear subnetwork can be obtained as

(3) where is an identity matrix. Next, to reduce is reduced to a small the order of the system, the matrix , such that . This is upper Hessenberg matrix

SARASWAT et al.: PRESS

2217

accomplished by obtaining an orthonormal basis by spanning the Krylov subspace such that

(4) (5) where the operator represents the truncation to the nearest integer toward zero [9]. For example, assume a three-port char) and let . In this case, we have acterization (i.e., ; and (4) can be written as

Fig. 2.

RLC circuit with an embedded state-space module.

(12) Let be the total number of state variables, be the number of ports, and the dimensions of matrices are as follows: , , , , and . Some examples of such linear subnetworks include the systems that result from passive rational approximation of tabulated data [33][40], second-level reduced models [24], etc. Next, the state-space system of (11) and (12) can be included in time-domain MNA equations of lumped RLC elements (1) as

(6) Next, the reduced-order admittance matrix is found by mapping the vector to a smaller vector using the orthogonal matrix and congruence transformation as (7)

(13) where is the domain of the lumped part of the network; with elements where , with a maximum of one nonzero in each row or column is a selector of matrix that maps the port current vector the embedded module into the node space of the linear subnetwork . Also, port voltage vector of the embedded module is related to as . Combining (11)(13), we can write the augmented set of equations as

(8) where (9) Therefore, in the Laplace domain, the reduced-order admittance matrix can be written as (10) Equation (8) represents the reduced-order model of the system. are From (10), it can be seen that the eigenvalues of the reciprocal of the poles of the reduced-order system. It is to be noted that, the PRIMA algorithm in its current form is limited to the RLC type of interconnects so as to satisfy the passivity requirements of the reduced model. Section III provides the formulation of the problem for this paper and discusses the limitations of the PRIMA algorithm when applied to RLC circuits with general embedded passive state-space systems. III. PROBLEM FORMULATIONEMBEDDED STATE-SPACE SYSTEMS Consider an embedded linear module described by passive state-space equations [33][40]. Without loss of generality, conand the output sider the input to be the port current vector and the state-space equations to be the port voltage vector can be written as (11)

(14) It is to be noticed that the augmented set of (14) can be easily extended to handle multiple embedded state-space modules. If a PRIMA like algorithm is used on (14) there is no guarantee that the reduced model will be passive. This is because, although the matrices , , and are formulated as per (2), unidoes not guarantee passive reduction. We illusfied matrix trate this issue through the following example (Fig. 2). Here a two-port linear subnetwork is considered, which consists of RLC lumped components and an embedded passive two-port

2218

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 9, SEPTEMBER 2004

state-space module of order 4 ( , , , ). The unified formulation of (14) resulted in a MNA of order 14 and the corresponding matrices are given as follows:

Fig. 3. Eigenvalue spectrum of Real(Y (j!)) versus frequency.

IV. PROPOSED ALGORITHM: PRESS The proposed algorithm for a passive reduction of large RLC interconnect networks with embedded passive state-space systems is presented here. For the purpose of simplicity of presentation, the discussion given below corresponds to the case of RLC circuits with a single embedded state-space system. The discussion can be easily extended to the case of multiple embedded state-space systems. A. Formulation of Unified Network Equations Consider the case of a single -port embedded state-space module described by (11) and (12) with -states. In order to ensure the passivity of the reduced-order model, the following formulation is used. Pre-multiplying (11) by a real matrix , we can write (15) (16) Using (15) and (16), the unified network (14) can be rewritten as

(17) Next, the above set of equations are reduced to a system of order 6 using congruence transforms, as suggested by (8) and (9). However, it is found that the reduced system is nonpassive. Fig. 3 confirms this, which shows the plot of the eigenvalue of real-part-admittance matrix of the combined linear subnetwork for both original and reduced cases. As seen, although the original system has a positive eigenvalue spectrum, the reduced system contains some negative eigenvalue spectrum (which indicates nonpassivity [41]). Let the total number of MNA variables in the above formulation such that , , , and . It be should be noted that the size of the embedded state-space system , ( ) is generally much smaller than that of the RLC circuit . Typically is of the order of a few hundreds, i.e., while is of the order of thousands. Hence, even though the state matrix of (11) becomes dense after multiplication by matrix (15), its impact on the overall computational cost involved in the solution of the unified system (17) is minimal.

SARASWAT et al.: PRESS

2219

Fig. 4.

Eigenvalue spectrum of Real(Y (j! )) versus frequency.

Matrix is obtained as a solution of the following linear matrix inequality (LMI) [42] (18) For the given embedded module, if can be obtained such that and satisfying (18), then the passivity of the reduced-order model is guaranteed (proof is given is given in in Section IV-C). Details of computation of Section IV-D. Taking the Laplace transformation of (17), the admittance matrix of the unified system is given as (19) B. Passive Model-Order Reduction Using the congruence transformation, the original system in (17) can be reduced as (20) where and the reduced order matrices are given by (21) Here, is an orthonormal matrix spanning the Krylov space , and is the size of the reduced order system in (21). From (20), the reduced-order admittance matrix can be obtained as (22) It has been shown in [9] that the reduced system (22) matches the first moments of the original system in (17). A mathematical proof of the passivity preservation of the proposed reduced model is given in Section IV-C. C. Proof of Passivity Preservation A network with an admittance matrix represented by passive iff [41] where " " is the complex conjugate operator (C1) is a positive real (PR) matrix, that is the product for all complex values of with and any arbitrary vector is

Fig. 5. Eigenvalue spectrum of Real(Y (j! )). Original and reduced system of (14) (Example 1).

Fig. 6. Eigenvalue spectrum of Real(Y (j! )): Original and proposed [reduced system of (17)] (Example 1).

Fig. 7. Circuit with lumped components and measured subnetwork (Example 2).

transformation matrix is real. Using the formulation of the original system, as in (17), condition (C2) can be proven for the reduced system as follows. Condition (C2) can be expressed using (22) as

(23) Substituting expressed as and , (23) can be

(C2) (24)

For the reduced-order model (22), condition (C1) is satisfied because the reduced matrices , , and are real since the

2220

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 9, SEPTEMBER 2004

Fig. 8. Frequency responses (Example 2).

Substituting for

and

from (21), (24) can be expressed as

is symmetric and nonnegative definite, it can be conSince cluded that (28)

(25) is symmetric and nonnegNoting from (17) that the matrix ative definite (since both [obtained by solving (18)] and [formulated as per (2)] are symmetric and nonnegative definite), (25) can be simplified as (26) Substituting , (26) can be written as

for any definition of

and complex vector . Next, using the from (17), can be expressed as

(29) is the conductance matrix corresponding to the Since lumped circuit elements [formed as per (2)], we have (27) (30)

SARASWAT et al.: PRESS

2221

Also note that, as per the positive-real lemma [42], there exists a for a passive state-space system, satisfying matrix (18). Using these, it can be inferred from (29) that

(31) Using (27), (28), and (31), it can be easily concluded that the passivity condition (C2) is satisfied for the reduced-order model (21). Next, to demonstrate the passivity of the reduced model numerically, consider the example described in Section III (Fig. 2). Formulation according to (17) resulted in a set of unified equations of order 14. A reduced system of order 6 was obtained using the congruence transformation (9). Next, the reduced system is verified for passivity by constructing the corresponding Hamiltonian matrix [42] and checking its eigenvalues. No imaginary eigenvalues were found and, hence, the macromodel is proven to be passive [36]. This is also numerically demonstrated by plotting the eigenvalue of the in Fig. 4 (which now contains positive real part of eigenvalues). For this example, matrix satisfying (18) is given in (32), shown at the bottom of this page. D. Computation of Matrix Here, a brief discussion of computation of a real symmetric positive semidefinite matrix is given. For additional details and related computational considerations, readers can refer to [45]. Assuming that the macromodel represented by (11) and (12) is passive, according to the positive-real lemma, there exists [42] such that the LMI given in (18) a real matrix is satisfied. The solution of the inequality (18) is related to the solution of the associated Riccati equation (33) Essentially, a solution of (33) also satisfies the LMI (18) [43]. One of the efficient methods to solve (33) is the Schur method [44], [45]. A summary of the essential steps involved in obtaining the solution is given below. Noting that , lies in the stable a solution of (33), satisfying subspace of the following Hamiltonian matrix:

Fig. 9. Eigenvalues spectrum of Real(Y (j!)) versus frequency for the reduced system (Example 2).

where

and

, a quasi-upper-triangular matrix with all the eigenvalues of vectors comprising lying in the left-half plane. The Schur span the stable invariant subspace

(34) can be obtained as follows: compute an orthogonal matrix such that (35)

. The and the solution of (33) is given by computational cost involved in computing is , being the order of the state-space system. It is to be noted from (17) is needed only for the embedded passive state-space that modules, which are usually of relatively low orders compared to the RLC part of the circuit. For cases where the state-space system is in the following descriptor form: (36) (37)

(32)

2222

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 9, SEPTEMBER 2004

Fig. 11.

Circuit for Example 3.

Fig. 12. Eigenvalue spectrum of Real(Y (j! )). Original and reduced system of (14) (Example 3).

Fig. 10.

Time-domain responses (Example 2).

and is singular, the methodology based on additive decomposition of the transfer function corresponding to (36) and (37) can be used [46], [24]. Also, for the case where is singular or , the matrix can be calculated by using the algorithms such as in [47]. V. NUMERICAL RESULTS Here, we present three examples to demonstrate the validity and efficiency of the algorithm presented in this paper. Example 1 : This example further illustrates the concept of the proposed algorithm for passive reduction in the presence of embedded state-space systems. The same network used in Fig. 2 is considered here. The linear measured subnetwork is described by passive state-space system , , , and of order 26. Here, (14) resulted in an MNA of order 36. When this system is reduced to a system of order 20 by congruence transformation (9), it is found that the reduced system is nonpassive and is conin Fig. 5, firmed by the plot of the eigenvalue of which contains negative values [41] (denoted by dashed line). Next, the proposed unified formulation using (17) is obtained and is reduced to a order of size 20. Proposed reduced-order system is tested for passivity by constructing the corresponding Hamiltonian matrix (34) and checking its eigenvalues. No imaginary eigenvalues were found and, hence, the macromodel is proven to be passive [36] (also demonstrated numerically in Fig. 6 by plotting the eigenvalue spectrum of against frequency [41]).

Fig. 13. Eigenvalue spectrum of Real(Y (j! )). Original and proposed [reduced system of (17)] (Example 3).

Example 2 : In this example, a two-port linear subnetwork with an embedded two-port measured module (via), 610 resistors, 600 inductors, and 200 capacitors is considered (Fig. 7). The passive state-space macromodel for the measured module was obtained using [37] with an order of 45 45. The overall size of MNA matrices (17) (of the lumped circuit with the macromodel of measured module) is 1433 1433. Using the proposed passive model-reduction scheme, the reduced macromodel of size 100 100 is obtained. Fig. 8 compares the -parameters of the original (non-reduced) and reduced systems and they match accurately up to 6 GHz. The reduced-order system is tested for passivity by constructing the corresponding Hamiltonian matrix (34) and checking its eigenvalues. No imaginary eigenvalues were found and, hence, the macromodel is proven to be passive [36] (also demonstrated numerically in Fig. 9 by plotting the eigenvalue spectrum of against frequency [41] up to 100 GHz). Next, the reduced system is linked to HSPICE and a nonlinear transient analysis is performed for an input pulse having rise and fall times of 0.25 ns and a pulsewidth of 5 ns. The

SARASWAT et al.: PRESS

2223

Fig. 14.

Frequency responses for Example 3.

results at nodes , , and are shown in Fig. 10. For validation purposes, the original system (non-reduced) was also subjected to the transient analysis (using HSPICE) with the similar input and terminations, and the results are compared in Fig. 10. As seen, both match accurately. The reduced system

took 2.17 s, while the original system took 29.92 s on a Sun-Ultra-20 machine. Example 3 : In this example, a three-port linear subnetwork of Fig. 11 is considered. It consists of 4000 resistors, 2000 inductors, 2000 capacitors, and a three-port measured module.

2224

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 9, SEPTEMBER 2004

machine. The resulting macromodel is tested for passivity by constructing the corresponding Hamiltonian matrix (34) and checking its eigenvalues. No imaginary eigenvalues were found and, hence, the proposed macromodel is proven to be passive [36] (also demonstrated numerically in Fig. 13, by plotting the against frequency [41]). Fig. 14 first eigenvalue compares the -parameters of the original and reduced system (proposed) and they match accurately up to 6.0 GHz. Next, the reduced system is linked to HSPICE and a nonlinear transient analysis is performed for an input pulse having a rise and fall time of 0.1 ns and a width of 5 ns. The results at node , , , and are shown in Fig. 15. For validation purposes, the original system was also subjected to the transient analysis (using HSPICE) with the similar input and terminations, and the results are compared in Fig. 15. As seen, both match accurately. The proposed reduced system took 97 s, while the original system took 2041 s on a Sun-Ultra-20 machine (on a comparative note, the transient simulation of the concatenated system of individual reduced models of RLC networks and the state-space model of the measured network required 312 s for providing comparable responses of Fig. 15).

VI. CONCLUSIONS In this paper, a new algorithm (i.e., PRESS) has been presented to extend the scope of PRIMA-based first-level model-order-reduction algorithms for circuits with both RLC interconnects and multiple embedded modules described by passive state-space equations. A new formulation is presented to guarantee the passivity of the reduced-order model in the presence of multiple embedded passive state-space modules. The proposed algorithm helps to identify a single passive macromodel for linear subnetworks with RLC lumped components and numerous embedded passive devices. REFERENCES
[1] H. B. Bakoglu, Circuits, Interconnections and Packaging for VLSI. Reading, MA: Addison-Wesley, 1990. [2] A. Deustsch, Electrical characteristics of interconnections for high-performance systems, Proc. IEEE, vol. 86, pp. 315355, Feb. 1998. [3] M. Nakhla and R. Achar, Multimedia Book Series on Signal Integrity. Ottawa, ON, Canada: OMNIZ Global Knowledge Corporation, 2002. [4] C. Paul, Analysis of Multiconductor Transmission Lines. New York: Wiley, 1994. [5] R. Achar and M. Nakhla, Simulation of high-speed interconnects, Proc. IEEE, vol. 89, pp. 693728, May 2001. [6] Q. Yu, J. M. L. Wang, and E. S. Kuh, Passive multipoint momentmatching model order reduction algorithm on multiport distributed interconnect networks, IEEE Trans. Circuits Syst. I, vol. 46, pp. 140160, Jan. 1999. [7] W. T. Beyene and J. E. Schutt-Aine, Krylov subspace based modelorder reduction techniques for circuit simulations, in IEEE Midwest Circuits and Systems Symp., vol. 1, Aug. 1996, pp. 331334. [8] P. Feldmann and R. W. Freund, Efficient linear circuit analysis by Pad approximation via the Lanczos process, IEEE Trans. Computer-Aided Design, vol. 14, pp. 639649, May 1995. [9] A. Odabasioglu, M. Celik, and L. T. Pileggi, PRIMA: Passive reducedorder interconnect macromodeling algorithm, IEEE Trans. ComputerAided Design, vol. 17, pp. 645654, Aug. 1998.

Fig. 15.

Transient results of Example 3.

Passive state-space macromodel of order 132 132 was obtained for the measured subnetwork using [37]. The overall size of resulting MNA matrices, using the regular PRIMA formulation (14) (including both the lumped circuit and passive state-space macromodel) was 4142 4142. This system was reduced to a system of order 192 192 by congruence transformation (9) and it is found that the reduced system is nonpassive and is confirmed by the plot of the first eigenvalue of in Fig. 12 (denoted by the dashed line). Next, using the proposed unified formulation (17), a reduced-order model with order same as the previous case (192 192) is obtained. The time taken by the proposed algorithm to create the reduced model was 31.2 s on a Sun-Ultra-20

SARASWAT et al.: PRESS

2225

[10] K. J. Kerns, I. L. Wemple, and A. T. Yang, Preservation of passivity during RLC network reduction via split congruence transformations, in Proc. 34th ACM/IEEE Design Automation Conf., Anaheim, CA, June 1997, pp. 3439. [11] M. Celik and A. C. Cangellaris, Simulation of multiconductor transmission lines using Krylov subspace order-reduction techniques, IEEE Trans. Computer-Aided Design, vol. 16, pp. 485496, May 1997. [12] Q. Su, V. Balakrishnan, and C. K. Koh, A factorization-based framework for passivity-preserving model reduction of RLC systems, in Proc. 39th ACM/IEEE Design Automation Conf., New Orleans, LA, June 2002, pp. 4045. [13] Y. Zu and A. C. Cangellaris, A new finite element model for reduced order electromagnetic modeling, IEEE Microwave Wireless Comp. Lett., vol. 11, pp. 211213, May 2001. [14] A. C. Cangellaris, S. Pasha, J. L. Prince, and M. Celik, A new discrete transmission line model for passive model order reduction and macromodeling of high-speed interconnections, IEEE Trans. Adv. Packaging, vol. 22, pp. 356364, Aug. 1999. [15] A. C. Cangellaris, M. Celik, S. Pasha, and L. Zhao, Electromagnetic model order reduction for system-level modeling, IEEE Trans. Microwave Theory Tech., vol. 47, pp. 840850, June 1999. [16] J. Cullum, A. Ruehli, and T. Zhang, A method for reduced-order modeling and simulation of large interconnect circuits and its application to PEEC models with retardation, IEEE Trans. Circuits Systems II, vol. 47, pp. 261273, Apr. 2000. [17] J. M. Wang, C. C. Chu, Q. Yu, and E. S. Kuh, On projection based algorithms for model-order reduction of interconnects, IEEE Trans. Circuits Systems I, vol. 49, pp. 15631585, Nov. 2002. [18] L. Knockaert and D. D. Zutter, Laguerre-SVD reduced-order modeling, IEEE Trans. Microwave Theory Tech., vol. 48, pp. 14691475, Sept. 2000. , Stable laguerre-SVD reduced-order modeling, IEEE Trans. Cir[19] cuits Systems I, vol. 50, pp. 576579, Apr. 2003. [20] B. Denecker, F. Olyslager, L. Knockaert, and D. D. Zutter, Generation of FDTD subcell equations by means of reduced order modeling, IEEE Trans. Antennas Propagat., vol. 51, pp. 18061817, Aug. 2003. [21] A. C. Cangellaris and A. Ruehli, Model order reduction techniques applied to electromagnetic problems, in IEEE Electrical Performance of Electronic Packaging Conf., Oct. 2000, pp. 239242. [22] M. Celik and A. C. Cangellaris, Efficient transient simulation of lossy packaging interconnects using moment-matching techniques, IEEE Trans. Comp., Packag., Manufact. Technol., vol. 19, pp. 6473, Feb. 1996. [23] J. Roychowdhury, Reduced order modeling of linear time-varying systems, in Proc. Int. Computer Aided-Design Conf., Santa Clara, CA, Nov. 1998, pp. 9296. [24] J. R. Phillips, L. Daniel, and L. M. Silveira, Guaranteed passive balancing transformations for model order reduction, IEEE Trans. Computer-Aided Design, vol. 22, pp. 10271041, Aug. 2003. [25] J. E. Bracken, Passive modeling of linear interconnect networks, Dept. Elect. Comput. Eng., CarnegieMellon Univ., Pittsburgh, PA, 1996. [26] N. Marques, M. Kamon, J. White, and L. M. Silveira, A mixed nodal-mesh formulation for efficient extraction and passive reduced-order modeling of 3D interconnects, in Proc. 35th ACM/IEEE Design Automation Conf., San Francisco, CA, June 1998, pp. 297302. [27] J.-R. Li, F. Wang, and J. White, Efficient model reduction of inter-connect via approximate system grammians, in Proc. Int. Computer AidedDesign Conf., San Jose, CA, Nov. 1999, pp. 380383. [28] P. Rabiei and M. Pedram, Model order reduction of large circuits using balanced truncation, in Proc. Asia and South Pacific Design Automation Conf., Hong Kong, Jan. 1999, pp. 237240. [29] I. M. Jaimoukha and E. M. Kasenally, Krylov subspsace methods for solving large Lyapunov equations, SIAM J. Numer. Anal., vol. 31, pp. 227251, 1994. [30] P. Rabiei and M. Pedram, Model reduction of variable-geometry interconnects using variational spectrally-weighted balanced truncation, in Proc. Int. Computer Aided-Design Conf., San Jose, CA, Nov. 2001, pp. 586591. [31] C. P. Coelho, J. R. Phillips, and L. M. Silveira, A convex programming approach to positive real rational approximation, in Proc. IEEE/ACM Int. Computer-Aided Design Conf., November 2001, pp. 245251.

[32] H. Chen and J. Fang, Enforcing bounded realness of S parameter through trace parameterization, in IEEE 12th EPEP Topical Meeting, Princeton, NJ, Oct. 2003, pp. 291294. [33] J. Morsey and A. C. Cangellaris, Passive realization of interconnect models from measured data, in IEEE 10th EPEP Topical Meeting, Cambridge, MA, Oct. 2001, pp. 4750. [34] R. Neumayer, F. Haslinger, A. Stelzer, and R. Weigel, On the synthesis of equivalent circuit models for multiports characterized by frequencydependent parameters, IEEE Trans. Microwave Theory Tech., vol. 50, pp. 27892796, Dec. 2002. [35] W. T. Beyene and J. E. Schutt-Aine, Efficient transient simulation of high-speed interconnects characterized by sampled data, IEEE Trans. Comp., Packag., Manufact. Technol., pt. B, vol. 21, pp. 105114, Feb. 1998. [36] D. Saraswat, R. Achar, and M. Nakhla, Passive macromodels of microwave subnetworks characterized by measured/simulated data, in Proc. IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, June 2003, pp. 9991002. , A fast algorithm and practical considerations for passive macro[37] modeling of measured/simulated data, IEEE Trans. Comp., Packag., Manufact. Technol., vol. 27, pp. 5770, Feb. 2004. [38] M. Elzinga, K. L. Virga, and J. L. Prince, Improved global rational approximation macromodeling algorithm for networks characterized by frequency-sampled data, IEEE Trans. Microwave Theory Tech., vol. 48, pp. 14611468, Sept. 2000. [39] W. T. Beyene and J. E. Schutt-Aine, Accurate frequency-domain modeling and efficient simulation of high-speed packaging interconnects, IEEE Trans. Microwave Theory Tech., pp. 19411947, Oct. 1997. [40] S. Min and M. Swaminathan, Efficient construction of two port passive macromodels for resonant networks, in IEEE 10th EPEP Topical Meeting, Cambridge, MA, Oct. 2001, pp. 230232. [41] E. Kuh and R. Rohrer, Theory of Active Linear Networks. San Francisco, CA: Holden-Day, 1967. [42] S. Boyd, L. El Ghaoui, E. Feron, and V. Balakrishnan, Linear Matrix Inequalities in System and Control Theory. Philadelphia, PA: SIAM, 1994, vol. 15, Studies Appl. Math.. [43] S. Bittanti, A. J. Laub, and J. C. Willems, The Riccati Equation. Berlin, Germany: Springer-Verlag, 1991. [44] A. J. Laub, A Schur method for solving algebraic Riccati equations, IEEE Trans. Automat. Contr., vol. AC-24, pp. 913921, Dec. 1979. [45] W. F. Arnold and A. J. Laub, Generalized eigen-problem algorithms and software for algebraic Riccati equations, Proc. IEEE, vol. 72, pp. 17461754, MONTH 1984. [46] B. Kagstorm and P. Van Dooren, A generalized state-space approach for the additive decomposition of a transfer matrix, J. Numer. Linear Algebra Applicat., vol. 1, no. 2, pp. 165181, 1992. [47] N. Sadegh, J. D. Finney, and B. S. Heck, An explicit method for computing the positive-real lemma matrices, in Proc. 33rd IEEE Decision and Control Conf., 1994, pp. 14641469.

Dharmendra Saraswat (S03) received the B.E. degree from the Government Engineering College (GEC), Jabalpur, India, in 1990, the M.A.Sc. degree from Carleton University, Ottawa, ON, Canada, in 2003, and is currently working toward the Ph.D. degree in electrical engineering at Carleton University. His research interests include modeling and simulation of high-speed interconnect networks, circuit simulation, and numerical algorithms. Mr. Saraswat was the recipient of the 2002 Best Student Paper Award presented at the Electrical Performance of Electronic Packaging Conference, and the Third Best Student Paper Award presented at the 2003 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was also the recipient of the Natural Sciences and Engineering Research Council (NSERC) Scholarship at the doctoral level.

2226

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 9, SEPTEMBER 2004

Ramachandra Achar (S95M00SM04) received the B.Eng. degree in electronics engineering from Bangalore University, Bangalore, India, in 1990, the M.Eng. degree in microelectronics from the Birla Institute of Technology and Science, Pilani, India, in 1992, and the Ph.D. degree from Carleton University, Ottawa, ON, Canada, in 1998. He is currently an Assistant Professor with the Department of Electronics, Carleton University. He spent Summer 1995 involved with high-speed interconnect analysis with the T. J. Watson Research Center, IBM, Yorktown Heights, NY. In 1992, he was a Graduate Trainee with the Central Electronics Engineering Research Institute, Pilani, India, and was also with Larsen and Toubro Engineers Ltd., Mysore, India, and with the Indian Institute of Science, Bangalore, India, as a Research and Development Engineer. From 1998 to 2000, he was a Research Engineer with the Computer-Aided Engineering (CAE) Group, Carleton University. He is a consultant for several leading industries focused on high-frequency circuits, systems, and computer-aided design (CAD) tools. His research interests include modeling and simulation of high-speed interconnects, model-order reduction, numerical algorithms, and development of CAD tools for high-frequency circuit analysis. Dr. Achar serves on Technical Program Committees of several leading IEEE conferences. He was the recipient of several prestigious awards including the 2000 Natural Science and Engineering Research Council (NSERC) Doctoral Award, the 1997 Strategic Microelectronics Corporation (SMC) Award, the 1996 Canadian Microelectronics Corporation (CMC) Award, and the 1998 Best Student Paper Award presented at the Micronet (a Canadian Network of Centres of Excellence on Microelectronics) Annual Workshop.

Michel S. Nakhla (S73M75SM88F98) received the M.A.Sc. and Ph.D. degrees in electrical engineering from the University of Waterloo, Waterloo, ON, Canada, in 1973 and 1975, respectively. He is currently Chancellors Professor of Electrical Engineering with Carleton University, Ottawa, ON, Canada. From 1976 to 1988, he was with Bell-Northern Research, Ottawa, ON, Canada, as the Senior Manager of the Computer-Aided Engineering Group. In 1988, he joined Carleton University, as a Professor and the Holder of the Computer-Aided Engineering Senior Industrial Chair established by Bell-Northern Research and the Natural Sciences and Engineering Research Council (NSERC) of Canada. He is the founder of the High-Speed CAD Research Group, Carleton University. He serves as a technical consultant for several industrial organizations and is the principal investigator for several major sponsored research projects. His research interests include CAD of VLSI and microwave circuits, modeling and simulation of high-speed interconnects, nonlinear circuits, multidisciplinary optimization, thermal and EM emission analysis, microelectromechanical systems (MEMS), and neural networks. Dr. Nakhla was associate editor of the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMSPART I: FUNDAMENTAL THEORY AND APPLICATIONS and guest editor of the IEEE TRANSACTIONS ON COMPONENTS, PACKAGING, AND MANUFACTURING TECHNOLOGY (Advanced Packaging) and the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMSPART II: ANALOG AND DIGITAL SIGNAL PROCESSING.

You might also like