You are on page 1of 17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013

T.D. 3 Corrig Logique squentielle


Exercice 1
Aprs avoir rappel les tables de vrit des bascules D et JK synchronises sur front montant, donnez le chronogramme des sorties Q de chacune des bascules cbles ci-dessous en fonction dune entre dhorloge H.

Bascule D
H D 0 1 Q 0 1 Q 1 0 H

Bascule JK
J 0 0 1 1 K 0 1 0 1 Q q 0 1 q Q q 1 0 q

q = valeur de Q juste avant le front montant de l'entre d'horloge.

Ces deux montages se comportent exactement de la mme faon. Il divise la frquence de leur signal d'horloge par deux : ce sont des diviseurs de frquence par deux. Ils constituent les lments de base des compteurs et des dcompteurs asynchrones.

T.D. 3 Corrig

1/17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013

Exercice 2
Soit le montage ci-dessous :

1. Donnez sa table de vrit. A 0 0 1 1 B 0 1 0 1 Q 1 1 0 q


q = valeur de Q juste avant que le passage 1 des entres A et B.

Les trois premires lignes s'obtiennent sans difficult partir de la table de vrit d'une porte NON-ET. Cette dernire est rappele ci-dessous : X 0 0 1 1 Y 0 1 0 1 X.Y 1 1 1 0
On peut remarquer que si l'entre d'une porte NON-ET est 0, alors sa sortie est 1 quelque soit la valeur prsente sur son autre entre.

Explication pour les lignes et (A = 0) :

Lorsque l'entre A du montage vaut 0, alors sa sortie Q vaut 1. Il n'est pas utile de connatre la valeur prsente sur l'autre entre de la porte NON-ET.

T.D. 3 Corrig

2/17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013 Explication pour la ligne (A = 1, B = 0) : On note C la sortie de la seconde porte NON-ET. Lorsque l'entre B du montage vaut 0, alors la sortie C vaut 1. Il n'est pas utile de connatre la valeur prsente sur l'autre entre de la porte NON-ET. On a donc Q = 1.1 = 0.

Explication pour la ligne (A = 1, B = 1) : Ce dernier cas comporte une lgre difficult. En effet, pour trouver Q, il faut connatre C, et pour connatre C il faut connatre Q. Autrement dit, pour trouver Q, il faut dj connatre Q. Toutefois, il serait plus prcis de formuler les choses ainsi : pour trouver la nouvelle valeur de Q, il faut connatre la valeur prcdente de Q. Nous allons donc appeler q la valeur prcdente de Q ; c'est--dire la valeur de Q juste avant le passage 1 des entres A et B. Il nous reste maintenant dterminer la valeur de Q en fonction de la valeur de q. Deux cas se prsentent : soit q = 0, soit q = 1. Si q = 0, alors C = B.q = 1.0 = 1 et Q = A.C = 1.1 = 0 ; Si q = 1, alors C = B.q = 1.1 = 0 et Q = A.C = 1.0 = 1. On remarque que quelque soit la valeur de q, on obtient Q = q. On peut donc en conclure que lorsque les entres A et B sont 1, la sortie Q ne change pas. Il s'agit d'un tat mmoire. 2. Quel circuit logique reconnaissez-vous ? On reconnat la table de vrit dune bascule RS avec : A = S et B = R. Remarque : Dire qu'un tat est interdit ne veut pas dire que celui-ci est indtermin. L'tat qui est normalement interdit sur une bascule RS (R = S = 0) est ici dtermin et vaut 1. Le set est donc prioritaire. Toutefois, mme si cet tat est connu, il est prfrable de ne jamais l'utiliser afin de garder une certaine cohrence : il n'est pas cohrent de demander un set et un reset en mme temps. (Il existe une autre raison d'interdire cet tat, mais nous ne l'aborderons pas ici.)

T.D. 3 Corrig

3/17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013

Exercice 3
Soit les quatre montages suivants :

Figure 1

Figure 2

Figure 3

T.D. 3 Corrig

4/17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013

Figure 4 1. partir du montage de la figure 1, remplissez le chronogramme ci-dessous :

Les bascules JK sont synchronises sur front montant et cbles en basculement permanent (J et K sont toujours 1) : La sortie Q0 bascule sur chaque front montant de H ; La sortie Q1 bascule sur chaque front montant de Q0 (donc chaque front descendant de Q0) ; La sortie Q2 bascule sur chaque front montant de Q1 (donc chaque front descendant de Q1) ; La sortie Q3 bascule sur chaque front montant de Q2 (donc chaque front descendant de Q2). 2. Que ralise le montage de la figure 1 ? chaque front d'horloge, la valeur prsente sur les sorties est incrmente de un. Ce montage est un compteur modulo 16. Il compte de 0 15.

T.D. 3 Corrig

5/17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013 3. partir du montage de la figure 2, remplissez le chronogramme ci-dessous :

Les bascules JK sont synchronises sur front descendant et cbles en basculement permanent (J et K sont toujours 1) : La sortie Q0 bascule sur chaque front descendant de H ; La sortie Q1 bascule sur chaque front descendant de Q0 (donc chaque front montant de Q0) ; La sortie Q2 bascule sur chaque front descendant de Q1 (donc chaque front montant de Q1) ; La sortie Q3 bascule sur chaque front descendant de Q2 (donc chaque front montant de Q2). 4. Que ralise le montage de la figure 2 ? chaque front d'horloge, la valeur prsente sur les sorties est dcrmente de un. Ce montage est un dcompteur modulo 16. Il dcompte de 15 0. 5. On modifie lgrement le montage de la figure 1 afin d'obtenir le montage de la figure 3. En expliquant votre raisonnement, que ralise le montage de la figure 3 ? La porte NON-ET sert dtecter la valeur 12 et la remplacer par la valeur 0. Soit M, la sortie de la porte NON-ET. Pour rappel, la sortie d'une porte NON-ET est 0 uniquement lorsque ses deux entres sont 1. M passera donc 0 lorsque Q2 et Q3 seront 1 en mme temps. Le passage de M 0 aura pour effet de provoquer un reset sur le compteur et donc de le faire repartir 0. Les sorties Q2 et Q3 passent 1 pour la premire fois sur la valeur 12. Le reset s'effectue donc au moment o le compteur atteint la valeur 12. Cette valeur ne reste pas et est immdiatement remplace par la valeur 0. M repasse alors 1 et le compteur se remet compter.

T.D. 3 Corrig

6/17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013 Q 0 1 2 10 11 12 0 1 Q3 Q2 Q1 Q0 0 0 0 1 1 1 0 0 0 0 0 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0 1 0 0 1 M 1 1 1 1 1 0 1 1

Q2 et Q3 sont 1 : activation du reset. La valeur 12 est immdiatement remplace par la valeur 0.

Le temps d'apparition de la valeur 12 se dtermine en fonction du temps de raction de la porte NON-ET et des bascules JK. En pratique, ce temps est trs faible (de l'ordre de la nanoseconde). La valeur 12 est dtecte et remplace par la valeur 0. Ce montage est un compteur modulo 12. Il compte de 0 11. 6. Cblez les bascules ci-dessous afin d'obtenir un compteur asynchrone modulo 10.

Un compteur modulo 10 compte de 0 9.

Pour mettre en place le compteur, les bascules sont cbles en basculement permanent (J et K toujours 1) et les sorties non complmentes sont relies aux entres d'horloge des bascules suivantes. Ceci permet d'effectuer le basculement d'une sortie sur le front descendant de la sortie prcdente. Pour mettre en place le modulo 10, il faut dtecter la valeur 10 et la remplacer par la valeur 0. La dtection de la valeur 10 peut se faire l'aide du passage 1 des sorties Q1 et Q3 (entre 0 et 9, ces deux sorties ne sont jamais 1 en mme temps). La sortie M de la porte NON-ET passera donc 0 lorsque le compteur atteindra la valeur 10. Le remplacement de la valeur 10 par la valeur 0 se fera en effectuant un reset sur toutes les bascules au moment ou M passera 0, c'est--dire au moment o le compteur atteindra la valeur 10. 7/17

T.D. 3 Corrig

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013 7. On modifie lgrement le montage de la figure 2 afin d'obtenir le montage de la figure 4. En expliquant votre raisonnement, que ralise le montage de la figure 4 ? La porte NON-ET sert dtecter la valeur 15 et la remplacer par la valeur 9. Soit M, la sortie de la porte NON-ET. Pour rappel, la sortie d'une porte NON-ET est 0 uniquement lorsque ses deux entres sont 1. M passera donc 0 lorsque Q2 et Q3 seront 1 en mme temps. Le passage de M 0 aura pour effet de provoquer un reset sur Q1 et Q2 et un set sur Q0 et Q3. La nouvelle valeur prsente sur la sortie du dcompteur sera donc la valeur 9 (910 = 10012). Les sorties Q2 et Q3 passent 1 pour la premire fois sur la valeur 15. Le forage de la valeur 9 s'effectue donc au moment o le dcompteur atteint la valeur 15. Cette dernire ne reste pas et est immdiatement remplace par la valeur 9. M repasse alors 1 et le dcompteur se remet dcompter. Q 3 2 1 0 15 9 8 7 Q3 Q2 Q1 Q0 0 0 0 0 1 1 1 0 0 0 0 0 1 0 0 1 1 1 0 0 1 0 0 1 1 0 1 0 1 1 0 1 M 1 1 1 1 0 1 1 1

Q2 et Q3 sont 1 : dclenche le forage de la valeur 9. La valeur 15 est immdiatement remplace par la valeur 9.

Le temps d'apparition de la valeur 15 se dtermine en fonction du temps de raction de la porte NON-ET et des bascules JK. En pratique, ce temps est trs faible (de l'ordre de la nanoseconde). La valeur 15 est dtecte et remplace par la valeur 9. Ce montage est un dcompteur modulo 10. Il dcompte de 9 0.

T.D. 3 Corrig

8/17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013 8. Cblez les bascules ci-dessous afin d'obtenir un dcompteur asynchrone modulo 13.

Un dcompteur modulo 13 dcompte de 12 0.

Pour mettre en place le dcompteur, les bascules sont cbles en basculement permanent (J et K toujours 1) et les sorties non complmentes sont relies aux entres d'horloge des bascules suivantes. Ceci permet d'effectuer le basculement d'une sortie sur le front montant de la sortie prcdente. Pour mettre en place le modulo 13, il faut dtecter la valeur 15 et la remplacer par la valeur 12. La dtection de la valeur 15 peut se faire l'aide du passage 1 des sorties Q1, Q2 et Q3 (entre 0 et 12, ces trois sorties ne sont jamais 1 en mme temps). La sortie M de la porte NON-ET passera donc 0 lorsque le dcompteur atteindra la valeur 15. Le remplacement de la valeur 15 par la valeur 12 se fera en effectuant un reset sur Q0 et Q1 et un set sur Q2 et Q3 (1210 = 11002) au moment ou M passera 0, c'est--dire au moment o le dcompteur atteindra la valeur 15.

Exercice 4
On souhaite raliser, en un seul circuit, un compteur/dcompteur asynchrone modulo 16. Ce montage devra possder deux modes de fonctionnement : un mode compteur et un mode dcompteur. La slection du mode seffectuera l'aide d'une entre S qui respectera les conditions suivantes : S = 0 mode compteur ; S = 1 mode dcompteur.

Vous avez votre disposition quatre bascules JK et toutes les portes logiques ncessaires. Les bascules sont synchronises sur front montant et possdent une entre de reset asynchrone active ltat haut. T.D. 3 Corrig 9/17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013 1. Donnez le schma de cblage du compteur/dcompteur.

La diffrence de cblage entre un compteur asynchrone et un dcompteur asynchrone est la connexion entre la sortie d'une bascule et l'entre d'horloge de la bascule suivante. Dans notre cas, puisque les bascules sont synchronises sur front montant, on obtient un compteur en connectant les sorties complmentes (Q) aux entres d'horloge des bascules suivantes et un dcompteur en connectant les sorties non complmentes (Q) ces mmes entres d'horloge. Pour passer du mode compteur au mode dcompteur, il suffit donc d'inverser la sortie de la bascule qui est connecte l'entre d'horloge de la bascule suivante. C'est la porte NON-OU exclusif qui servira effectuer cette inversion en fonction de l'entre S. Pour rappel, la table de vrit d'une porte NON-OU exclusif est la suivante : X=SQ S 0 0 1 1

Q 0 1 0 1

X 1 0 0 1
On remarque que si S = 0, alors X = Q

On remarque que si S = 1, alors X = Q

Lorsque l'entre S vaut 0, les sorties Q sont relies aux entres d'horloge des bascules suivantes : le circuit fonctionne en mode compteur. Lorsque l'entre S vaut 1, les sorties Q sont relies aux entres d'horloge des bascules suivantes : le circuit fonctionne en mode dcompteur.

T.D. 3 Corrig

10/17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013 2. Ajoutez un interrupteur automatique de remise zro lallumage.

l'allumage, le condensateur est dcharg et impose une tension de zro volt lentre de la porte NON. La porte considre cette tension nulle comme un niveau logique 0 et positionne un niveau logique 1 sur sa sortie. Un reset est alors activ sur les bascules. Le condensateur se charge ensuite travers la rsistance jusqu la tension Vcc. Une fois que la tension a atteint un certain seuil (par exemple : Vcc / 2), la porte NON la considre comme un niveau logique 1 et positionne un niveau logique 0 sur sa sortie. Le reset nest plus impos par la porte NON. 3. Ajoutez un interrupteur manuel de remise zro.

T.D. 3 Corrig

11/17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013 Dcharger le condensateur permet de se replacer dans le mme tat qu lallumage, et donc deffectuer une remise zro. Pour dcharger le condensateur, un simple bouton poussoir ses bornes est suffisant. Un appui sur le bouton aura pour effet de court-circuiter le condensateur. 4. Que suffit-il de faire pour remplacer les bascules JK par des bascules D ? La cellule de base dun compteur ou d'un dcompteur asynchrone est un diviseur de frquence par deux. Il suffit donc de remplacer les bascules JK par des bascules D cbles en diviseur de frquence par deux. C'est--dire de remplacer le cblage de la figure 1 par le cblage de la figure 2 ci-dessous :

T.D. 3 Corrig

12/17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013

Exercice 5
Soit le montage ci-dessous :

1. Remplissez le chronogramme suivant si lentre E vaut zro : Dans la premire partie du chronogramme, S vaut 1. Voyons le comportement quadopte le montage dans ce cas de figure et essayons de trouver un montage quivalent simplifi.

On constate que le 1 de lentre S se propage sur un certain nombre de portes ET. Ces dernires peuvent ds lors tre remplaces par un fil. Linverseur, en haut du montage, propage un 0 sur les autres portes ET. Ce 0 est alors recopi sur les entres des portes OU. Or, un 0 sur lentre dune porte OU, nous permet de la remplacer par un fil. Aprs simplification, nous obtenons le schma quivalent suivant :

T.D. 3 Corrig

13/17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013 chaque front montant de l'horloge d'une bascule D, sa sortie Q recopie son entre D. Chaque sortie est donc recopie sur la suivante : il sagit dun registre dcalage sur 4 bits. Q0 tant le poids faible, le dcalage s'effectue vers la gauche. Le nouveau bit entrant dans Q0 est E. Dans le mme esprit, observons ce qui se passe quand S vaut 0 :

Aprs simplification :

On trouve de nouveau un registre dcalage sur 4 bits, mais qui dcale cette fois vers la droite. Le nouveau bit entrant dans Q3 est E. Il est maintenant facile de remplir le chronogramme sachant quil sagit uniquement de dcaler des bits, dans un sens ou dans lautre.

2. Que ralise ce montage ? Ce montage est un registre dcalage sur 4 bits. Il possde une entre de commande S, permettant la slection du sens de dcalage, et une entre E, permettant de choisir la valeur du bit entrant. T.D. 3 Corrig 14/17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013

Exercice 6
1. Remplissez la table des transitions dune bascule JK. Q(t) Q(t+1) 0 1 0 1 J 0 1 x x K x x 1 0 H J 0 0 1 1 K 0 1 0 1 Q q 0 1 q

0 0 1 1

La table des transitions dune bascule JK se remplit laide de sa table de vrit. Il faut dterminer quelles valeurs taient prsentes sur les entres J et K au moment de la transition. Ligne : Q passe de 0 0 tat mmoire mise 0 Ligne : Q passe de 0 1 tat inverseur mise 1 Ligne : Q passe de 1 0 tat inverseur mise 0 Ligne : Q passe de 1 1 tat mmoire mise 1

(J = 0, K = 0) (J = 0, K = 1) (J = 1, K = 1) (J = 1, K = 0) (J = 1, K = 1) (J = 0, K = 1) (J = 0, K = 0) (J = 1, K = 0)

Dans un premier temps, on dsire raliser un compteur synchrone modulo 7 laide de bascules JK synchronises sur front montant. 2. laide de la table des transitions, remplissez le tableau ci-dessous : Q2
0 1 2 3 4 5 6

Q1 0 0 1 1 0 0 1

Q0 0 1 0 1 0 1 0

J2 0 0 0 1 x x x

K2 x x x x 0 0 1

J1 0 1 x x 0 1 x

K1 x x 0 1 x x 1

J0 1 x 1 x 1 x 0

K0 x 1 x 1 x 1 x
Daprs la table des transitions dune bascule JK, on a J0 = 1 et K0=x lors d'une transition de 0 1 sur Q0.

0 0 0 0 1 1 1

T.D. 3 Corrig

15/17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013 3. Donnez les quations des entres J0, K0, J1, K1, J2 et K2. partir du tableau prcdent, on obtient les quations suivantes :

De faon vidente : K0 = 1 J1 = Q0 K2 = Q1 laide des tableaux de Karnaugh :


Q1 Q0 Q1 Q0

J0
Q2

00 1 1

01 x x

11 x x

10 1 0
Q2

K1 0 1

00 x x

01 x x

11 1 x

10 0 1

0 1

J0 = Q1 + Q2
Q1 Q0

K1 = Q0 + Q2

J2
Q2

00 0 x

01 0 x

11 1 x

10 0 x

0 1

J2 = Q0.Q1 4. Dessinez le schma de cblage. Pas de difficult particulire, il suffit de se servir des quations tablies prcdemment afin deffectuer les diffrentes connexions.

T.D. 3 Corrig

16/17

David Bouchet Architecture des ordinateurs EPITA Info-Sp 2012/2013 On dsire maintenant raliser un compteur synchrone, modulo 8 en code Gray, laide de bascules JK synchronises sur front descendant. 5. Remplissez le tableau ci-dessous : Q2 0 0 0 0 1 1 1 1 Q1 0 0 1 1 1 1 0 0 Q0 0 1 1 0 0 1 1 0 J2 0 0 0 1 x x x x K2 x x x x 0 0 0 1 J1 0 1 x x x x 0 0 K1 x x 0 0 0 1 x x J0 1 x x 0 1 x x 0 K0 x 0 1 x x 0 1 x

6. Donnez les quations des entres J0, K0, J1, K1, J2 et K2.
Q1 Q0 Q1 Q0

J0
Q2

00 1 0

01 x x

11 x x

10 0 1
Q2

K0 0 1

00 x x

01 0 1

11 1 0

10 x x

0 1

J0 = Q1.Q2 + Q1.Q2
Q1 Q0

K0 = Q1.Q2 + Q1.Q2
Q1 Q0

J1
Q2

00 0 0

01 1 0

11 x x

10 x x
Q2

K1 0 1

00 x x

01 x x

11 0 1

10 0 0

0 1

J1 = Q0.Q2
Q1 Q0

K1 = Q0.Q2
Q1 Q0

J2
Q2

00 0 x

01 0 x

11 0 x

10 1 x
Q2

K2 0 1

00 x 1

01 x 0

11 x 0

10 x 0

0 1

J2 = Q0.Q1

K2 = Q0.Q1

T.D. 3 Corrig

17/17

You might also like