You are on page 1of 35

5

m
o

+VCCP

c
.
s

+3V

CPU_BSEL0

R535

R_0402

0 +-5%

FB22
BSEL0

C366

1K +-1%

C313

0.047UF/16V 0.047UF/16V
C_0402
C_0402

R533

MCH_BSEL0

FB23

600 OHM/1.5A

8.2K +-5%
R532

VCC3_CLK

R534
1.2K +-1%
NS
R594
R_0402

1.2K +-1%
R_0603
NS

C363

C315

600 OHM/1.5A

C345

0.1UF/10V 0.1UF/10V
C_0402
C_0402

10uF/6.3V
C_0805

R473
2 +-5%
R_0603

C365

+VCCP

C414

0.047UF/16V
C_0402

R354
2 +-5%
R_0603

it c

10uF/6.3V
C_0805

For SLG8LP453 buildin Pulldown res.

+V3.3S_CLKVDD1

R_0402

0 +-5%

14.31818MHZ
R463 1K +-1%

R482

MCH_BSEL1

1.2K +-1%
R_0603
NS

VDD_A_CR

C311

C310

33PF/50V
C_0603

33PF/50V
C_0603

C314

C324

0.047UF/16V 10uF/6.3V
C_0402
C_0805

XTAL_IN

XTAL_OUT
17

CLK48_USB

R381

33 +-5%

R352
1.2K +-1%
R_0603
NS
6

CPU_BSEL2

R345

R_0402

0 +-5%

BSEL1
31

R593
8.2K +-5% BSEL2

R378

PCLK_591

33 +-5%

BSEL2

MCH_BSEL2

1K +-1%

R353
NS

+3V

+3V

R498

37

VDD_A

38

VSS_A

24

10K +-5% R_0603

PCLK_LAN

26 PCLK_OZ711
16

PCLK_ICH7

SMB_CLK_ICH7

14,16 SMB_DATA_ICH7

R346

XTAL_OUT

12

FSA/USB_48

16

FSB/TEST_MODE

53

REF1/FSC/TEST_SEL

R380

33 +-5%

R379

33 +-5%

0 +-5% CGCLK_SMB

R325

0 +-5% CGDAT_SMB

p
l. a

56
9

PCIF0

R326

IREF

w
w

PCI2

46

PCI3

PCI2/REQ_SEL

PCIF1/DREF_SEL
PCIF0/ITP_EN
SCLOCK

47

SDATA

39

IREF

13
29
45
2
6
51

VSS_48
VSS_SRC
VSS_CPU
VSS_PCI0
VSS_PCI1
VSS_REF

VDD_REF_CR

C513

48
55
54

CPU1
CPU1#

41
40

CPU1
CPU#1

CPU0
CPU0#

44
43

CPU0
CPU#0

CPU_2_ITP/SRC_7
CPU2_ITP/SRC7#

36
35

ITP
ITP#

SRC6/CLKREQA#
SRC6#/CLKREQB#

33
32

SRC5
SRC5#

31
30

PCIE5
PCIE#5

RN4 0X2
1
2
3
4
RN3 0X2
1
2R_SMT4_0402
3
4
R_SMT4_0402
RN5 0X2
1
2
NS
3
4
R_SMT4_0402
R338 10K +-5%
NS
R364 10K +-5%
PCIE5 1
NS
PCIE#5 3

SRC4
SRC4#

26
27

PCIE4
PCIE#4

PCIE#4 1
PCIE4 3

SRC3
SRC3#

24
25

PCIE3
PCIE#3

PCIE#3 1
PCIE3 3

SRC2
SRC2#

22
23

PCIE2
PCIE#2

PCIE#2 1
PCIE2 3

PCIE1
PCIE1#

PCIE1# 1
PCIE1 3

STP_PCI#
STP_CPU#

m
e
h
c

SRC1
SRC1#

19
20

DREF_SSCLK
DREF_SSCLK#

17
18

3
1

RN15 0X2
DREFSSCLK
4 R_SMT4_0402
2 DREFSSCLK#

DOT96
DOT96#

14
15

3
1

RN14 0X2
R_SMT4_0402
4DREFCLK
2DREFCLK#

VTT_PWRGD#/PD

10

CKGEN_EN#

REF

52

R334

CLK_CPU_BCLK 6
CLK_CPU_BCLK# 6

CLK_ITP
CLK_ITP#

DREFSSCLK
DREFSSCLK#

CLK_CPU_BCLK

CLK_CPU_BCLK#
CLK_MCH_BCLK

CLK_MCH_BCLK#
DREFSSCLK

DREFSSCLK#
DREFCLK
DREFCLK#
CLK_PCIE_ICH#
CLK_PCIE_ICH

6
6

RN19 0X2
2
4
R_SMT4_0402
RN30 0X2
2
4
R_SMT4_0402
RN18 0X2
2
4
0X2
RN17 R_SMT4_0402
2 CLK_PCIE_SATA#
4 CLK_PCIE_SATA
RN16 0X2
2R_SMT4_0402
4
R_SMT4_0402

CLK_PCIE_SATA#
CLK_PCIE_SATA

PCIECLK_3G
PCIECLK_3G#

NS R_0402
R316
NS R_0402
R317
NS R_0402
R318
NS R_0402
R319
NS R_0402
R497
NS R_0402
R530
NS R_0402
R389
NS R_0402
R465
NS R_0402
R505
NS R_0402
R495
NS R_0402
R456
NS R_0402
R531

54.9 +-1%
54.9 +-1%
54.9 +-1%
54.9 +-1%
54.9 +-1%
54.9 +-1%
54.9 +-1%
54.9 +-1%
54.9 +-1%
C

54.9 +-1%
54.9 +-1%
54.9 +-1%

20
20

PCIECLK_WLAN# 20
PCIECLK_WLAN 20
CLK_PCIE_MCH# 8
CLK_PCIE_MCH 8
CLK_PCIE_SATA# 15
CLK_PCIE_SATA 15
CLK_PCIE_ICH# 17
CLK_PCIE_ICH 17

NS R_0402
R501
NS R_0402
CLK_PCIE_MCH# R502
CLK_PCIE_MCH

54.9 +-1%
54.9 +-1%

change from 49.9 to 54.9

8
8

Note:Pls confirm need BIOS modify?

DREFCLK
DREFCLK#

8
8

Place termination close to source IC

36
B

CLK14_ICH7

SLG8LP453B/SLG84420

Check the CLK14_ICH6 clock SI after bring up!!


If need changed to 33R

SLG8LP453 buildin dumping and terminal res.

17
17

CLK_MCH_BCLK 8
CLK_MCH_BCLK# 8

CKGEN_EN#
12.1 +-1%

10uF/6.3V
C_0805

C312
0.047UF/16V
C_0402

VDD_REF

PCI5
PCI4

11

C350

0.1UF/10V
0.047UF/16V C_0402
C_0402

PCI_STOP#
CPU_STOP#

s
p
to

33 +-5%

For SLG8LP453.

VDD_48

XTAL_IN

49

10K +-5%

14,16

VDD_CPU

R362
1.2K +-1%
R_0603
NS

42

R_0402
R363
8

VDD_SRC0
VDD_SRC1
VDD_SRC2

50

+VCCP
BSEL0

21
28
34

U19

BSEL1

0 +-5%

R337
1 +-5%
R_0603

VDD_PCI0

R500

VDD_PCI1

CPU_BSEL1

C413

Y4
1

R496
1.2K +-1%
NS
R595
R_0402

17

REQ[0]#
REQ[1]#
REQ[2]#
REQ[3]#
REQ[4]#

H_A#17
H_A#18
H_A#19
H_A#20
H_A#21
H_A#22
H_A#23
H_A#24
H_A#25
H_A#26
H_A#27
H_A#28
H_A#29
H_A#30
H_A#31

A[17]#
A[18]#
A[19]#
A[20]#
A[21]#
A[22]#
A[23]#
A[24]#
A[25]#
A[26]#
A[27]#
A[28]#
A[29]#
A[30]#
A[31]#
ADSTB[1]#

H_ADSTB#1

15
15
15

H_A20M#
H_FERR#
H_IGNNE#

A6
A5
C4

A20M#
FERR#
IGNNE#

15
15
15
15

H_STPCLK#
H_INTR
H_NMI
H_SMI#

D5
C6
B4
A3

STPCLK#
LINT0
LINT1
SMI#

AA1
AA4
AB2
AA3
M4
N5
T2
V3
B2
C3
B25

RSVD[01]
RSVD[02]
RSVD[03]
RSVD[04]
RSVD[05]
RSVD[06]
RSVD[07]
RSVD[08]
RSVD[09]
RSVD[10]

H_DEFER#
H_DRDY#
H_DBSY#

8
8
8

F1

H_BREQ#0

IERR#
INIT#

D20
B3

H_INIT#

15

LOCK#

H4

H_LOCK#

RESET#
RS[0]#
RS[1]#
RS[2]#
TRDY#

B1
F3
F4
G3
G2

HIT#
HITM#

G6
E4

BPM[0]#
BPM[1]#
BPM[2]#
BPM[3]#
PRDY#
PREQ#
TCK
TDI
TDO
TMS
TRST#
DBR#

AD4
AD3
AD1
AC4
AC2
AC1
AC5
AA6
AB3
AB5
AB6
C20

PROCHOT#
THERMDA
THERMDC

D21
A24
A25

XDP/ITP SIGNALS

THERM

H5
F21
E1

BR0#

ADDR GROUP
1

Y2
U5
R3
W6
U4
Y5
U2
R4
T5
T3
W3
W5
Y4
W2
Y1
V4

8
8
8

DEFER#
DRDY#
DBSY#

CONTROL

K3
H2
K2
J3
L5

H_ADS#
H_BNR#
H_BPRI#

THERMTRIP#

+VCCP
R76
56 +-5%
H_CPURST#

H_CPURST#
H_RS#0
H_RS#1
H_RS#2

H_RS#[2:0]

H_TRDY#

H_HIT#
H_HITM#

8
8

BPM0#
BPM1#
BPM2#
BPM3#
PRDY#
PREQ#
TCK
TDI
TDO
TMS
TRST#

T22

RSVD[11]

RSVD[13]
RSVD[14]
RSVD[15]
RSVD[16]
RSVD[17]
RSVD[18]
RSVD[19]
RSVD[20]

H_DSTBN#0
H_DSTBP#0
H_DINV#0
H_D#[63:0]
H_D#16
H_D#17
H_D#18
H_D#19
H_D#20
H_D#21
H_D#22
H_D#23
H_D#24
H_D#25
H_D#26
H_D#27
H_D#28
H_D#29
H_D#30
H_D#31

54.9 +-1%
R_0603

+VCCP
+3V

R312
1K +-5%

68 +-5%

NS
TP_PROCHOT#
THERMDA_CPU
THERMDC_CPU

TP_PROCHOT# 36

8
H_DSTBN#1
8
H_DSTBP#1
8
H_DINV#1
+VCCP
C63

R200
1K +-1%
R_0603

100PF/50V
C_0402

CLK_CPU_BCLK 4
CLK_CPU_BCLK# 4

s
p
to
4
4
4

D2
F6
D3
C1
AF1
D22
C23
C24

ACLKPH
DCLKPH

R311

Yonah ULV FCBGA

51 +-5%

R288

+3V

1K +-5%
NS
100 +-5%
R487

+VCCP

R491
R357
54.9 +-1%
NS

R490

54.9 +-1%
R_0603

54.9 +-1%
R_0603

p
l. a

R596

54.9 +-1%
R_0603

TDI
TMS
TRST#
TCK
TDO
4
4

CLK_ITP#
CLK_ITP

H_CPURST#

R367 22.6 +-1%


NS

TCK
H_CPURST#
PREQ#
PRDY#
BPM3#
BPM2#
BPM1#
BPM0#

16,17 PM_SYSRST#

R392
54.9 +-1%

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28

w
w
R492 22.6 +-1%
NS

+VCCP

R366
54.9 +-1%

CON71

C595
0.1UF/10V
NS

D[16]#
D[17]#
D[18]#
D[19]#
D[20]#
D[21]#
D[22]#
D[23]#
D[24]#
D[25]#
D[26]#
D[27]#
D[28]#
D[29]#
D[30]#
D[31]#
DSTBN[1]#
DSTBP[1]#
DINV[1]#

AD26
C26

TEST1

DCLKPH

D25

TEST2

B22
B23
C21

BSEL[0]
BSEL[1]
BSEL[2]

R486

0 +-5%

R_0603

C392

NS
+5V_FAN

1
2
3
FAN_FG1 4
5
6

10K +-1%

GMT_VCC_W20

THERMDA_CPU
C_0603 C588
2200PF/50V

THERMDC_CPU

MMBT3904 2

COMP[0]
COMP[1]
COMP[2]
COMP[3]

R26
U26
U1
V1

DPRSTP#
DPSLP#
DPWR#
PWRGOOD
SLP#
PSI#

E5
B5
D24
D6
D7
AE6

HWPG

C_0603

H_DSTBN#3
H_DSTBP#3
H_DINV#3
COMP0
COMP1
COMP2
COMP3

R34
R69
R86
R56

R_0603
R_0603
R_0603
R_0603

H_DINV#[3:0]

H_DSTBP#[3:0]

H_DSTBN#[3:0]

8
8
8

27.4 +-1%
54.9 +-1%
27.4 +-1%
54.9 +-1%

H_DPRSTP#
H_DPSLP#
H_DPWR#
H_PWRGD
H_CPUSLP#
PSI#

DXP1
SGND1

9
10

DXP2
SGND2

11
12

Layout note:
Comp0,2 connect with
trace length shorter
Comp1,3 connect with
trace length shorter

Zo=27.4ohm, make
than 0.5".
Zo=55ohm, make
than 0.5".

15,36
15
8
15
8
36

THERM#
CLK
ALERT#
SDA
DGND2
SCL
NC
DVCC

13
14
15
16
17
18
19
20

THERMDA_DDR
THERMDC_DDR

MBCLK

*PAD
ICH_SUSCLK 17
TEMP_ALERT# 31
MBDATA
31,37
MBCLK

C582
1000PF/50V

10uF/6.3V

NOTE:
1.hardware shutdown for sensor2.
THERM_SET=[(Tset-72) x 0.02+0.34] x VCC
THERM_SET pin voltage from 0.35VCC to 0.95 VCC
and trigger point set from 72C to 102C,2C a step.
2.software shutdown for sensor1,sensor3.

T8

1
2
3

R85

+5V_FAN
FAN_FG1

+5V
C75
4.7UF/10V
C_0805

1ST_FAN

0 +-5% R_0603
C76
0.1UF/10V

R104

10K +-5% R_0603

+5V_FAN

1
2

Q33
MMBT3904

31,37

For VGA ambient TEMP


C586

*PAD

C590
2200PF/50V
C_0603

+5V

GMT-G792SFX
20P_QSOP_150

THERMDA_VGA
THERMDC_VGA

3
T59
ICH_SUSCLK
TEMP_ALERT#
MBDATA

C591
2200PF/50V
C_0603

CON7

8
8
8
8

31,33

DXP3
SGND3

MLX-CON28-U
NS

it c
H_D#48
H_D#49
H_D#50
H_D#51
H_D#52
H_D#53
H_D#54
H_D#55
H_D#56
H_D#57
H_D#58
H_D#59
H_D#60
H_D#61
H_D#62
H_D#63

0.1UF/25V

FAN1
RESET#
THERM_SET
FG1
DGND1
VCC

7
8

For systeam ambient TEMP

CRB 54.9R

H_DSTBN#2
H_DSTBP#2
H_DINV#2
H_D#[63:0]

a
AC22
AC23
AB22
AA21
AB21
AC25
AD20
AE22
AF23
AD24
AE21
AD21
AE25
AF25
AF22
AF26
AD23
AE24
AC20

D[48]#
D[49]#
D[50]#
D[51]#
D[52]#
D[53]#
D[54]#
D[55]#
D[56]#
D[57]#
D[58]#
D[59]#
D[60]#
D[61]#
D[62]#
D[63]#
DSTBN[3]#
DSTBP[3]#
DINV[3]#

MISC

H_D#32
H_D#33
H_D#34
H_D#35
H_D#36
H_D#37
H_D#38
H_D#39
H_D#40
H_D#41
H_D#42
H_D#43
H_D#44
H_D#45
H_D#46
H_D#47

U15
20K +-1%
NS

0.1UF/10V

Q13 3
1

GTLREF

AA23
AB24
V24
V26
W25
U23
U25
U22
AB25
W22
Y23
AA26
Y26
Y22
AC26
AA24
W24
Y25
V23

D[32]#
D[33]#
D[34]#
D[35]#
D[36]#
D[37]#
D[38]#
D[39]#
D[40]#
D[41]#
D[42]#
D[43]#
D[44]#
D[45]#
D[46]#
D[47]#
DSTBN[2]#
DSTBP[2]#
DINV[2]#

Yonah ULV FCBGA

R320

C589

N22
K25
P26
R23
L25
L22
L23
M23
P25
P22
P23
T24
R24
L26
T25
N24
M24
N25
M26

GTLREF0
R31
2K +-1%
R_0603 ACLKPH

CPU_BSEL0
CPU_BSEL1
CPU_BSEL2

R358

D[0]#
D[1]#
D[2]#
D[3]#
D[4]#
D[5]#
D[6]#
D[7]#
D[8]#
D[9]#
D[10]#
D[11]#
D[12]#
D[13]#
D[14]#
D[15]#
DSTBN[0]#
DSTBP[0]#
DINV[0]#

m
o

c
.
s

H_D#[63:0]

E22
F24
E26
H22
F23
G25
E25
E23
K24
G24
J24
J23
H26
F26
K22
H25
H23
G22
J26

m
e
h
c

TDI
R597

TP76

RSVD[12]

8
8
8
8

R65

PM_THRMTRIP# 8,15

A22
A21

+VCCP

U3B
H_D#0
H_D#1
H_D#2
H_D#3
H_D#4
H_D#5
H_D#6
H_D#7
H_D#8
H_D#9
H_D#10
H_D#11
H_D#12
H_D#13
H_D#14
H_D#15

H_IERR#

C7

BCLK[0]
BCLK[1]

H_D#[63:0]

DATA GRP 1

H_REQ#0
H_REQ#1
H_REQ#2
H_REQ#3
H_REQ#4

H1
E2
G5

ADS#
BNR#
BPRI#

DATA GRP 0

H_A#[31:3]

A[3]#
A[4]#
A[5]#
A[6]#
A[7]#
A[8]#
A[9]#
A[10]#
A[11]#
A[12]#
A[13]#
A[14]#
A[15]#
A[16]#
ADSTB[0]#

ADDR GROUP
0

H_ADSTB#0
H_REQ#[4:0]

J4
L4
M3
K5
M1
N2
J1
N3
P5
P2
L1
P4
P1
R1
L2

H CLK

U3A
H_A#3
H_A#4
H_A#5
H_A#6
H_A#7
H_A#8
H_A#9
H_A#10
H_A#11
H_A#12
H_A#13
H_A#14
H_A#15
H_A#16

8
8

DATA GRP 2

H_A#[31:3]

RESERVED

DATA GRP 3

NS

m
o

U3D
VCORE_CPU

VCORE_CPU

A2
A4
A8
A11
A14
A16
A19
A23
A26
B6
B8
B11
B13
B16
B19
B21
B24
C5
C8
C11
C14
C16
C19
C2
C22
C25
D1
D4
D8
D11
D13
D16
D19
D23
D26
E3
E6
E8
E11
E14
E16
E19
E21
E24
F5
F8
F11
F13
F16
F19
F2
F22
F25
G4
G1
G23
G26
H3
H6
H21
H24
J2
J5
J22
J25
K1
K4
K23
K26
L3
L6
L21
L24
M2
M5
M22
M25
N1
N4
N23
N26
P3

U3C

TP78 TP77

VCCA

B26

VID[0]
VID[1]
VID[2]
VID[3]
VID[4]
VID[5]
VID[6]

AD6
AF5
AE5
AF4
AE3
AF2
AE2

C201

C248

C256

C284

C291

0.1UF/10V

0.1UF/10V

0.1UF/10V

0.1UF/10V

0.1UF/10V

C260

C240

C249

C263

C281

C289

CPU_VID0
CPU_VID1
CPU_VID2
CPU_VID3
CPU_VID4
CPU_VID5
CPU_VID6

C203

10uF/6.3V

0.01UF/16V
C_0402

R314
100 +-1%
R_0603

VCCSENSE

<NO-STUFF>
AF7

VCCSENSE

36

VSSSENSE

AE7

VSSSENSE

36

R70
100 +-1%
R_0603

C255

22UF/6.3V

22UF/6.3V

22UF/6.3V

C251

C204

22UF/6.3V

22UF/6.3V

C200

22UF/6.3V

C243

C198

22UF/6.3V

22UF/6.3V

C67

22UF/6.3V

C241

C300

22UF/6.3V

22UF/6.3V

C280

22UF/6.3V

C293

C269

22UF/6.3V

22UF/6.3V

C261

22UF/6.3V

C290

C253

22UF/6.3V

22UF/6.3V

C247

22UF/6.3V

P6
P21
P24
R2
R5
R22
R25
T1
T4
T23
T26
U3
U6
U21
U24
V2
V5
V22
V25
W1
W4
W23
W26
Y3
Y6
Y21
Y24
AA2
AA5
AA8
AA11
AA14
AA16
AA19
AA22
AA25
AB1
AB4
AB8
AB11
AB13
AB16
AB19
AB23
AB26
AC3
AC6
AC8
AC11
AC14
AC16
AC19
AC21
AC24
AD2
AD5
AD8
AD11
AD13
AD16
AD19
AD22
<NO-STUFF>
AD25
AE1
AE4
AE8
AE11
AE14
AE16
AE19
AE23
AE26
AF3
AF6
AF8
AF11
AF13
AF16
AF19
AF21
AF24

c
.
s

Yonah ULV FCBGA

C285

C242

22UF/6.3V

22UF/6.3V

C211

C53

22UF/6.3V

22UF/6.3V

C585

22UF/6.3V

C202

C294

22UF/6.3V

22UF/6.3V

C292

22UF/6.3V

22UF/6.3V

C288

C282

22UF/6.3V

22UF/6.3V

22UF/6.3V

C270

C254

22UF/6.3V

22UF/6.3V

C250

C264

C299

w
w

Layout note:
Route VCCSENSE and VSSSENSE
traces at 27.4 OHM with 50
mil spacing ,place PU and PD
within 1 inch of CPU

C199

p
l. a

VCORE_CPU

s
p
to

Layout note:
Place c233 c203 near pin B26

VCORE_CPU

36
36
36
36
36
36
36

m
e
h
c

+VCCP
C233

CT3
220UF/2.5V
TAJ_E

+VCCP

Yonah ULV FCBGA

VSS[082]
VSS[083]
VSS[084]
VSS[085]
VSS[086]
VSS[087]
VSS[088]
VSS[089]
VSS[090]
VSS[091]
VSS[092]
VSS[093]
VSS[094]
VSS[095]
VSS[096]
VSS[097]
VSS[098]
VSS[099]
VSS[100]
VSS[101]
VSS[102]
VSS[103]
VSS[104]
VSS[105]
VSS[106]
VSS[107]
VSS[108]
VSS[109]
VSS[110]
VSS[111]
VSS[112]
VSS[113]
VSS[114]
VSS[115]
VSS[116]
VSS[117]
VSS[118]
VSS[119]
VSS[120]
VSS[121]
VSS[122]
VSS[123]
VSS[124]
VSS[125]
VSS[126]
VSS[127]
VSS[128]
VSS[129]
VSS[130]
VSS[131]
VSS[132]
VSS[133]
VSS[134]
VSS[135]
VSS[136]
VSS[137]
VSS[138]
VSS[139]
VSS[140]
VSS[141]
VSS[142]
VSS[143]
VSS[144]
VSS[145]
VSS[146]
VSS[147]
VSS[148]
VSS[149]
VSS[150]
VSS[151]
VSS[152]
VSS[153]
VSS[154]
VSS[155]
VSS[156]
VSS[157]
VSS[158]
VSS[159]
VSS[160]
VSS[161]
VSS[162]

it c

+VCCP

0.1UF/10V

V6
G21
J6
K6
M6
J21
K21
M21
N21
N6
R21
R6
T21
T6
V21
W21

C46
0.1UF/10V

0.1UF/10V

VCCP[01]
VCCP[02]
VCCP[03]
VCCP[04]
VCCP[05]
VCCP[06]
VCCP[07]
VCCP[08]
VCCP[09]
VCCP[10]
VCCP[11]
VCCP[12]
VCCP[13]
VCCP[14]
VCCP[15]
VCCP[16]

+VCCP

0.1UF/10V

AB20
AB7
AC7
AC9
AC12
AC13
AC15
AC17
AC18
AD7
AD9
AD10
AD12
AD14
AD15
AD17
AD18
AE9
AE10
AE12
AE13
AE15
AE17
AE18
AE20
AF9
AF10
AF12
AF14
AF15
AF17
AF18
AF20

0.1UF/10V

VCC[068]
VCC[069]
VCC[070]
VCC[071]
VCC[072]
VCC[073]
VCC[074]
VCC[075]
VCC[076]
VCC[077]
VCC[078]
VCC[079]
VCC[080]
VCC[081]
VCC[082]
VCC[083]
VCC[084]
VCC[085]
VCC[086]
VCC[087]
VCC[088]
VCC[089]
VCC[090]
VCC[091]
VCC[092]
VCC[093]
VCC[094]
VCC[095]
VCC[096]
VCC[097]
VCC[098]
VCC[099]
VCC[100]

0.1UF/10V

VCC[001]
VCC[002]
VCC[003]
VCC[004]
VCC[005]
VCC[006]
VCC[007]
VCC[008]
VCC[009]
VCC[010]
VCC[011]
VCC[012]
VCC[013]
VCC[014]
VCC[015]
VCC[016]
VCC[017]
VCC[018]
VCC[019]
VCC[020]
VCC[021]
VCC[022]
VCC[023]
VCC[024]
VCC[025]
VCC[026]
VCC[027]
VCC[028]
VCC[029]
VCC[030]
VCC[031]
VCC[032]
VCC[033]
VCC[034]
VCC[035]
VCC[036]
VCC[037]
VCC[038]
VCC[039]
VCC[040]
VCC[041]
VCC[042]
VCC[043]
VCC[044]
VCC[045]
VCC[046]
VCC[047]
VCC[048]
VCC[049]
VCC[050]
VCC[051]
VCC[052]
VCC[053]
VCC[054]
VCC[055]
VCC[056]
VCC[057]
VCC[058]
VCC[059]
VCC[060]
VCC[061]
VCC[062]
VCC[063]
VCC[064]
VCC[065]
VCC[066]
VCC[067]

0.1UF/10V

A7
A9
A10
A12
A13
A15
A17
A18
A20
B7
B9
B10
B12
B14
B15
B17
B18
B20
C9
C10
C12
C13
C15
C17
C18
D9
D10
D12
D14
D15
D17
D18
E7
E9
E10
E12
E13
E15
E17
E18
E20
F7
F9
F10
F12
F14
F15
F17
F18
F20
AA7
AA9
AA10
AA12
AA13
AA15
AA17
AA18
AA20
AB9
AC10
AB10
AB12
AB14
AB15
AB17
AB18

VSS[999]
VSS[001]
VSS[002]
VSS[003]
VSS[004]
VSS[005]
VSS[006]
VSS[007]
VSS[008]
VSS[009]
VSS[010]
VSS[011]
VSS[012]
VSS[013]
VSS[014]
VSS[015]
VSS[016]
VSS[017]
VSS[018]
VSS[019]
VSS[020]
VSS[021]
VSS[022]
VSS[023]
VSS[024]
VSS[025]
VSS[026]
VSS[027]
VSS[028]
VSS[029]
VSS[030]
VSS[031]
VSS[032]
VSS[033]
VSS[034]
VSS[035]
VSS[036]
VSS[037]
VSS[038]
VSS[039]
VSS[040]
VSS[041]
VSS[042]
VSS[043]
VSS[044]
VSS[045]
VSS[046]
VSS[047]
VSS[048]
VSS[049]
VSS[050]
VSS[051]
VSS[052]
VSS[053]
VSS[054]
VSS[055]
VSS[056]
VSS[057]
VSS[058]
VSS[059]
VSS[060]
VSS[061]
VSS[062]
VSS[063]
VSS[064]
VSS[065]
VSS[066]
VSS[067]
VSS[068]
VSS[069]
VSS[070]
VSS[071]
VSS[072]
VSS[073]
VSS[074]
VSS[075]
VSS[076]
VSS[077]
VSS[078]
VSS[079]
VSS[080]
VSS[081]

H_VREF

H_DSTBP#_0
H_DSTBP#_1
H_DSTBP#_2
H_DSTBP#_3

K3
T6
AA5
AC5

H_DSTBP#0
H_DSTBP#1
H_DSTBP#2
H_DSTBP#3

H_DSTBP#[3:0]

H_RS#_0
H_RS#_1
H_RS#_2

B4
E6
D6

H_RS#0
H_RS#1
H_RS#2

H_SLPCPU#
H_TRDY#

E3
E7

+VCCP

R394
221 +-1%
R_0603
H_XSWING

R386
100 +-1%
R_0603

C398
0.1UF/10V

w
w

Layout Note:10mil width

R383
100 +-1%
R_0603

H_YSWING

0 +-5%

H28
H27
K28
H32

TP87

H_CPUSLP#

D1
C41
C1
BA41
BA40
BA39
BA3
BA2
BA1
B41
B2
AY41
AY1
AW41
AW1
A40
A4
A39
A3

s
p
to
H_CPUSLP#
H_TRDY#

40.2 +-1%
R_0402
NS

SM_OCDCOMP_0

R408

40.2 +-1%
R_0402
NS

SM_OCDCOMP_1

6
6

PM_BMBUSY#
PM_EXTTS#_0
PM_EXTTS#_1
PM_THRMTRIP#
PWROK
RSTIN#

SDVO_CTRLCLK
SDVO_CTRLDATA
ICH_SYNC#
CLK_REQ#
NC0
NC1
NC2
NC3
NC4
NC5
NC6
NC7
NC8
NC9
NC10
NC11
NC12
NC13
NC14
NC15
NC16
NC17
NC18

C479

C425

MUXING

2.2UF/16V

AU20
AT20
BA29
AY29

SM_OCDCOMP_0
SM_OCDCOMP_1

AL20
AF10

SM_ODT_0
SM_ODT_1
SM_ODT_2
SM_ODT_3

BA13
BA12
AY20
AU21

R385
200 +-1%
R_0603

R417
R409
R410
R413
R_0402
R_0402
R_0402
R_0402
2.2K +-5% 2.2K +-5% 2.2K +-5% 2.2K +-5%
NS
NS
NS
NS

14
14
14
14

M_CLK_DDR#0
M_CLK_DDR#1
M_CLK_DDR#3
M_CLK_DDR#4

14
14
14
14

c
.
s

it c
AW13
AW12
AY21
AW21

M_CKE0
M_CKE1
M_CKE2
M_CKE3

14
14
14
14

M_CS#0
M_CS#1
M_CS#2
M_CS#3

14
14
14
14

SM_OCDCOMP_0
SM_OCDCOMP_1

M_ODT0
M_ODT1
M_ODT2
M_ODT3

M_RCOMPN
M_RCOMPP R398

SM_RCOMP#
SM_RCOMP

AV9
AT9

SM_VREF_0
SM_VREF_1

AK1
AK41

G_CLKIN#
G_CLKIN
D_REFCLKIN#
D_REFCLKIN
D_REFSSCLKIN#
D_REFSSCLKIN

AF33
AG33
A27
A26
C40
D41

DMI_RXN_0
DMI_RXN_1
DMI_RXN_2
DMI_RXN_3

AE35
AF39
AG35
AH39

DMI_RXN0
DMI_RXN1
DMI_RXN2
DMI_RXN3

DMI_RXP_0
DMI_RXP_1
DMI_RXP_2
DMI_RXP_3

AC35
AE39
AF35
AG39

DMI_RXP0
DMI_RXP1
DMI_RXP2
DMI_RXP3

DMI_TXN_0
DMI_TXN_1
DMI_TXN_2
DMI_TXN_3

AE37
AF41
AG37
AH41

DMI_TXN0
DMI_TXN1
DMI_TXN2
DMI_TXN3

DMI_TXP_0
DMI_TXP_1
DMI_TXP_2
DMI_TXP_3

AC37
AE41
AF37
AG41

DMI_TXP0
DMI_TXP1
DMI_TXP2
DMI_TXP3

VDIMM

14
14
14
14

R406
80.6 +-1%
R_0603

80.6 +-1%
R_0603

M_VREF

CLK_PCIE_MCH# 4
CLK_PCIE_MCH 4
DREFCLK#
4
DREFCLK
4
DREFSSCLK#
4
DREFSSCLK
4
DMI_RXN[3:0]

17

DMI_RXP[3:0]

17

DMI_TXN[3:0]

17

DMI_TXP[3:0]

17

R414
R415
R_0402
R_0402
2.2K +-5% 2.2K +-5%
NS
NS

R416
R_0402
2.2K +-5%
NS

0
DMI x2

DMI x4 (default)

CFG6

Moby Dick

Calistoga

CFG9

Reverse Lanes

Normal Operation (default)

CFG11

Calistoga

Moby Dick

CFG12

Reserved

Reserved

CFG13

Reserved

Reserved

CFG16

Dynamic ODT Disabled

Dynamic ODT Enabled (default)

CFG[17:3] INTERNAL PULLUP


CFG[20:18] INTERNAL
PULLDOWN

Layout Note:close to GMCH less than


100 mils

SM_CKE_0
SM_CKE_1
SM_CKE_2
SM_CKE_3

CFG5

placed close to VREF pins


of DDR2 SO-DIMM

R396
100 +-1%
R_0603

0.1UF/10V

AW35
AT1
AY7
AY40

LEVEL

C480

H_VREF

C438

SM_CK#_0
SM_CK#_1
SM_CK#_2
SM_CK#_3

M_CLK_DDR0
M_CLK_DDR1
M_CLK_DDR3
M_CLK_DDR4

M_VREF

0.1UF/10V

AY35
AR1
AW7
AW40

CALISTOGA_1p0

+VCCP

0.1UF/10V

Layout Note:10mil width

NS
R_0402

R397
R405

R407

H_RS#[2:0]

p
l. a

R395
221 +-1%
R_0603

R400

6
6
6

H_REQ#[4:0]

CALISTOGA_1p0

+VCCP

G28
F25
H26
G6
0 +-5%
AH33
100 +-1%
AH34

SM_CK_0
SM_CK_1
SM_CK_2
SM_CK_3

SM_CS#_0
SM_CS#_1
SM_CS#_2
SM_CS#_3

m
e
h
c

MEM_TS#
17,36 DPRSLPVR
6,15 PM_THRMTRIP#
17,31
PWROK
16,17,20 PLT_RST#

16 MCH_ICH_SYNC#
TP93
H_HIT#
H_HITM#
H_LOCK#

H_REQ#0
H_REQ#1
H_REQ#2
H_REQ#3
H_REQ#4

H_DSTBN#[3:0]

D3
D4
B3

D8
G8
B8
F8
A8

TP85
TP86
+3V
TP88
TP89
TP53
TP79
R399
10K +-5%
R_0402
17 PM_BMBUSY#
14

H_DSTBN#0
H_DSTBN#1
H_DSTBN#2
H_DSTBN#3

H_REQ#_0
H_REQ#_1
H_REQ#_2
H_REQ#_3
H_REQ#_4

TP83

DDR

6
6
6
6
6
6
6
6

TP82
TP84

H_DINV#[3:0]

K4
T7
Y5
AC4

H_CLKIN
H_CLKIN#

H_BNR#
H_BPRI#
H_BREQ#0
H_CPURST#
H_DBSY#
H_DEFER#
H_DPWR#
H_DRDY#

H_DINV#0
H_DINV#1
H_DINV#2
H_DINV#3

H_DSTBN#_0
H_DSTBN#_1
H_DSTBN#_2
H_DSTBN#_3

H_HIT#
H_HITM#
H_LOCK#

6
6
6

CFG_0
CFG_1
CFG_2
CFG_3
CFG_4
CFG_5
CFG_6
CFG_7
CFG_8
CFG_9
CFG_10
CFG_11
CFG_12
CFG_13
CFG_14
CFG_15
CFG_16
CFG_17
CFG_18
CFG_19
CFG_20

CLK

H_VREF

J7
W8
U3
AB10

MCH_CFG3
MCH_CFG4
MCH_CFG5
MCH_CFG6
MCH_CFG7
MCH_CFG8
MCH_CFG9
MCH_CFG10
MCH_CFG11
MCH_CFG12
MCH_CFG13
MCH_CFG14
MCH_CFG15
MCH_CFG16
MCH_CFG17
MCH_CFG18
MCH_CFG19
MCH_CFG20

TP80
TP81
H_ADS#
H_ADSTB#0
H_ADSTB#1

K16
K18
J18
F18
E15
F15
E18
D19
D16
G16
E16
D15
G15
K15
C15
H16
G18
H15
J25
K27
J26

DMI

4 MCH_BSEL0
4 MCH_BSEL1
4 MCH_BSEL2

MCH_CFG16

AG2
AG1

4 CLK_MCH_BCLK
4 CLK_MCH_BCLK#

TP43

RSVD_1
RSVD_2
RSVD_3
RSVD_4
RSVD_5
RSVD_6
RSVD_7
RSVD_8
RSVD_9
RSVD_10
RSVD_11
RSVD_12
RSVD_13
RSVD_14
RSVD_15

MCH_CFG13

H_YRCOMP
H_YSCOMP
H_YSWING

TP39

MCH_CFG12

24.9 +-1% H_YRCOMP


Y1
54.9 +-1% H_YSCOMP U1
H_YSWING W1

H_DINV#_0
H_DINV#_1
H_DINV#_2
H_DINV#_3

m
o

U5B

T32
R32
F3
F7
AG11
AF11
H7
J19
K30
J29
A41
A35
A34
D28
D27

MCH_CFG11

H_XRCOMP
H_XSCOMP
H_XSWING

E8
B9
C13
J13
C6
F6
C7
B7
A7
C3
J9
H8
K13

MCH_CFG9

E1
E2
E4

H_ADS#
H_ADSTB#_0
H_ADSTB#_1
H_VREF_0
H_BNR#
H_BPRI#
H_BREQ#0
H_CPURST#
H_DBSY#
H_DEFER#
H_DPWR#
H_DRDY#
H_VREF_1

H_A#[31:3]

H_A#3
H_A#4
H_A#5
H_A#6
H_A#7
H_A#8
H_A#9
H_A#10
H_A#11
H_A#12
H_A#13
H_A#14
H_A#15
H_A#16
H_A#17
H_A#18
H_A#19
H_A#20
H_A#21
H_A#22
H_A#23
H_A#24
H_A#25
H_A#26
H_A#27
H_A#28
H_A#29
H_A#30
H_A#31

NC

H_XRCOMP
H_XSCOMP
H_XSWING

H9
C9
E11
G11
F11
G12
F9
H11
J12
G14
D9
J14
H13
J15
F14
D12
A11
C11
A12
A13
E13
G13
F12
B12
B14
C12
A14
C14
D14

MISC

R382
R387

+VCCP

24.9 +-1%

H_A#_3
H_A#_4
H_A#_5
H_A#_6
H_A#_7
H_A#_8
H_A#_9
H_A#_10
H_A#_11
H_A#_12
H_A#_13
H_A#_14
H_A#_15
H_A#_16
H_A#_17
H_A#_18
H_A#_19
H_A#_20
H_A#_21
H_A#_22
H_A#_23
H_A#_24
H_A#_25
H_A#_26
H_A#_27
H_A#_28
H_A#_29
H_A#_30
H_A#_31

PM

+VCCP

H_D#_0
H_D#_1
H_D#_2
H_D#_3
H_D#_4
H_D#_5
H_D#_6
H_D#_7
H_D#_8
H_D#_9
H_D#_10
H_D#_11
H_D#_12
H_D#_13
H_D#_14
H_D#_15
H_D#_16
H_D#_17
H_D#_18
H_D#_19
H_D#_20
H_D#_21
H_D#_22
H_D#_23
H_D#_24
H_D#_25
H_D#_26
H_D#_27
H_D#_28
H_D#_29
H_D#_30
H_D#_31
H_D#_32
H_D#_33
H_D#_34
H_D#_35
H_D#_36
H_D#_37
H_D#_38
H_D#_39
H_D#_40
H_D#_41
H_D#_42
H_D#_43
H_D#_44
H_D#_45
H_D#_46
H_D#_47
H_D#_48
H_D#_49
H_D#_50
H_D#_51
H_D#_52
H_D#_53
H_D#_54
H_D#_55
H_D#_56
H_D#_57
H_D#_58
H_D#_59
H_D#_60
H_D#_61
H_D#_62
H_D#_63

CFG

F1
J1
H1
J6
H3
K2
G1
G2
K9
K1
K7
J8
H4
J3
K11
G4
T10
W11
T3
U7
U9
U11
T11
W9
T1
T8
T4
W7
U5
T9
W6
T5
AB7
AA9
W4
W3
Y3
Y7
W5
Y10
AB8
W2
AA4
AA7
AA2
AA6
AA10
Y8
AA1
AB4
AC9
AB11
AC11
AB3
AC2
AD1
AD9
AC1
AD7
AC6
AB5
AD10
AD4
AC8

RSVD

H_D#0
H_D#1
H_D#2
H_D#3
H_D#4
H_D#5
H_D#6
H_D#7
H_D#8
H_D#9
H_D#10
H_D#11
H_D#12
H_D#13
H_D#14
H_D#15
H_D#16
H_D#17
H_D#18
H_D#19
H_D#20
H_D#21
H_D#22
H_D#23
H_D#24
H_D#25
H_D#26
H_D#27
H_D#28
H_D#29
H_D#30
H_D#31
H_D#32
H_D#33
H_D#34
H_D#35
H_D#36
H_D#37
H_D#38
H_D#39
H_D#40
H_D#41
H_D#42
H_D#43
H_D#44
H_D#45
H_D#46
H_D#47
H_D#48
H_D#49
H_D#50
H_D#51
H_D#52
H_D#53
H_D#54
H_D#55
H_D#56
H_D#57
H_D#58
H_D#59
H_D#60
H_D#61
H_D#62
H_D#63

R393
54.9 +-1%
R_0603R390

MCH_CFG6

H_D#[63:0]

HOST

U5A

MCH_CFG5

M_B_DQ[63:0]
M_A_DQ[63:0]

14

14

SA_CAS#
SA_DM_0
SA_DM_1
SA_DM_2
SA_DM_3
SA_DM_4
SA_DM_5
SA_DM_6
SA_DM_7

AY13
AJ33
AM35
AL26
AN22
AM14
AL9
AR3
AH4

M_A_DM0
M_A_DM1
M_A_DM2
M_A_DM3
M_A_DM4
M_A_DM5
M_A_DM6
M_A_DM7

SA_DQS_0
SA_DQS_1
SA_DQS_2
SA_DQS_3
SA_DQS_4
SA_DQS_5
SA_DQS_6
SA_DQS_7
SA_DQS#_0
SA_DQS#_1
SA_DQS#_2
SA_DQS#_3
SA_DQS#_4
SA_DQS#_5
SA_DQS#_6
SA_DQS#_7

AK33
AT33
AN28
AM22
AN12
AN8
AP3
AG5
AK32
AU33
AN27
AM21
AM12
AL8
AN3
AH5

M_A_DQS0
M_A_DQS1
M_A_DQS2
M_A_DQS3
M_A_DQS4
M_A_DQS5
M_A_DQS6
M_A_DQS7
M_A_DQS#0
M_A_DQS#1
M_A_DQS#2
M_A_DQS#3
M_A_DQS#4
M_A_DQS#5
M_A_DQS#6
M_A_DQS#7

SA_MA_0
SA_MA_1
SA_MA_2
SA_MA_3
SA_MA_4
SA_MA_5
SA_MA_6
SA_MA_7
SA_MA_8
SA_MA_9
SA_MA_10
SA_MA_11
SA_MA_12
SA_MA_13

AY16
AU14
AW16
BA16
BA17
AU16
AV17
AU17
AW17
AT16
AU13
AT17
AV20
AV12

AW14
AK23 TP_MA_RCVENIN#
AK24 TP_MA_RCVENOUT#
AY14

SA_RAS#
SA_RCVENIN#
SA_RCVENOUT#
SA_WE#

14
14
14
14
14

M_A_DQS[7:0] 14

M_A_A[13:0]

14

s
p
to

M_A_RAS#
M_A_WE#

1
1

14
1
1
14

M_B_DQ0
M_B_DQ1
M_B_DQ2
M_B_DQ3
M_B_DQ4
M_B_DQ5
M_B_DQ6
M_B_DQ7
M_B_DQ8
M_B_DQ9
M_B_DQ10
M_B_DQ11
M_B_DQ12
M_B_DQ13
M_B_DQ14
M_B_DQ15
M_B_DQ16
M_B_DQ17
M_B_DQ18
M_B_DQ19
M_B_DQ20
M_B_DQ21
M_B_DQ22
M_B_DQ23
M_B_DQ24
M_B_DQ25
M_B_DQ26
M_B_DQ27
M_B_DQ28
M_B_DQ29
M_B_DQ30
M_B_DQ31
M_B_DQ32
M_B_DQ33
M_B_DQ34
M_B_DQ35
M_B_DQ36
M_B_DQ37
M_B_DQ38
M_B_DQ39
M_B_DQ40
M_B_DQ41
M_B_DQ42
M_B_DQ43
M_B_DQ44
M_B_DQ45
M_B_DQ46
M_B_DQ47
M_B_DQ48
M_B_DQ49
M_B_DQ50
M_B_DQ51
M_B_DQ52
M_B_DQ53
M_B_DQ54
M_B_DQ55
M_B_DQ56
M_B_DQ57
M_B_DQ58
M_B_DQ59
M_B_DQ60
M_B_DQ61
M_B_DQ62
M_B_DQ63

AK39
AJ37
AP39
AR41
AJ38
AK38
AN41
AP41
AT40
AV41
AU38
AV38
AP38
AR40
AW38
AY38
BA38
AV36
AR36
AP36
BA36
AU36
AP35
AP34
AY33
BA33
AT31
AU29
AU31
AW31
AV29
AW29
AM19
AL19
AP14
AN14
AN17
AM16
AP15
AL15
AJ11
AH10
AJ9
AN10
AK13
AH11
AK10
AJ8
BA10
AW10
BA4
AW4
AY10
AY9
AW5
AY5
AV4
AR5
AK4
AK3
AT4
AK5
AJ5
AJ3

SB_DQ0
SB_DQ1
SB_DQ2
SB_DQ3
SB_DQ4
SB_DQ5
SB_DQ6
SB_DQ7
SB_DQ8
SB_DQ9
SB_DQ10
SB_DQ11
SB_DQ12
SB_DQ13
SB_DQ14
SB_DQ15
SB_DQ16
SB_DQ17
SB_DQ18
SB_DQ19
SB_DQ20
SB_DQ21
SB_DQ22
SB_DQ23
SB_DQ24
SB_DQ25
SB_DQ26
SB_DQ27
SB_DQ28
SB_DQ29
SB_DQ30
SB_DQ31
SB_DQ32
SB_DQ33
SB_DQ34
SB_DQ35
SB_DQ36
SB_DQ37
SB_DQ38
SB_DQ39
SB_DQ40
SB_DQ41
SB_DQ42
SB_DQ43
SB_DQ44
SB_DQ45
SB_DQ46
SB_DQ47
SB_DQ48
SB_DQ49
SB_DQ50
SB_DQ51
SB_DQ52
SB_DQ53
SB_DQ54
SB_DQ55
SB_DQ56
SB_DQ57
SB_DQ58
SB_DQ59
SB_DQ60
SB_DQ61
SB_DQ62
SB_DQ63

SB_BS_0
SB_BS_1
SB_BS_2

AT24
AV23
AY28

SB_CAS#
SB_DM_0
SB_DM_1
SB_DM_2
SB_DM_3
SB_DM_4
SB_DM_5
SB_DM_6
SB_DM_7

AR24
AK36
AR38
AT36
BA31
AL17
AH8
BA5
AN4

M_B_DM0
M_B_DM1
M_B_DM2
M_B_DM3
M_B_DM4
M_B_DM5
M_B_DM6
M_B_DM7

SB_DQS_0
SB_DQS_1
SB_DQS_2
SB_DQS_3
SB_DQS_4
SB_DQS_5
SB_DQS_6
SB_DQS_7
SB_DQS#_0
SB_DQS#_1
SB_DQS#_2
SB_DQS#_3
SB_DQS#_4
SB_DQS#_5
SB_DQS#_6
SB_DQS#_7

AM39
AT39
AU35
AR29
AR16
AR10
AR7
AN5
AM40
AU39
AT35
AP29
AP16
AT10
AT7
AP5

M_B_DQS0
M_B_DQS1
M_B_DQS2
M_B_DQS3
M_B_DQS4
M_B_DQS5
M_B_DQS6
M_B_DQS7
M_B_DQS#0
M_B_DQS#1
M_B_DQS#2
M_B_DQS#3
M_B_DQS#4
M_B_DQS#5
M_B_DQS#6
M_B_DQS#7

SB_MA_0
SB_MA_1
SB_MA_2
SB_MA_3
SB_MA_4
SB_MA_5
SB_MA_6
SB_MA_7
SB_MA_8
SB_MA_9
SB_MA_10
SB_MA_11
SB_MA_12
SB_MA_13

AY23
AW24
AY24
AR28
AT27
AT28
AU27
AV28
AV27
AW27
AV24
BA27
AY27
AR23

M_B_A0
M_B_A1
M_B_A2
M_B_A3
M_B_A4
M_B_A5
M_B_A6
M_B_A7
M_B_A8
M_B_A9
M_B_A10
M_B_A11
M_B_A12
M_B_A13

TP1
TP47

SB_RAS#
SB_RCVENIN#
SB_RCVENOUT#
SB_WE#

AU23
AK16
AK18
AR27

TP_MB_RCVENIN#
TP_MB_RCVENOUT#

M_B_BS#0
M_B_BS#1
M_B_BS#2
M_B_CAS#
M_B_DM[7:0]

14
14
14
14
14

M_B_DQS[7:0] 14

M_B_DQS#[7:0] 14

M_B_A[13:0]

M_B_RAS#
M_B_WE#

1
1

14
1
1
14

14

TP2
TP49

CALISTOGA_1p0

w
w

it c

m
e
h
c

M_A_DQS#[7:0] 14

p
l. a

CALISTOGA_1p0

M_A_A0
M_A_A1
M_A_A2
M_A_A3
M_A_A4
M_A_A5
M_A_A6
M_A_A7
M_A_A8
M_A_A9
M_A_A10
M_A_A11
M_A_A12
M_A_A13

M_A_BS#0
M_A_BS#1
M_A_BS#2
M_A_CAS#
M_A_DM[7:0]

MEMORY

AU12
AV14
BA20

SYSTEM

SA_BS_0
SA_BS_1
SA_BS_2

DDR

A
MEMORY
SYSTEM

SA_DQ0
SA_DQ1
SA_DQ2
SA_DQ3
SA_DQ4
SA_DQ5
SA_DQ6
SA_DQ7
SA_DQ8
SA_DQ9
SA_DQ10
SA_DQ11
SA_DQ12
SA_DQ13
SA_DQ14
SA_DQ15
SA_DQ16
SA_DQ17
SA_DQ18
SA_DQ19
SA_DQ20
SA_DQ21
SA_DQ22
SA_DQ23
SA_DQ24
SA_DQ25
SA_DQ26
SA_DQ27
SA_DQ28
SA_DQ29
SA_DQ30
SA_DQ31
SA_DQ32
SA_DQ33
SA_DQ34
SA_DQ35
SA_DQ36
SA_DQ37
SA_DQ38
SA_DQ39
SA_DQ40
SA_DQ41
SA_DQ42
SA_DQ43
SA_DQ44
SA_DQ45
SA_DQ46
SA_DQ47
SA_DQ48
SA_DQ49
SA_DQ50
SA_DQ51
SA_DQ52
SA_DQ53
SA_DQ54
SA_DQ55
SA_DQ56
SA_DQ57
SA_DQ58
SA_DQ59
SA_DQ60
SA_DQ61
SA_DQ62
SA_DQ63

DDR

AJ35
AJ34
AM31
AM33
AJ36
AK35
AJ32
AH31
AN35
AP33
AR31
AP31
AN38
AM36
AM34
AN33
AK26
AL27
AM26
AN24
AK28
AL28
AM24
AP26
AP23
AL22
AP21
AN20
AL23
AP24
AP20
AT21
AR12
AR14
AP13
AP12
AT13
AT12
AL14
AL12
AK9
AN7
AK8
AK7
AP9
AN9
AT5
AL5
AY2
AW2
AP1
AN2
AV2
AT3
AN1
AL2
AG7
AF9
AG4
AF6
AG9
AH6
AF4
AF8

U5E
U5D
M_A_DQ0
M_A_DQ1
M_A_DQ2
M_A_DQ3
M_A_DQ4
M_A_DQ5
M_A_DQ6
M_A_DQ7
M_A_DQ8
M_A_DQ9
M_A_DQ10
M_A_DQ11
M_A_DQ12
M_A_DQ13
M_A_DQ14
M_A_DQ15
M_A_DQ16
M_A_DQ17
M_A_DQ18
M_A_DQ19
M_A_DQ20
M_A_DQ21
M_A_DQ22
M_A_DQ23
M_A_DQ24
M_A_DQ25
M_A_DQ26
M_A_DQ27
M_A_DQ28
M_A_DQ29
M_A_DQ30
M_A_DQ31
M_A_DQ32
M_A_DQ33
M_A_DQ34
M_A_DQ35
M_A_DQ36
M_A_DQ37
M_A_DQ38
M_A_DQ39
M_A_DQ40
M_A_DQ41
M_A_DQ42
M_A_DQ43
M_A_DQ44
M_A_DQ45
M_A_DQ46
M_A_DQ47
M_A_DQ48
M_A_DQ49
M_A_DQ50
M_A_DQ51
M_A_DQ52
M_A_DQ53
M_A_DQ54
M_A_DQ55
M_A_DQ56
M_A_DQ57
M_A_DQ58
M_A_DQ59
M_A_DQ60
M_A_DQ61
M_A_DQ62
M_A_DQ63

m
o

c
.
s

m
o

+VCCP
U5G

AA33
W33
P33
N33
L33
J33
AA32
Y32
W32
V32
P32
N32
M32
L32
J32
AA31
W31
V31
T31
R31
P31
N31
M31
AA30
Y30
W30
V30
U30
T30
R30
P30
N30
M30
L30
AA29
Y29
W29
V29
U29
R29
P29
M29
L29
AB28
AA28
Y28
V28
U28
T28
R28
P28
N28
M28
L28
P27
N27
M27
L27
P26
N26
L26
N25
M25
L25
P24
N24
M24
AB23
AA23
Y23
P23
N23
M23
L23
AC22
AB22
Y22
W22
P22
N22
M22
L22
AC21
AA21
W21
N21
M21
L21
AC20
AB20
Y20
W20
P20
N20
M20
L20
AB19
AA19
Y19
N19
M19
L19
N18
M18
L18
P17
N17
M17
N16
M16
L16

VCC_0
VCC_1
VCC_2
VCC_3
VCC_4
VCC_5
VCC_6
VCC_7
VCC_8
VCC_9
VCC_10
VCC_11
VCC_12
VCC_13
VCC_14
VCC_15
VCC_16
VCC_17
VCC_18
VCC_19
VCC_20
VCC_21
VCC_22
VCC_23
VCC_24
VCC_25
VCC_26
VCC_27
VCC_28
VCC_29
VCC_30
VCC_31
VCC_32
VCC_33
VCC_34
VCC_35
VCC_36
VCC_37
VCC_38
VCC_39
VCC_40
VCC_41
VCC_42
VCC_43
VCC_44
VCC_45
VCC_46
VCC_47
VCC_48
VCC_49
VCC_50
VCC_51
VCC_52
VCC_53
VCC_54
VCC_55
VCC_56
VCC_57
VCC_58
VCC_59
VCC_60
VCC_61
VCC_62
VCC_63
VCC_64
VCC_65
VCC_66
VCC_67
VCC_68
VCC_69
VCC_70
VCC_71
VCC_72
VCC_73
VCC_74
VCC_75
VCC_76
VCC_77
VCC_78
VCC_79
VCC_80
VCC_81
VCC_82
VCC_83
VCC_84
VCC_85
VCC_86
VCC_87
VCC_88
VCC_89
VCC_90
VCC_91
VCC_92
VCC_93
VCC_94
VCC_95
VCC_96
VCC_97
VCC_98
VCC_99
VCC_100
VCC_101
VCC_102
VCC_103
VCC_104
VCC_105
VCC_106
VCC_107
VCC_108
VCC_109
VCC_110

+VCCP

VCC

VCC_SM_0
VCC_SM_1
VCC_SM_2
VCC_SM_3
VCC_SM_4
VCC_SM_5
VCC_SM_6
VCC_SM_7
VCC_SM_8
VCC_SM_9
VCC_SM_10
VCC_SM_11
VCC_SM_12
VCC_SM_13
VCC_SM_14
VCC_SM_15
VCC_SM_16
VCC_SM_17
VCC_SM_18
VCC_SM_19
VCC_SM_20
VCC_SM_21
VCC_SM_22
VCC_SM_23
VCC_SM_24
VCC_SM_25
VCC_SM_26
VCC_SM_27
VCC_SM_28
VCC_SM_29
VCC_SM_30
VCC_SM_31
VCC_SM_32
VCC_SM_33
VCC_SM_34
VCC_SM_35
VCC_SM_36
VCC_SM_37
VCC_SM_38
VCC_SM_39
VCC_SM_40
VCC_SM_41
VCC_SM_42
VCC_SM_43
VCC_SM_44
VCC_SM_45
VCC_SM_46
VCC_SM_47
VCC_SM_48
VCC_SM_49
VCC_SM_50
VCC_SM_51
VCC_SM_52
VCC_SM_53
VCC_SM_54
VCC_SM_55
VCC_SM_56
VCC_SM_57
VCC_SM_58
VCC_SM_59
VCC_SM_60
VCC_SM_61
VCC_SM_62
VCC_SM_63
VCC_SM_64
VCC_SM_65
VCC_SM_66
VCC_SM_67
VCC_SM_68
VCC_SM_69
VCC_SM_70
VCC_SM_71
VCC_SM_72
VCC_SM_73
VCC_SM_74
VCC_SM_75
VCC_SM_76
VCC_SM_77
VCC_SM_78
VCC_SM_79
VCC_SM_80
VCC_SM_81
VCC_SM_82
VCC_SM_83
VCC_SM_84
VCC_SM_85
VCC_SM_86
VCC_SM_87
VCC_SM_88
VCC_SM_89
VCC_SM_90
VCC_SM_91
VCC_SM_92
VCC_SM_93
VCC_SM_94
VCC_SM_95
VCC_SM_96
VCC_SM_97
VCC_SM_98
VCC_SM_99
VCC_SM_100
VCC_SM_101
VCC_SM_102
VCC_SM_103
VCC_SM_104
VCC_SM_105
VCC_SM_106
VCC_SM_107

AU41
AT41
AM41
AU40
BA34
AY34
AW34
AV34
AU34
AT34
AR34
BA30
AY30
AW30
AV30
AU30
AT30
AR30
AP30
AN30
AM30
AM29
AL29
AK29
AJ29
AH29
AJ28
AH28
AJ27
AH27
BA26
AY26
AW26
AV26
AU26
AT26
AR26
AJ26
AH26
AJ25
AH25
AJ24
AH24
BA23
AJ23
BA22
AY22
AW22
AV22
AU22
AT22
AR22
AP22
AK22
AJ22
AK21
AK20
BA19
AY19
AW19
AV19
AU19
AT19
AR19
AP19
AK19
AJ19
AJ18
AJ17
AH17
AJ16
AH16
BA15
AY15
AW15
AV15
AU15
AT15
AR15
AJ15
AJ14
AJ13
AH13
AK12
AJ12
AH12
AG12
AK11
BA8
AY8
AW8
AV8
AT8
AR8
AP8
BA6
AY6
AW6
AV6
AT6
AR6
AP6
AN6
AL6
AK6
AJ6
AV1
AJ1

C600

C601

0.1UF/25V
C_0603

0.1UF/25V
C_0603

C496

C593

C483

0.1UF/25V
C_0603

0.1UF/25V
C_0603

0.1UF/25V
C_0603

C637

C638

0.47uF/16V
C_0603

0.47uF/16V
C_0603

p
l. a

w
w

C635

C636

0.47uF/16V
C_0603

0.47uF/16V
C_0603

C633

C634

0.47uF/16V
C_0603

0.47uF/16V
C_0603

VCC_NCTF0
VCC_NCTF1
VCC_NCTF2
VCC_NCTF3
VCC_NCTF4
VCC_NCTF5
VCC_NCTF6
VCC_NCTF7
VCC_NCTF8
VCC_NCTF9
VCC_NCTF10
VCC_NCTF11
VCC_NCTF12
VCC_NCTF13
VCC_NCTF14
VCC_NCTF15
VCC_NCTF16
VCC_NCTF17
VCC_NCTF18
VCC_NCTF19
VCC_NCTF20
VCC_NCTF21
VCC_NCTF22
VCC_NCTF23
VCC_NCTF24
VCC_NCTF25
VCC_NCTF26
VCC_NCTF27
VCC_NCTF28
VCC_NCTF29
VCC_NCTF30
VCC_NCTF31
VCC_NCTF32
VCC_NCTF33
VCC_NCTF34
VCC_NCTF35
VCC_NCTF36
VCC_NCTF37
VCC_NCTF38
VCC_NCTF39
VCC_NCTF40
VCC_NCTF41
VCC_NCTF42
VCC_NCTF43
VCC_NCTF44
VCC_NCTF45
VCC_NCTF46
VCC_NCTF47
VCC_NCTF48
VCC_NCTF49
VCC_NCTF50
VCC_NCTF51
VCC_NCTF52
VCC_NCTF53
VCC_NCTF54
VCC_NCTF55
VCC_NCTF56
VCC_NCTF57
VCC_NCTF58
VCC_NCTF59
VCC_NCTF60
VCC_NCTF61
VCC_NCTF62
VCC_NCTF63
VCC_NCTF64
VCC_NCTF65
VCC_NCTF66
VCC_NCTF67
VCC_NCTF68
VCC_NCTF69
VCC_NCTF70
VCC_NCTF71
VCC_NCTF72

VSS_NCTF0
VSS_NCTF1
VSS_NCTF2
VSS_NCTF3
VSS_NCTF4
VSS_NCTF5
VSS_NCTF6
VSS_NCTF7
VSS_NCTF8
VSS_NCTF9
VSS_NCTF10
VSS_NCTF11
VSS_NCTF12

NCTF

AE27
AE26
AE25
AE24
AE23
AE22
AE21
AE20
AE19
AE18
AC17
Y17
U17

c
.
s

it c

VCCAUX_NCTF0
VCCAUX_NCTF1
VCCAUX_NCTF2
VCCAUX_NCTF3
VCCAUX_NCTF4
VCCAUX_NCTF5
VCCAUX_NCTF6
VCCAUX_NCTF7
VCCAUX_NCTF8
VCCAUX_NCTF9
VCCAUX_NCTF10
VCCAUX_NCTF11
VCCAUX_NCTF12
VCCAUX_NCTF13
VCCAUX_NCTF14
VCCAUX_NCTF15
VCCAUX_NCTF16
VCCAUX_NCTF17
VCCAUX_NCTF18
VCCAUX_NCTF19
VCCAUX_NCTF20
VCCAUX_NCTF21
VCCAUX_NCTF22
VCCAUX_NCTF23
VCCAUX_NCTF24
VCCAUX_NCTF25
VCCAUX_NCTF26
VCCAUX_NCTF27
VCCAUX_NCTF28
VCCAUX_NCTF29
VCCAUX_NCTF30
VCCAUX_NCTF31
VCCAUX_NCTF32
VCCAUX_NCTF33
VCCAUX_NCTF34
VCCAUX_NCTF35
VCCAUX_NCTF36
VCCAUX_NCTF37
VCCAUX_NCTF38
VCCAUX_NCTF39
VCCAUX_NCTF40
VCCAUX_NCTF41
VCCAUX_NCTF42
VCCAUX_NCTF43
VCCAUX_NCTF44
VCCAUX_NCTF45
VCCAUX_NCTF46
VCCAUX_NCTF47
VCCAUX_NCTF48
VCCAUX_NCTF49
VCCAUX_NCTF50
VCCAUX_NCTF51
VCCAUX_NCTF52
VCCAUX_NCTF53
VCCAUX_NCTF54
VCCAUX_NCTF55
VCCAUX_NCTF56
VCCAUX_NCTF57

m
e
h
c

s
p
to

AG27
AF27
AG26
AF26
AG25
AF25
AG24
AF24
AG23
AF23
AG22
AF22
AG21
AF21
AG20
AF20
AG19
AF19
R19
AG18
AF18
R18
AG17
AF17
AE17
AD17
AB17
AA17
W17
V17
T17
R17
AG16
AF16
AE16
AD16
AC16
AB16
AA16
Y16
W16
V16
U16
T16
R16
AG15
AF15
AE15
AD15
AC15
AB15
AA15
Y15
W15
V15
U15
T15
R15

+1.5V

CALISTOGA_1p0

+VCCP

C598

C495

C587

CT23
220UF/2.5V
TAJ_E

10uF/6.3V

VDIMM

10uF/6.3V

CALISTOGA_1p0

C484
0.1UF/25V
C_0603

U5F

AD27
AC27
AB27
AA27
Y27
W27
V27
U27
T27
R27
AD26
AC26
AB26
AA26
Y26
W26
V26
U26
T26
R26
AD25
AC25
AB25
AA25
Y25
W25
V25
U25
T25
R25
AD24
AC24
AB24
AA24
Y24
W24
V24
U24
T24
R24
AD23
V23
U23
T23
R23
AD22
V22
U22
T22
R22
AD21
V21
U21
T21
R21
AD20
V20
U20
T20
R20
AD19
V19
U19
T19
AD18
AC18
AB18
AA18
Y18
W18
V18
U18
T18

C602

C604

C599

C597

C603

0.22UF/16V
C_0603

0.22UF/16V
C_0603

0.22UF/16V
C_0603

1UF/10V
C_0603

10uF/6.3V
C_0805

10uF/6.3V
C_0805

+2.5V
+2.5V
C453

C440

C86

C88

10uF/6.3V

0.1UF/10V
C_0402

m
o

4.7UF/10V 0.1UF/10V
U5H
VCCSTNC

+1.5V_PCIE

+1.5V
L9
INDCT,91NH, 20%, 1.5A,L_3225
20%
+ CT12
220UF/2.5V
TAJ_E

C30
B30
A30

C455

C462

10uF/6.3V

10uF/6.3V

+2.5V

+1.5V

L11
2
1uH,500mA,20%,L_3225
20%
C389

C387

+VCCP
+2.5V

C90

10uF/6.3V

0.1UF/10V
C_0402

FB37

R453
1K +-1%

C446

0.1UF/10V

10uF/6.3V
D57

R_0603
BLM18PG600SN

RB751V-40

C472

C386

22nF/25V
C_0402

0.1UF/10V
C_0402

+2.5V

0.01UF/16V 0.1UF/10V
C_0402
C_0402

D64

+1.5V

+1.5V_DPLLA
+1.5V_DPLLB
+1.5V_HPLL

B26
C39
AF1

VCCA_DPLLA
VCCA_DPLLB
VCCA_HPLL

A38
B39

VCCA_LVDS
VSSA_LVDS

AF2

VCCA_MPLL

H20
G20

FB42

+1.5V

VCCA_TVBG
VSSA_TVBG

+1.5V_HPLL

R_0603

BLM18PG121SN
R_0603

1K +-1%

RB751V-40

1
BLM18PG121SN

+3.3V_ATVBG

FB38 1

+3.3V_TVDACA

0.1UF/10V

22UF/6.3V

FB43

+3.3V_TVDACB

1
BLM18PG121SN

+1.5V_MPLL

+3.3V_TVDACC

C444

C447

10uF/6.3V

0.1UF/10V

+1.5V

C458

R_0603
C434

0.1UF/10V

22UF/6.3V

+1.5V
+3V

+1.5V
L6
2
1uH,500mA,20%,L_3225 C456
20%
CT20
+

+1.5V_DPLLA

0.1UF/10V

220UF/2.5V

R_0603
BLM18PG121SN

+1.5V_DPLLB

+3.3V_ATVBG

+1.5V

p
l. a

+3.3V_TVDACA

+3.3V_TVDACB

w
w

C394

C452

C469

C461

C477

C474

10uF/6.3V
C_0805

0.1UF/10V
C_0402

22nF/25V
C_0402

0.1UF/10V
C_0402

22nF/25V
C_0402

0.1UF/10V
C_0402

C397

10uF/6.3V
C_0805

FB26

0.1UF/10V

220UF/2.5V

s
p
to
C482

0.1UF/10V
C_0402

L10
2
1uH,500mA,20%,L_3225
20%
CT21 C457
+

C460

22nF/25V
C_0402

C443

C441

22nF/25V
C_0402

0.1UF/10V
C_0402

+1.5V

C424

0.1UF/10V
C_0402

+3.3V_TVDACC

C433

C432

C451

C448

22nF/25V
C_0402

0.1UF/10V
C_0402

22nF/25V
C_0402

0.1UF/10V
C_0402

C439

0.1UF/10V
C_0402

+1.5V_TVDAC

E19
F19
C20
D20
E20
F20

VCCA_TVDACA0
VCCA_TVDACA1
VCCA_TVDACB0
VCCA_TVDACB1
VCCA_TVDACC0
VCCA_TVDACC1

AH1
AH2

VCCD_HMPLL0
VCCD_HMPLL1

A28
B28
C28

VCCD_LVDS0
VCCD_LVDS1
VCCD_LVDS2

D21

VCCD_TVDAC

A23
B23
B25

VCC_HV0
VCC_HV1
VCC_HV2

H19

VCCD_QTVDAC

AK31
AF31
AE31
AC31
AL30
AK30
AJ30
AH30
AG30
AF30
AE30
AD30
AC30
AG29
AF29
AE29
AD29
AC29
AG28
AF28
AE28
AH22
AJ21
AH21
AJ20
AH20
AH19
P19
P16
AH15
P15
AH14
AG14
AF14
AE14
Y14
AF13
AE13
AF12
AE12
AD12

POWER

VCCAUX0
VCCAUX1
VCCAUX2
VCCAUX3
VCCAUX4
VCCAUX5
VCCAUX6
VCCAUX7
VCCAUX8
VCCAUX9
VCCAUX10
VCCAUX11
VCCAUX12
VCCAUX13
VCCAUX14
VCCAUX15
VCCAUX16
VCCAUX17
VCCAUX18
VCCAUX19
VCCAUX20
VCCAUX21
VCCAUX22
VCCAUX23
VCCAUX24
VCCAUX25
VCCAUX26
VCCAUX27
VCCAUX28
VCCAUX29
VCCAUX30
VCCAUX31
VCCAUX32
VCCAUX33
VCCAUX34
VCCAUX35
VCCAUX36
VCCAUX37
VCCAUX38
VCCAUX39
VCCAUX40

AC14
AB14
W14
V14
T14
R14
P14
N14
M14
L14
AD13
AC13
AB13
AA13
Y13
W13
V13
U13
T13
R13
N13
M13
L13
AB12
AA12
Y12
W12
V12
U12
T12
R12
P12
N12
M12
L12
R11
P11
N11
M11
R10
P10
N10
M10
P9
N9
M9
R8
P8
N8
M8
P7
N7
M7
R6
P6
M6
A6
R5
P5
N5
M5
P4
N4
M4
R3
P3
N3
M3
R2
P2
M2
D2
AB1
R1
P1
N1
M1

c
.
s

C639
0.47uF/16V
C_0603

C640

C641

0.47uF/16V
C_0603

0.47uF/16V
C_0603

+VCCP

CALISTOGA_1p0

VTT_0
VTT_1
VTT_2
VTT_3
VTT_4
VTT_5
VTT_6
VTT_7
VTT_8
VTT_9
VTT_10
VTT_11
VTT_12
VTT_13
VTT_14
VTT_15
VTT_16
VTT_17
VTT_18
VTT_19
VTT_20
VTT_21
VTT_22
VTT_23
VTT_24
VTT_25
VTT_26
VTT_27
VTT_28
VTT_29
VTT_30
VTT_31
VTT_32
VTT_33
VTT_34
VTT_35
VTT_36
VTT_37
VTT_38
VTT_39
VTT_40
VTT_41
VTT_42
VTT_43
VTT_44
VTT_45
VTT_46
VTT_47
VTT_48
VTT_49
VTT_50
VTT_51
VTT_52
VTT_53
VTT_54
VTT_55
VTT_56
VTT_57
VTT_58
VTT_59
VTT_60
VTT_61
VTT_62
VTT_63
VTT_64
VTT_65
VTT_66
VTT_67
VTT_68
VTT_69
VTT_70
VTT_71
VTT_72
VTT_73
VTT_74
VTT_75
VTT_76

it c

m
e
h
c

+1.5V

C388
C463

VCC3G0
VCC3G1
VCC3G2
VCC3G3
VCC3G4
VCC3G5
VCC3G6
VCCA_3GPLL
VCCA_3GBG
VSSA_3GBG
VCCA_CRTDAC0
VCCA_CRTDAC1
VSSA_CRTDAC

+1.5V_MPLL

R454

VCC_TXLVDS0
VCC_TXLVDS1
VCC_TXLVDS2

F21
E21
G21

+3V

C437

AJ41
AB41
Y41
V41
R41
N41
L41
AC33
G41
H41

+VCCP

VCCSYNC

+2.5V_CRTDAC

VCCA_LVDS
C449

H22

C376

C436

4.7UF/10V
C_0805

2.2UF/16V 0.22UF/16V
C_0603
C_0603

C423

CT11
220UF/2.5V
TAJ_E

C646
0.22UF/16V
C_0603

m
o

+3V
+3V
NS
R436

U5C

R421

L_IBG

1.5K +-1%

22 DISP_ON

22 TXLCLKOUT22 TXLCLKOUT+

TXLOUT0TXLOUT1TXLOUT2-

22
22
22

A33
A32
E27
E26

LA_CLK#
LA_CLK
LB_CLK#
LB_CLK

C37
B35
A37

LA_DATA#_0
LA_DATA#_1
LA_DATA#_2

B37
B34
A36

LA_DATA_0
LA_DATA_1
LA_DATA_2

TXUOUT0TXUOUT1TXUOUT2-

G30
D30
F29

LB_DATA#_0
LB_DATA#_1
LB_DATA#_2

TXUOUT0+
TXUOUT1+
TXUOUT2+

F30
D29
F28

LB_DATA_0
LB_DATA_1
LB_DATA_2

TXLOUT0+
TXLOUT1+
TXLOUT2+

L_BKLTCTL
L_BKLTEN
L_CLKCTLA
L_CLKCTLB
L_DDC_CLK
L_DDC_DATA
L_IBG
L_VBG
L_VDDEN
L_VREFH
L_VREFL

Check all the chanelB!!!

LVDS

22
22
22

TXUCLKOUTTXUCLKOUT+

D32
J30
H30
H29
G26
G25
B38
C35
F32
C33
C32

+1.5V

CRT_G

21

CRT_R

INTTV_COMP
INTTV_LUMA
INTTV_CHROMA

A16
C18
A19

TV_DACA_OUT
TV_DACB_OUT
TV_DACC_OUT

R437
R448
R451
R419

0 +-5%
0 +-5%
0 +-5%
0 +-5%

TV_IREF
TV_IRTNA
TV_IRTNB
TV_IRTNC

J20
B16
B18
B19

TV_IREF
TV_IRTNA
TV_IRTNB
TV_IRTNC

E23
D23
C22
B22
A21
B21

CRT_BLUE
CRT_BLUE#
CRT_GREEN
CRT_GREEN#
CRT_RED
CRT_RED#

C26
C25
G23
J22
H23

CRT_DDC_CLK
CRT_DDC_DATA
CRT_HSYNC
CRT_IREF
CRT_VSYNC

21 DDCCLK
21 DDCDAT
21
CRTHS_VGA

R427

39 +-5%

INTCRTHS_VGA

21

R440

39 +-5%

INTCRTVS_VGA

p
l. a
CRT_IREF

CRTVS_VGA

w
w

D40
D38

EXP_A_RXN_0
EXP_A_RXN_1
EXP_A_RXN_2
EXP_A_RXN_3
EXP_A_RXN_4
EXP_A_RXN_5
EXP_A_RXN_6
EXP_A_RXN_7
EXP_A_RXN_8
EXP_A_RXN_9
EXP_A_RXN_10
EXP_A_RXN_11
EXP_A_RXN_12
EXP_A_RXN_13
EXP_A_RXN_14
EXP_A_RXN_15

F34
G38
H34
J38
L34
M38
N34
P38
R34
T38
V34
W38
Y34
AA38
AB34
AC38

c
.
s

EXP_A_RXP_0
EXP_A_RXP_1
EXP_A_RXP_2
EXP_A_RXP_3
EXP_A_RXP_4
EXP_A_RXP_5
EXP_A_RXP_6
EXP_A_RXP_7
EXP_A_RXP_8
EXP_A_RXP_9
EXP_A_RXP_10
EXP_A_RXP_11
EXP_A_RXP_12
EXP_A_RXP_13
EXP_A_RXP_14
EXP_A_RXP_15

D34
F38
G34
H38
J34
L38
M34
N38
P34
R38
T34
V38
W34
Y38
AA34
AB38

EXP_A_TXN_0
EXP_A_TXN_1
EXP_A_TXN_2
EXP_A_TXN_3
EXP_A_TXN_4
EXP_A_TXN_5
EXP_A_TXN_6
EXP_A_TXN_7
EXP_A_TXN_8
EXP_A_TXN_9
EXP_A_TXN_10
EXP_A_TXN_11
EXP_A_TXN_12
EXP_A_TXN_13
EXP_A_TXN_14
EXP_A_TXN_15

F36
G40
H36
J40
L36
M40
N36
P40
R36
T40
V36
W40
Y36
AA40
AB36
AC40

EXP_A_TXP_0
EXP_A_TXP_1
EXP_A_TXP_2
EXP_A_TXP_3
EXP_A_TXP_4
EXP_A_TXP_5
EXP_A_TXP_6
EXP_A_TXP_7
EXP_A_TXP_8
EXP_A_TXP_9
EXP_A_TXP_10
EXP_A_TXP_11
EXP_A_TXP_12
EXP_A_TXP_13
EXP_A_TXP_14
EXP_A_TXP_15

D36
F40
G36
H40
J36
L40
M36
N40
P36
R40
T36
V40
W36
Y40
AA36
AB40

PEG_COMP

R446

150 +-1%

CRT_R

R431

150 +-1%

CRT_G

R447

150 +-1%

CRT_B

it c

m
e
h
c

CALISTOGA_1p0

R450
255 +-1%
R_0603

EXP_A_COMPI
EXP_A_COMPO

s
p
to
VGA

CRT_B

21

0 +-5%
0 +-5%
0 +-5%

TV

21

R443
R418
R424

GRAPHICS

EDIDCLK
EDIDDATA

R423
24.9 +-1%
R_0603

PCI-EXPRESS

22
22

R433
10K +-5%
10K +-5%

22 BLPWM-ADJ
22
VGA_BLON

+1.5V_PCIE

10K +-5%
10K +-5%

R445

NS
R430

m
o

U5I

AC41
AA41
W41
T41
P41
M41
J41
F41
AV40
AP40
AN40
AK40
AJ40
AH40
AG40
AF40
AE40
B40
AY39
AW39
AV39
AR39
AN39
AJ39
AC39
AB39
AA39
Y39
W39
V39
T39
R39
P39
N39
M39
L39
J39
H39
G39
F39
D39
AT38
AM38
AH38
AG38
AF38
AE38
C38
AK37
AH37
AB37
AA37
Y37
W37
V37
T37
R37
P37
N37
M37
L37
J37
H37
G37
F37
D37
AY36
AW36
AN36
AH36
AG36
AF36
AE36
AC36
C36
B36
BA35
AV35
AR35
AH35
AB35
AA35
Y35
W35
V35
T35
R35
P35
N35
M35
L35
J35
H35
G35
F35
D35
AN34

VSS_0
VSS_1
VSS_2
VSS_3
VSS_4
VSS_5
VSS_6
VSS_7
VSS_8
VSS_9
VSS_10
VSS_11
VSS_12
VSS_13
VSS_14
VSS_15
VSS_16
VSS_17
VSS_18
VSS_19
VSS_20
VSS_21
VSS_22
VSS_23
VSS_24
VSS_25
VSS_26
VSS_27
VSS_28
VSS_29
VSS_30
VSS_31
VSS_32
VSS_33
VSS_34
VSS_35
VSS_36
VSS_37
VSS_38
VSS_39
VSS_40
VSS_41
VSS_42
VSS_43
VSS_44
VSS_45
VSS_46
VSS_47
VSS_48
VSS_49
VSS_50
VSS_51
VSS_52
VSS_53
VSS_54
VSS_55
VSS_56
VSS_57
VSS_58
VSS_59
VSS_60
VSS_61
VSS_62
VSS_63
VSS_64
VSS_65
VSS_66
VSS_67
VSS_68
VSS_69
VSS_70
VSS_71
VSS_72
VSS_73
VSS_74
VSS_75
VSS_76
VSS_77
VSS_78
VSS_79
VSS_80
VSS_81
VSS_82
VSS_83
VSS_84
VSS_85
VSS_86
VSS_87
VSS_88
VSS_89
VSS_90
VSS_91
VSS_92
VSS_93
VSS_94
VSS_95
VSS_96

VSS

AK34
AG34
AF34
AE34
AC34
C34
AW33
AV33
AR33
AE33
AB33
Y33
V33
T33
R33
M33
H33
G33
F33
D33
B33
AH32
AG32
AF32
AE32
AC32
AB32
G32
B32
AY31
AV31
AN31
AJ31
AG31
AB31
Y31
AB30
E30
AT29
AN29
AB29
T29
N29
K29
G29
E29
C29
B29
A29
BA28
AW28
AU28
AP28
AM28
AD28
AC28
W28
J28
E28
AP27
AM27
AK27
J27
G27
F27
C27
B27
AN26
M26
K26
F26
D26
AK25
P25
K25
H25
E25
D25
A25
BA24
AU24
AL24
AW23

p
l. a

U5J

AT23
AN23
AM23
AH23
AC23
W23
K23
J23
F23
C23
AA22
K22
G22
F22
E22
D22
A22
BA21
AV21
AR21
AN21
AL21
AB21
Y21
P21
K21
J21
H21
C21
AW20
AR20
AM20
AA20
K20
B20
A20
AN19
AC19
W19
K19
G19
C19
AH18
P18
H18
D18
A18
AY17
AR17
AP17
AM17
AK17
AV16
AN16
AL16
J16
F16
C16
AN15
AM15
AK15
N15
M15
L15
B15
A15
BA14
AT14
AK14
AD14
AA14
U14
K14
H14
E14
AV13
AR13
AN13
AM13
AL13
AG13
P13
F13
D13
B13
AY12
AC12
K12
H12
E12
AD11
AA11
Y11

VSS_180
VSS_181
VSS_182
VSS_183
VSS_184
VSS_185
VSS_186
VSS_187
VSS_188
VSS_189
VSS_190
VSS_191
VSS_192
VSS_193
VSS_194
VSS_195
VSS_196
VSS_197
VSS_198
VSS_199
VSS_200
VSS_201
VSS_202
VSS_203
VSS_204
VSS_205
VSS_206
VSS_207
VSS_208
VSS_209
VSS_210
VSS_211
VSS_212
VSS_213
VSS_214
VSS_215
VSS_216
VSS_217
VSS_218
VSS_219
VSS_220
VSS_221
VSS_222
VSS_223
VSS_224
VSS_225
VSS_226
VSS_227
VSS_228
VSS_229
VSS_230
VSS_231
VSS_232
VSS_233
VSS_234
VSS_235
VSS_236
VSS_237
VSS_238
VSS_239
VSS_240
VSS_241
VSS_242
VSS_243
VSS_244
VSS_245
VSS_246
VSS_247
VSS_248
VSS_249
VSS_250
VSS_251
VSS_252
VSS_253
VSS_254
VSS_255
VSS_256
VSS_257
VSS_258
VSS_259
VSS_260
VSS_261
VSS_262
VSS_263
VSS_264
VSS_265
VSS_266
VSS_267
VSS_268
VSS_269
VSS_270
VSS_271
VSS_272

VSS

VSS_273
VSS_274
VSS_275
VSS_276
VSS_277
VSS_278
VSS_279
VSS_280
VSS_281
VSS_282
VSS_283
VSS_284
VSS_285
VSS_286
VSS_287
VSS_288
VSS_289
VSS_290
VSS_291
VSS_292
VSS_293
VSS_294
VSS_295
VSS_296
VSS_297
VSS_298
VSS_299
VSS_300
VSS_301
VSS_302
VSS_303
VSS_304
VSS_305
VSS_306
VSS_307
VSS_308
VSS_309
VSS_310
VSS_311
VSS_312
VSS_313
VSS_314
VSS_315
VSS_316
VSS_317
VSS_318
VSS_319
VSS_320
VSS_321
VSS_322
VSS_323
VSS_324
VSS_325
VSS_326
VSS_327
VSS_328
VSS_329
VSS_330
VSS_331
VSS_332
VSS_333
VSS_334
VSS_335
VSS_336
VSS_337
VSS_338
VSS_339
VSS_340
VSS_341
VSS_342
VSS_343
VSS_344
VSS_345
VSS_346
VSS_347
VSS_348
VSS_349
VSS_350
VSS_351
VSS_352
VSS_353
VSS_354
VSS_355
VSS_356
VSS_357
VSS_358
VSS_359
VSS_360

J11
D11
B11
AV10
AP10
AL10
AJ10
AG10
AC10
W10
U10
BA9
AW9
AR9
AH9
AB9
Y9
R9
G9
E9
A9
AG8
AD8
AA8
U8
K8
C8
BA7
AV7
AP7
AL7
AJ7
AH7
AF7
AC7
R7
G7
D7
AG6
AD6
AB6
Y6
U6
N6
K6
H6
B6
AV5
AF5
AD5
AY4
AR4
AP4
AL4
AJ4
Y4
U4
R4
J4
F4
C4
AY3
AW3
AV3
AL3
AH3
AG3
AF3
AD3
AC3
AA3
G3
AT2
AR2
AP2
AK2
AJ2
AD2
AB2
Y2
U2
T2
N2
J2
H2
F2
C2
AL1

s
p
to

CALISTOGA_1p0

c
.
s

it c

m
e
h
c

w
w

CALISTOGA_1p0

VSS_97
VSS_98
VSS_99
VSS_100
VSS_101
VSS_102
VSS_103
VSS_104
VSS_105
VSS_106
VSS_107
VSS_108
VSS_109
VSS_110
VSS_111
VSS_112
VSS_113
VSS_114
VSS_115
VSS_116
VSS_117
VSS_118
VSS_119
VSS_120
VSS_121
VSS_122
VSS_123
VSS_124
VSS_125
VSS_126
VSS_127
VSS_128
VSS_129
VSS_130
VSS_131
VSS_132
VSS_133
VSS_134
VSS_135
VSS_136
VSS_137
VSS_138
VSS_139
VSS_140
VSS_141
VSS_142
VSS_143
VSS_144
VSS_145
VSS_146
VSS_147
VSS_148
VSS_149
VSS_150
VSS_151
VSS_152
VSS_153
VSS_154
VSS_155
VSS_156
VSS_157
VSS_158
VSS_159
VSS_160
VSS_161
VSS_162
VSS_163
VSS_164
VSS_165
VSS_166
VSS_167
VSS_168
VSS_169
VSS_170
VSS_171
VSS_172
VSS_173
VSS_174
VSS_175
VSS_176
VSS_177
VSS_178
VSS_179

M_A_A0
M_A_A1
M_A_A2
M_A_A3
M_A_A4
M_A_A5
M_A_A6
M_A_A7
M_A_A8
M_A_A9
M_A_A10
M_A_A11
M_A_A12
M_A_A13

M_A_BS#2

9
M_A_BS#0
9
M_A_BS#1
8
M_CS#0
8
M_CS#1
8 M_CLK_DDR0
8 M_CLK_DDR#0
8 M_CLK_DDR1
8 M_CLK_DDR#1
8
M_CKE0
8
M_CKE1
9
M_A_CAS#
9
M_A_RAS#
9
M_A_WE#
10K +-5%
10K +-5%

R123
R124

SA0_DIM1
SA1_DIM1

4,16 SMB_CLK_ICH7
4,16 SMB_DATA_ICH7
8
8
9

M_ODT0
M_ODT1
M_A_DM[7:0]

M_A_DQS[7:0]

9 M_A_DQS#[7:0]

C357

0.1UF/10V
C_0402

2.2UF/16V
C_0603

114
119

ODT0
ODT1

13
31
51
70
131
148
169
188
11
29
49
68
129
146
167
186

DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
DQS#0
DQS#1
DQS#2
DQS#3
DQS#4
DQS#5
DQS#6
DQS#7

112
111
117
96
95
118
81
82
87
103
88
104

VDD1
VDD2
VDD3
VDD4
VDD5
VDD6
VDD7
VDD8
VDD9
VDD10
VDD11
VDD12

199

VDDSPD

83
120
50
69
163

NC1
NC2
NC3
NC4
NCTEST

C370

0.1UF/10V

BA0
BA1
S0#
S1#
CK0
CK0#
CK1
CK1#
CKE0
CKE1
CAS#
RAS#
WE#
SA0
SA1
SCL
SDA

M_A_DQS0
M_A_DQS1
M_A_DQS2
M_A_DQS3
M_A_DQS4
M_A_DQS5
M_A_DQS6
M_A_DQS7
M_A_DQS#0
M_A_DQS#1
M_A_DQS#2
M_A_DQS#3
M_A_DQS#4
M_A_DQS#5
M_A_DQS#6
M_A_DQS#7

M_VREF
C176

107
106
110
115
30
32
164
166
79
80
113
108
109
198
200
197
195

DM0
DM1
DM2
DM3
DM4
DM5
DM6
DM7

MEM_TS#

M_VREF

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10/AP
A11
A12
A13
A14
A15
A16_BA2

10
26
52
67
130
147
170
185

M_A_DQ[63:0]

102
101
100
99
98
97
94
92
93
91
105
90
89
116
86
84
85

M_A_DM0
M_A_DM1
M_A_DM2
M_A_DM3
M_A_DM4
M_A_DM5
M_A_DM6
M_A_DM7

VDIMM

+3V

C361

2.2UF/16V

C_0402

VREF

201
202

GND0
GND1

47
133
183
77
12
48
184
78
71
72
121
122
196
193
8

VSS1
VSS2
VSS3
VSS4
VSS5
VSS6
VSS7
VSS8
VSS9
VSS10
VSS11
VSS12
VSS13
VSS14
VSS15

DQ0
DQ1
DQ2
DQ3
DQ4
DQ5
DQ6
DQ7
DQ8
DQ9
DQ10
DQ11
DQ12
DQ13
DQ14
DQ15
DQ16
DQ17
DQ18
DQ19
DQ20
DQ21
DQ22
DQ23
DQ24
DQ25
DQ26
DQ27
DQ28
DQ29
DQ30
DQ31
DQ32
DQ33
DQ34
DQ35
DQ36
DQ37
DQ38
DQ39
DQ40
DQ41
DQ42
DQ43
DQ44
DQ45
DQ46
DQ47
DQ48
DQ49
DQ50
DQ51
DQ52
DQ53
DQ54
DQ55
DQ56
DQ57
DQ58
DQ59
DQ60
DQ61
DQ62
DQ63

5
7
17
19
4
6
14
16
23
25
35
37
20
22
36
38
43
45
55
57
44
46
56
58
61
63
73
75
62
64
74
76
123
125
135
137
124
126
134
136
141
143
151
153
140
142
152
154
157
159
173
175
158
160
174
176
179
181
189
191
180
182
192
194

VSS16
VSS17
VSS18
VSS19
VSS20
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
VSS33
VSS34
VSS35
VSS36
VSS37
VSS38
VSS39
VSS40
VSS41
VSS42
VSS43
VSS44
VSS45
VSS46
VSS47
VSS48
VSS49
VSS50
VSS51
VSS52
VSS53
VSS54
VSS55
VSS56
VSS57

18
24
41
53
42
54
59
65
60
66
127
139
128
145
165
171
172
177
187
178
190
9
21
33
155
34
132
144
156
168
2
3
15
27
39
149
161
28
40
138
150
162

C368

C349

C327

C369

C330

C381

C351

M_B_A0
M_B_A1
M_B_A2
M_B_A3
M_B_A4
M_B_A5
M_B_A6
M_B_A7
M_B_A8
M_B_A9
M_B_A10
M_B_A11
M_B_A12
M_B_A13

M_B_BS#2

9
M_B_BS#0
9
M_B_BS#1
8
M_CS#2
8
M_CS#3
8 M_CLK_DDR4
8 M_CLK_DDR#4
8 M_CLK_DDR3
8 M_CLK_DDR#3
8
M_CKE2
8
M_CKE3
9
M_B_CAS#
9
M_B_RAS#
9
M_B_WE#
10K +-5% SA0_DIM2
R121
R118
10K +-5% SA1_DIM2

+3V

14,16
SMB_CLK_ICH7
14,16 SMB_DATA_ICH7

8
M_ODT2
8
M_ODT3
M_B_DM[7:0]

102
101
100
99
98
97
94
92
93
91
105
90
89
116
86
84
85

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10/AP
A11
A12
A13
A14
A15
A16_BA2

107
106
110
115
30
32
164
166
79
80
113
108
109
198
200
197
195

BA0
BA1
S0#
S1#
CK0
CK0#
CK1
CK1#
CKE0
CKE1
CAS#
RAS#
WE#
SA0
SA1
SCL
SDA

114
119

ODT0
ODT1

M_B_DM0
M_B_DM1
M_B_DM2
M_B_DM3
M_B_DM4
M_B_DM5
M_B_DM6
M_B_DM7

10
26
52
67
130
147
170
185

DM0
DM1
DM2
DM3
DM4
DM5
DM6
DM7

M_B_DQS0
M_B_DQS1
M_B_DQS2
M_B_DQS3
M_B_DQS4
M_B_DQS5
M_B_DQS6
M_B_DQS7
M_B_DQS#0
M_B_DQS#1
M_B_DQS#2
M_B_DQS#3
M_B_DQS#4
M_B_DQS#5
M_B_DQS#6
M_B_DQS#7

13
31
51
70
131
148
169
188
11
29
49
68
129
146
167
186

DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
DQS#0
DQS#1
DQS#2
DQS#3
DQS#4
DQS#5
DQS#6
DQS#7

112
111
117
96
95
118
81
82
87
103
88
104

VDD1
VDD2
VDD3
VDD4
VDD5
VDD6
VDD7
VDD8
VDD9
VDD10
VDD11
VDD12

199

VDDSPD

83
120
50
69
163

NC1
NC2
NC3
NC4
NCTEST

DQ0
DQ1
DQ2
DQ3
DQ4
DQ5
DQ6
DQ7
DQ8
DQ9
DQ10
DQ11
DQ12
DQ13
DQ14
DQ15
DQ16
DQ17
DQ18
DQ19
DQ20
DQ21
DQ22
DQ23
DQ24
DQ25
DQ26
DQ27
DQ28
DQ29
DQ30
DQ31
DQ32
DQ33
DQ34
DQ35
DQ36
DQ37
DQ38
DQ39
DQ40
DQ41
DQ42
DQ43
DQ44
DQ45
DQ46
DQ47
DQ48
DQ49
DQ50
DQ51
DQ52
DQ53
DQ54
DQ55
DQ56
DQ57
DQ58
DQ59
DQ60
DQ61
DQ62
DQ63

5
7
17
19
4
6
14
16
23
25
35
37
20
22
36
38
43
45
55
57
44
46
56
58
61
63
73
75
62
64
74
76
123
125
135
137
124
126
134
136
141
143
151
153
140
142
152
154
157
159
173
175
158
160
174
176
179
181
189
191
180
182
192
194

s
p
to

VDIMM

VSS16
VSS17
VSS18
VSS19
VSS20
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
VSS33
VSS34
VSS35
VSS36
VSS37
VSS38
VSS39
VSS40
VSS41
VSS42
VSS43
VSS44
VSS45
VSS46
VSS47
VSS48
VSS49
VSS50
VSS51
VSS52
VSS53
VSS54
VSS55
VSS56
VSS57

18
24
41
53
42
54
59
65
60
66
127
139
128
145
165
171
172
177
187
178
190
9
21
33
155
34
132
144
156
168
2
3
15
27
39
149
161
28
40
138
150
162

C378

C328

0.1UF/10V
C_0402

2.2UF/16V
C_0603

MEM_TS#

M_VREF
C362
0.1UF/10V

C346

VREF

201
202

GND0
GND1

47
133
183
77
12
48
184
78
71
72
121
122
196
193
8

VSS1
VSS2
VSS3
VSS4
VSS5
VSS6
VSS7
VSS8
VSS9
VSS10
VSS11
VSS12
VSS13
VSS14
VSS15

2.2UF/16V

C_0402

M_B_DQ0
M_B_DQ1
M_B_DQ2
M_B_DQ3
M_B_DQ4
M_B_DQ5
M_B_DQ6
M_B_DQ7
M_B_DQ8
M_B_DQ9
M_B_DQ10
M_B_DQ11
M_B_DQ12
M_B_DQ13
M_B_DQ14
M_B_DQ15
M_B_DQ16
M_B_DQ17
M_B_DQ18
M_B_DQ19
M_B_DQ20
M_B_DQ21
M_B_DQ22
M_B_DQ23
M_B_DQ24
M_B_DQ25
M_B_DQ26
M_B_DQ27
M_B_DQ28
M_B_DQ29
M_B_DQ30
M_B_DQ31
M_B_DQ32
M_B_DQ33
M_B_DQ34
M_B_DQ35
M_B_DQ36
M_B_DQ37
M_B_DQ38
M_B_DQ39
M_B_DQ40
M_B_DQ41
M_B_DQ42
M_B_DQ43
M_B_DQ44
M_B_DQ45
M_B_DQ46
M_B_DQ47
M_B_DQ48
M_B_DQ49
M_B_DQ50
M_B_DQ51
M_B_DQ52
M_B_DQ53
M_B_DQ54
M_B_DQ55
M_B_DQ56
M_B_DQ57
M_B_DQ58
M_B_DQ59
M_B_DQ60
M_B_DQ61
M_B_DQ62
M_B_DQ63

CT16
220UF/2.5V
TAJ_E

C356

C402

C385

C390

C404

C342

C321

C359

c
.
s

1
3
5
7

56 X4 +-5%
2 M_B_A11
4 M_B_A8
6 M_B_A7
8 M_B_A6

1
3
5
7

56 X4 +-5%
2
4
6
8

M_ODT2
M_B_WE#
M_CS#3
M_B_A13

1
3
5
7

56 X4 +-5%
2
4
6
8

M_ODT0
M_A_A13
M_A_CAS#
M_CS#1

1
3
5
7

56 X4 +-5%
2
4
6
8

M_B_A0
M_B_A1
M_B_A10
M_B_BS#0

1
3
5
7

56 X4 +-5%
2
4
6
8

M_A_RAS#
M_CS#0
M_A_WE#
M_A_BS#0

1
3
5
7

56 X4 +-5%
2
4
6
8

M_B_BS#1
M_B_RAS#
M_CS#2
M_B_CAS#

1
3
5
7

56 X4 +-5%
2
4
6
8

M_B_BS#2
M_B_A9
M_B_A12
M_CKE3

1
3
5
7

56 X4 +-5%
2 M_A_BS#2
4 M_CKE1
6 M_A_A12
8 M_A_A9
56 X4 +-5%
2 M_B_A3
4 M_B_A5
6 M_B_A4
8 M_B_A2

RN21

0.1UF/10V

C161

0.1UF/10V

C160

RN22

0.1UF/10V

C159

0.1UF/10V

C334

0.1UF/10V

C341

0.1UF/10V

C371

0.1UF/10V

C354

0.1UF/10V

C396

0.1UF/10V

C158

0.1UF/10V

C343

0.1UF/10V

C353

0.1UF/10V

C348

0.1UF/10V

C384

0.1UF/10V

C162

0.1UF/10V

C377

0.1UF/10V

C352

1
3
5
7

0.1UF/10V

C393

R122

RN23

RN24

RN25

RN26

RN27

RN41

0.1UF/10V

C406

0.1UF/10V

C329

0.1UF/10V

C367

0.1UF/10V

C401

1
3
5
7

C355

C379

R_0402

M_CKE2
56 +-5%
M_CKE0
R_0402
56 +-5%
56 X4 +-5%
M_A_A3
2
4 M_A_A0
6 M_A_A10
8 M_A_BS#1
56 X4 +-5%
2 M_A_A8
4 M_A_A6
6 M_A_A11
8 M_A_A4
56 X4 +-5%
2 M_A_A7
4 M_A_A1
6 M_A_A5
8 M_A_A2

0.1UF/10V

C175

0.1UF/10V

C326

1
3
5
7

0.1UF/10V

C344

R114

1
3
5
7

R117

2.2UF/16V 0.1UF/10V 2.2UF/16V 0.1UF/10V 2.2UF/16V 0.1UF/10V 2.2UF/16V 0.1UF/10V 2.2UF/16V 0.1UF/10V
C_0603
C_0402
C_0603
C_0402
C_0603
C_0402
C_0603
C_0402
C_0603
C_0402

C391

0.1UF/10V

RN42

Fox-DDRII-REV

C335

RN20
0.1UF/10V

RN40

VDIMM

T20

RN43

Layout Note:place these near dimm1

C336

m
o

VTT_MEM

R116

VDIMM

C358

M_B_DQ[63:0]

it c

m
e
h
c

M_B_DQS[7:0]

9 M_B_DQS#[7:0]

CON9

M_B_A[13:0]

p
l. a

2.2UF/16V 0.1UF/10V 2.2UF/16V 0.1UF/10V 2.2UF/16V 0.1UF/10V 2.2UF/16V 0.1UF/10V 2.2UF/16V 0.1UF/10V
C_0603
C_0402
C_0603
C_0402
C_0603
C_0402
C_0603
C_0402
C_0603
C_0402

+3V

Layout Note:place these near dimm0

C403

M_A_DQ0
M_A_DQ1
M_A_DQ2
M_A_DQ3
M_A_DQ4
M_A_DQ5
M_A_DQ6
M_A_DQ7
M_A_DQ8
M_A_DQ9
M_A_DQ10
M_A_DQ11
M_A_DQ12
M_A_DQ13
M_A_DQ14
M_A_DQ15
M_A_DQ16
M_A_DQ17
M_A_DQ18
M_A_DQ19
M_A_DQ20
M_A_DQ21
M_A_DQ22
M_A_DQ23
M_A_DQ24
M_A_DQ25
M_A_DQ26
M_A_DQ27
M_A_DQ28
M_A_DQ29
M_A_DQ30
M_A_DQ31
M_A_DQ32
M_A_DQ33
M_A_DQ34
M_A_DQ35
M_A_DQ36
M_A_DQ37
M_A_DQ38
M_A_DQ39
M_A_DQ40
M_A_DQ41
M_A_DQ42
M_A_DQ43
M_A_DQ44
M_A_DQ45
M_A_DQ46
M_A_DQ47
M_A_DQ48
M_A_DQ49
M_A_DQ50
M_A_DQ51
M_A_DQ52
M_A_DQ53
M_A_DQ54
M_A_DQ55
M_A_DQ56
M_A_DQ57
M_A_DQ58
M_A_DQ59
M_A_DQ60
M_A_DQ61
M_A_DQ62
M_A_DQ63

w
w
Fox-DDRII-STD

CON8

M_A_A[13:0]

DDRII SDRAM SO-DIMM


(200P)

DDRII SDRAM SO-DIMM


(200P)

R_0402

M_ODT3
56 +-5%
R_0402
M_ODT1
56 +-5%

m
o

Consider VCCRTC sources

VCCRTC

1
RB751V-40

C511

1UF/10V
C_0603

R466

1
RB751V-40
R134

20K +-1%

10M +-5%
R_0603

+3VALW
R_0603

3
2
1

BT2

R132
1M +-5%
R_0603

CON13
JBAT1

C510

R131
1M +-5%
NS

RTC_X1
RTC_X2

AB1
AB2

RTXC1
RTCX2

RTC_RST#

AA3

RTCRST#

SM_INTRUDER#

Y5
W4

INTRUDER#
INTVRMEN

W1
Y1
Y2
W3

EE_CS
EE_SHCLK
EE_DOUT
EE_DIN

CR2032WC
VCCRTC
R162

330K +-5%
R_0402

V3

LAN_CLK

U3

LAN_RSTSYNC

U5
V4
T5

LAN_RXD0
LAN_RXD1
LAN_RXD2

U7
V6
V7

LAN_TXD0
LAN_TXD1
LAN_TXD2

ACZ_SYNC_R

U1
R6

ACZ_BIT_CLK
ACZ_SYNC

ACZ_RST#_R

R5

ACZ_RST#

T2
T3
T1

ACZ_SDIN0
ACZ_SDIN1
ACZ_SDIN2

+3V

R591
10K +-5%
R_0402
NS
23
19
19
19
19

20 AZ_BITCLK_MDC
28 AZ_BITCLK_AUD
20 AZ_SYNC_MDC
28 AZ_SYNC_AUD
28 AZ_RST_AUD#
20 AZ_RST_MDC#
28
AZ_SDIN0
20
AZ_SDIN1
28 AZ_SDOUT_MDC
20 AZ_SDOUT_AUD

R151
R138
R141
R137
R145
R139

39 +-5%
39 +-5%
39 +-5%
39 +-5%
39 +-5%
39 +-5%

R150
R142

39 +-5%
39 +-5%

SATA_RX-0
SATA_RX+0
SATA_TX-0
SATA_TX+0

0.01UF/16V
C237

0.01UF/16V
C252

0.01UF/16V

4 CLK_PCIE_SATA#
4 CLK_PCIE_SATA

R144

p
l. a
24.9 +-1%

16

R_0603
TP90
TP91
TP92

IDE_IRQ
TP94

SATA_RX-0-C
SATA_RX+0-C
SATA_TX-0-C
SATA_TX+0-C

SATA_RBIAS_PN

R144 placed within


500 mils of ICH7

T4

AF18

0.01UF/16V
C512

w
w

LAD0
LAD1
LAD2
LAD3

AA6
AB5
AC4
Y6

LDRQ0#
LDRQ1#/GPIO23

AC3
AA5

SDIOR#
SDIOW#
SDDACK#
SIORDY
SDDREQ

ACZ_SDOUT
SATALED#

AF3
AE3
AG2
AH2

SATA0RXN
SATA0RXP
SATA0TXN
SATA0TXP

AF7
AE7
AG6
AH6

SATA2RXN
SATA2RXP
SATA2TXN
SATA2TXP

AF1
AE1

SATA_CLKN
SATA_CLKP

AH10
AG10

SATARBIASN
SATARBIASP

AF15
AH15
AF16
AH16
AG16
AE15

DIOR#
DIOW#
DDACK#
IDEIRQ
IORDY
DDREQ

IDE

LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3

31
31
31
31

LFRAME#

AB3

LFRAME#/FWH4

A20GATE
A20M#

AE22
AH28

GATEA20
H_A20M#

16,31
6

H_DPRSTP#
H_DPSLP#

6,36
6

31

m
e
h
c
CPUSLP#

TP1/DPRSTP#
TP2/DPSLP#

AF24
AH25

FERR#

AG26

GPIO49/CPUPWRGD

AG24

H_PWRGD

IGNNE#
INIT3_3V#
INIT#
INTR

AG22
AG21
AF22
AF25

H_IGNNE#
T32

H_INIT#
H_INTR

6
6

RCIN#

AG23

RCIN#

16,31

NMI
SMI#

AH24
AF23

H_NMI
H_SMI#

6
6

STPCLK#

AH22

H_STPCLK#

THERMTRIP#

AF26

DD0
DD1
DD2
DD3
DD4
DD5
DD6
DD7
DD8
DD9
DD10
DD11
DD12
DD13
DD14
DD15

AB15
AE14
AG13
AF13
AD14
AC13
AD12
AC12
AE12
AF12
AB13
AC14
AF14
AH13
AH14
AC15

SDD0
SDD1
SDD2
SDD3
SDD4
SDD5
SDD6
SDD7
SDD8
SDD9
SDD10
SDD11
SDD12
SDD13
SDD14
SDD15

DA0
DA1
DA2

AH17
AE17
AF17

SDA0
SDA1
SDA2

TP111
TP112
TP113

DCS1#
DCS3#

AE16
AD16

SDCS0#
SDCS1#

TP115
TP114

PM_THRMTRIP#_R
TP95
TP96
TP97
TP98
TP99
TP100
TP101
TP102
TP107
TP108
TP109
TP110
TP103
TP104
TP105
TP106

ICH7M REV 1.02 EDS

+3V

R493
4.7K +-5%
R_0402

R489 10K +-5% R_0402

LDRQ1#

R339 10K +-5% R_0402

+VCCP

R147
56 +-5%
C

H_FERR#

R143

+VCCP

R158
56 +-5%
24.9 +-1%

PM_THRMTRIP# 6,8
C239
100PF/50V
C_0402

Layout note: R143 needs to placed


within 2" of ICH7, R158 must be
placed within 2" of R143 w/o
stub.

SIORDY

+3V

LDRQ0#

LDRQ0#
LDRQ1#

AG27

s
p
to
ACZ_SDO_R

SATA_LED#
SATA_RX-0C244
SATA_RX+0
SATA_TX-0
SATA_TX+0

it c

U10A

0.1UF/10V
C_0402

RTC
LPC

BAT

LAN
CPU

1K +-5%
2
R_0603 D26

AC-97/AZALIA

R127

SATA

Cap values depend on Xtal

D23

c
.
s

C560
C559
18PF/50V
Y6
18PF/50V
32.768KHZ C_0402
C_0402

+3VALW

ICH7 PULL UP
AD[0:31]

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

24
26

PIRQA#
PIRQB#

PIRQC#
PIRQD#

E18
C18
A16
F18
E16
A18
E17
A17
A15
C14
E14
D14
B12
C13
G15
G13
E12
C11
D11
A11
A10
F11
F10
E9
D9
B9
A8
A6
C7
B6
E6
D6

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

PCI

REQ0#
GNT0#
REQ1#
GNT1#
REQ2#
GNT2#
REQ3#
GNT3#
REQ4#/GPIO22
GNT4#/GPIO48
GPIO1/REQ5#
GPIO17/GNT5#

PIRQA#
PIRQB#
PIRQC#
PIRQD#

REQ2#
GNT2#

26
26

17,24,26,31 CLKRUN#
24,26
TRDY#

24,26
24,26
24,26
24,26

IRDY#
PAR
PCIRST#
DEVSEL#
PERR#
PLOCK#
SERR#
STOP#
TRDY#
FRAME#

A7
E10
B18
A12
C9
E11 LOCK#
B10
F15
F14
F16

IRDY#
PAR

24,26
24,26

PLTRST#
PCICLK
PME#

C26
A9
B19

R166

DEVSEL#
PERR#

24,26
24,26

SERR#
STOP#
TRDY#
FRAME#

24,26
24,26
24,26
24,26
PLT_RST#
PCLK_ICH7
ICH_PME#

1K +-5%
1K +-5%

NS

33 +-5%

PCIRST#

REQ1#

24
26

1
3
5
7

c
.
s
2
4 R_SMT8
6
8 8.2K X4 +-5%

it c

24,26,31

PIRQA#
PIRQB#

R194

R_0402

8.2K +-5%

R188
R187

R_0402
R_0402

8.2K +-5%
8.2K +-5%

RN48

8,17,20
4
24,26

+3V
+3VSUS

m
e
h
c
R611

R186
2.2K +-5%
R_0402
3

SMB_CLK

4.7K +-5%
R_0402

SMB_CLK_ICH7

Q79 RHU002N06

+3V

PIRQF#
PIRQH#
PIRQD#
PIRQC#

1
3
5
7

PIRQG#
PIRQE#

1
3
5
7

2
4
6 R_SMT8
8
8.2K X4 +-5%

RN49

15
IDE_IRQ
24,26
IRDY#

4,14

+5V

MCH_ICH_SYNC#
10K +-5%

REQ2#
FRAME#
STOP#

REQ4#

R164

2 R_SMT8
4
6
8
8.2K X4 +-5%

1
3
5
7

RN47
26

AE9
AG8
AH8
F21
AH20
R189

SERR#
PERR#
REQ0#

24,26
24,26

PIRQE#
PIRQF#
PIRQG#
PIRQH#

ICH7M REV 1.02 EDS

24,26
24,26
24

REQ3#

NS

17

RSVD[6]
RSVD[7]
RSVD[8]
RSVD[9]
MCH_SYNC#

DEVSEL#

2 R_SMT8
4
6
8 8.2K X4 +-5%

1
3
5
7

RN46

19

G8
F7
F8
G7

24,26

LOCK#
R474

C/BE0#
C/BE1#
C/BE2#
C/BE3#

GPIO2/PIRQE#
GPIO3/PIRQF#
GPIO4/PIRQG#
GPIO5/PIRQH#

RSVD[1]
RSVD[2]
RSVD[3]
RSVD[4]
RSVD[5]

24
24

HDD_DTCT#

MISC

AE5
AD5
AG4
AH4
AD9

REQ0#
GNT0#

B15
C12
D12
C15

C/BE0#
C/BE1#
C/BE2#
C/BE3#

Interrupt I/F

A3
B4
C5
B5

m
o

+3V

RN45

D7
E7
C16 REQ1#
D16
C17
D17
REQ3#
E13
F13
REQ4#
A13
A14
C8
D8

24,26

U10B

2
4
6
8

R_SMT8

8.2K X4 +-5%

HDD_DTCT#

R195

8.2K +-5% R_0402

+3VSUS

+3V

+3VSUS

R612

GNT5#

w
w

GNT4#

LPC

PCI

SPI

p
l. a

17

SMB_DATA

15,31

15,31

17,31

RCIN#

R504

SCI#

PIRQA# : BCM5705
PIRQB# : OZ711EZ1TN

24,26

KBSMI#

R204

R_0402

8.2K +-5% NS

R217

R_0402

8.2K +-5%

R_0603
R168

10K +-5%

ICH_PME#

NS

17
17

10K +-5%
8.2K +-5%

10K +-5%

R_0402

RI#
SMB_ALERT#

17 SMB_LINK_ALERT#

10K +-5% R_0603

17

SMLINK0

17

SMLINK1

17,31

8.2K +-5%

BATLOW#

6,17 PM_SYSRST#

REQ0
REQ1
REQ2
REQ3

17,31 SWI#
17,31

10K +-5%

+3VSUS

R192

GATEA20

SMB_DATA_ICH7 4,14

RHU002N06

R_0603

+3V

R190

R477

Q80

R178

+5V

R171

PM_THRM#

17,26,31 SERIRQ

17,20 PCIE_WAKE#

4.7K +-5%
R_0402

s
p
to
17

R193
2.2K +-5%
R_0402
3

:
:
:
:

BCM4401
NU
OZ711EZ1TN
NU

R169

10K +-5%

R_0603

R191

10K +-5%

R_0603

R183

10K +-5%

R_0603

R167

10K +-5%

R_0603

R165

10K +-5%

R_0603

R203

R_0402

R170

8.2K +-5%

10K +-5%

R_0603

m
o

+3V

R461

R732

10K +-5%

10K +-5%

R457
100K +-5%
R_0603

R455
100K +-5%
R_0603
NS

c
.
s

1
6,16 PM_SYSRST#

A28

RI#

A19
A27
A22

SPKR
SUS_STAT#
SYS_RST#

AB18

PM_BMBUSY#

16

SMB_ALERT#

B23

STP_PCI#
STP_CPU#

AC20
AF21

4
4

A21
B21
E23
AG18

16,24,26,31 CLKRUN#
30 BT_PWRON# TP46

36

IMVP_PWG

16,31
16,31
16,31

AC1
B2

CLK14_ICH7
CLK48_USB

4
4

C20

ICH_SUSCLK

SLP_S3#
SLP_S4#
SLP_S5#

B24
D23
F22

SUSB#
SUSC#
TP73
1

31
31

PWROK

AA4

GPIO0/BM_BUSY#
GPIO11/SMBALERT#
GPIO18/STPPCI#
GPIO20/STPCPU#
GPIO26
GPIO27
GPIO28
GPIO32/CLKRUN#

AC19
U2

GPIO33/AZ_DOCK_EN#
GPIO34/AZ_DOCK_RST#

F20
AH21
AF20

WAKE#
SERIRQ
THRM#

AD22

VRMPWRGD

AC21
AC18
E21

SWI#
SCI#
KBSMI#

CLK14
CLK48
SUSCLK

GPIO6
GPIO7
GPIO8

PLANARID0
PLANARID1

C21

BATLOW#

16,31

DNBSWON#

31

PWRBTN#
LAN_RST#

C19

RSMRST#

Y4

GPIO9
GPIO10
GPIO12
GPIO13
GPIO14
GPIO15
GPIO24
GPIO25
GPIO35
GPIO38
GPIO39

GPIO

E20
A20
F19
E19
R4
E22
R3
D20
AD21
AD20
AE20

1
1

PLANARID2
PLANARID3

1
1
1

1
1

1
1

RSMRST#

TP120
TP119
R733
TP118
TP117
TP116

1
1

1
1
1

0 +-5%

CRT_SENSE#

R344
R351
R356
R359

51 +-5%
51 +-5%
51 +-5%
51 +-5%

1
2
3
4

CE#
SO
WP#
GND

VDD
HOLD#
SCK
SI

8
7
6
5

R488

R458
100K +-5%
R_0603

R412
100K +-5%
R_0603
NS

R459

R475

10K +-5%
C594

C516
C_0402

0.1UF/10V
C700
C_0402

PCIE_TXN0_C
PCIE_TXP0_C
0.1UF/10V

F26
F25
E28
E27

PERn1
PERp1
PETn1
PETp1

C704
C_0402

0.1UF/10V
C705
C_0402

PCIE_TXN1_C
PCIE_TXP1_C
0.1UF/10V

H26
H25
G28
G27

PERn2
PERp2
PETn2
PETp2

K26
K25
J28
J27

PERn3
PERp3
PETn3
PETp3

M26
M25
L28
L27

PERn4
PERp4
PETn4
PETp4

P26
P25
N28
N27

PERn5
PERp5
PETn5
PETp5

T25
T24
R28
R27

PERn6
PERp6
PETn6
PETp6

SPI_MOSI
SPI_MISO
19
19

RP1

10
5

10K X8 +-5%

1
2
3
4

USB_OC#2
USB_OC#5
USB_OC#6
USB_OC#7

6
7
8
9

USB_OC#1
USB_OC#4
USB_OC#3
USB_OC#0

w
w

USB_OC#0
USB_OC#1

+3VSUS

R478
10K +-5%
R_0402

USB_OC#0
USB_OC#1
USB_OC#2
USB_OC#3

USB_OC#4
USB_OC#5
USB_OC#6
USB_OC#7

R2
P6
P1

SPI_CLK
SPI_CS#
SPI_ARB

P5
P2

SPI_MOSI
SPI_MISO

D3
C4
D5
D4
E5
C3
A2
B3

OC0#
OC1#
OC2#
OC3#
OC4#
OC5#/GPIO29
OC6#/GPIO30
OC7#/GPIO31

SPI

SPI_CLK
SPI_CS#

R476
10K +-5%
R_0402

PCI-Express

p
l. a

+3VSUS

R480
10K +-5%
R_0402

s
p
to

USB

PCIE_RXN1
PCIE_RXP1
PCIE_TXN1
PCIE_TXP1

10K +-5%

NOTE:
RX--TX
TX--RX

U10D

20
20
20
20

it c

R731
NS

8,16,20

PLANAR ID

10K +-5%
NS

0.1UF/10V
C_0402

R589

PLT_RST#

8,31

LEVEL

PLACE LESS THAN 2 INCH FROM THE


ICH IF USING SHARED
ARCHITECTURE.

PCIE_RXN0
PCIE_RXP0
PCIE_TXN0
PCIE_TXP0

21

0 +-5%

0 +-5% RSMRST#
NS

R730

m
e
h
c

22

+3VALW

SST25VF016B-1
NS

20
20
20
20

8,36

31

10K +-5%

FPBACK#
TP75
TP74
+3V

0.1UF/10V
C_0402

Direct Media Interface

SPI_CS#
SPI_MISO
SPI_CLK
SPI_MOSI

DPRSLPVR

R590
100K +-5%
R_0603

R404

100 +-5%

R391
C592

100 +-5%

R411
R588

+3VALW

U34

10K +-5%

100K +-5%

ICH7M REV 1.02 EDS

10K +-5%

10K +-5%
NS

PWROK

TP0/BATLOW#

C23

R479

R582

AC22

GPIO16/DPRSLPVR

R469

100K +-5%

16,20 PCIE_WAKE#
16,26,31 SERIRQ
16
PM_THRM#

SATA
GPIO

PCSPK
TP40

AF19
AH18
AH19
AE19

GPIO21/SATA0GP
GPIO19/SATA1GP
GPIO36/SATA2GP
GPIO37/SATA3GP

Clocks

RI#

28

SMBCLK
SMBDATA
LINKALERT#
SMLINK0
SMLINK1

SYS
GPIO
Power MGT

16

C22
B22
A26
B25
A25

SMB

U10C
16
SMB_CLK
16
SMB_DATA
16 SMB_LINK_ALERT#
16
SMLINK0
16
SMLINK1

DMI0RXN
DMI1RXN
DMI2RXN
DMI3RXN

V26
Y26
AB26
AD25

DMI_TXN0
DMI_TXN1
DMI_TXN2
DMI_TXN3

DMI0RXP
DMI1RXP
DMI2RXP
DMI3RXP

V25
Y25
AB25
AD24

DMI_TXP0
DMI_TXP1
DMI_TXP2
DMI_TXP3

DMI0TXN
DMI1TXN
DMI2TXN
DMI3TXN

U28 DMI_RXN0
W28 DMI_RXN1
AA28 DMI_RXN2
AC28 DMI_RXN3

DMI0TXP
DMI1TXP
DMI2TXP
DMI3TXP

U27 DMI_RXP0
W27 DMI_RXP1
AA27 DMI_RXP2
AC27 DMI_RXP3

DMI_CLKN
DMI_CLKP

DMI_ZCOMP
DMI_IRCOMP

AE28
AE27

DMI_TXN[3:0]

R458

R412

R455

R457

R459

R475

R479

R469

DMI_TXP[3:0]

DMI_RXN[3:0]

DMI_RXP[3:0]

CLK_PCIE_ICH# 4
CLK_PCIE_ICH 4

+1.5V

R464
24.9 +-1%
R_0603

DMI_IRCOMP_R

C25
D25

Place within 500 mils of ICH

USBP0N
USBP0P
USBP1N
USBP1P
USBP2N
USBP2P
USBP3N
USBP3P
USBP4N
USBP4P
USBP5N
USBP5P
USBP6N
USBP6P
USBP7N
USBP7P

F1
F2
G4
G3
H1
H2
J4
J3
K1
K2
L4
L5
M1
M2
N4
N3

USBRBIAS#
USBRBIAS

D2
D1

SYSUSBP0SYSUSBP0+
SYSUSBP1SYSUSBP1+
SYSUSBP2SYSUSBP2+
SYSUSBP3SYSUSBP3+
SYSUSBP4SYSUSBP4+
SYSUSBP5SYSUSBP5+

USB_RBIAS_PN

R460

19
19
19
19
19
19
20
20
30
30
20
20

22.6 +-1%

ICH7M REV 1.02 EDS

Place within 500 mils of ICH

m
o

+3V
2

+5V

D65
RB751V-40
1

+5VSUS

V5REF[1]
V5REF[2]

+3VSUS
F6
2

V5REF_Sus

+1.5V

FB39

V5REF_SUS

C533

C556

1UF/10V
C_0603

0.1UF/10V
C_0402

BLM21PG220SN1

R_0805
C539

C525

22uF/6.3V
C_0805

0.1UF/10V
C_0402

C701

C553

0.1UF/10V
C_0402

0.1UF/10V
C_0402

C536

C541

0.1UF/10V
C_0402

0.1UF/10V
C_0402

C702

C703

0.1UF/10V
C_0402

0.1UF/10V
C_0402

1 +-5%
+1.5V

GPLL_R_L 1

R481

L12
2
1uH,700mA,20%
20%
C542

R_0603

C544

0.01UF/16V
C_0402

10uF/6.3V
C_0805

+3V

C642
0.1UF/10V
C_0402

Vcc1_5_B[1]
Vcc1_5_B[2]
Vcc1_5_B[3]
Vcc1_5_B[4]
Vcc1_5_B[5]
Vcc1_5_B[6]
Vcc1_5_B[7]
Vcc1_5_B[8]
Vcc1_5_B[9]
Vcc1_5_B[10]
Vcc1_5_B[11]
Vcc1_5_B[12]
Vcc1_5_B[13]
Vcc1_5_B[14]
Vcc1_5_B[15]
Vcc1_5_B[16]
Vcc1_5_B[17]
Vcc1_5_B[18]
Vcc1_5_B[19]
Vcc1_5_B[20]
Vcc1_5_B[21]
Vcc1_5_B[22]
Vcc1_5_B[23]
Vcc1_5_B[24]
Vcc1_5_B[25]
Vcc1_5_B[26]
Vcc1_5_B[27]
Vcc1_5_B[28]
Vcc1_5_B[29]
Vcc1_5_B[30]
Vcc1_5_B[31]
Vcc1_5_B[32]
Vcc1_5_B[33]
Vcc1_5_B[34]
Vcc1_5_B[35]
Vcc1_5_B[36]
Vcc1_5_B[37]
Vcc1_5_B[38]
Vcc1_5_B[39]
Vcc1_5_B[40]
Vcc1_5_B[41]
Vcc1_5_B[42]
Vcc1_5_B[43]
Vcc1_5_B[44]
Vcc1_5_B[45]
Vcc1_5_B[46]
Vcc1_5_B[47]
Vcc1_5_B[48]
Vcc1_5_B[49]
Vcc1_5_B[50]
Vcc1_5_B[51]
Vcc1_5_B[52]
Vcc1_5_B[53]

B27

+1.5V
600 OHM/1.5A

R_0805

C522
C549

C534

10uF/6.3V

0.1UF/10V

0.1UF/10V
C_0402

VccDMIPLL

AB7
AC6
AC7
AD6
AE6
AF5
AF6
AG5
AH5

Vcc1_5_A[1]
Vcc1_5_A[2]
Vcc1_5_A[3]
Vcc1_5_A[4]
Vcc1_5_A[5]
Vcc1_5_A[6]
Vcc1_5_A[7]
Vcc1_5_A[8]
Vcc1_5_A[9]

AD2

VccSATAPLL

+3V
AH11

+3VSUS

0.1UF/10V
C_0402

TP37
TP38

w
w
C529

VccSus3_3/VccSusHDA

R7

Vcc1_5_A[10]
Vcc1_5_A[11]
Vcc1_5_A[12]
Vcc1_5_A[13]
Vcc1_5_A[14]
Vcc1_5_A[15]
Vcc1_5_A[16]
Vcc1_5_A[17]
Vcc1_5_A[18]

E3

VccSus3_3[19]

C1

VccUSBPLL

ATX

1UF/10V
C_0603

+1.5V

U6

V_CPU_IO[1]
V_CPU_IO[2]
V_CPU_IO[3]

AE23
AE26
AH26

Vcc3_3[3]
Vcc3_3[4]
Vcc3_3[5]
Vcc3_3[6]
Vcc3_3[7]
Vcc3_3[8]
Vcc3_3[9]
Vcc3_3[10]
Vcc3_3[11]

AA7
AB12
AB20
AC16
AD13
AD18
AG12
AG15
AG19

Vcc3_3[12]
Vcc3_3[13]
Vcc3_3[14]
Vcc3_3[15]
Vcc3_3[16]
Vcc3_3[17]
Vcc3_3[18]
Vcc3_3[19]
Vcc3_3[20]
Vcc3_3[21]

A5
B13
B16
B7
C10
D15
F9
G11
G12
G16

W5

VccSus3_3[1]

P7

VccSus3_3[2]
VccSus3_3[3]
VccSus3_3[4]
VccSus3_3[5]
VccSus3_3[6]

A24
C24
D19
D22
G19

VccSus3_3[7]
VccSus3_3[8]
VccSus3_3[9]
VccSus3_3[10]
VccSus3_3[11]
VccSus3_3[12]
VccSus3_3[13]
VccSus3_3[14]
VccSus3_3[15]
VccSus3_3[16]
VccSus3_3[17]
VccSus3_3[18]

K3
K4
K5
K6
L1
L2
L3
L6
L7
M6
M7
N7

Vcc1_5_A[19]
Vcc1_5_A[20]

AB17
AC17

Vcc1_5_A[21]
Vcc1_5_A[22]
Vcc1_5_A[23]

T7
F17
G17

Vcc1_5_A[24]
Vcc1_5_A[25]

VccSus1_05[1]

VccSus1_05[2]
VccSus1_05[3]
VccSus1_05/VccLAN1_05[1]
VccSus1_05/VccLAN1_05[2]Vcc1_5_A[26]
Vcc1_5_A[27]
Vcc1_5_A[28]
Vcc1_5_A[29]
Vcc1_5_A[30]

AA2
Y7

ICH7M REV 1.02 EDS

+VCCP
C550

C528

C555

1UF/10V
C_0603

0.1UF/10V
C_0402

0.1UF/10V
C_0402

CT24
220UF/2.5V
TAJ_E

it c

+3V
C543
0.1UF/10V
C_0402
+3V
C540

0.1UF/10V
C_0402
+3VSUS
C519
0.1UF/10V
C_0402

m
e
h
c
+VCCP

C546

0.1UF/10V

C551

C524

0.01UF/16V

4.7UF/10V
C_0805

+3V

C535

C520

C554

47PF/50V
C_0603

0.01UF/16V
C_0402

0.1UF/10V
C_0402

+3V

s
p
to

VccRTC

p
l. a

C538

C552

Vcc3_3/VccHDA

Vcc3_3[2]

AB10
AB9
AC10
AD10
AE10
AF10
AF9
AG9
AH9

+1.5V

0.1UF/10V
C_0402

VccSus3_3/VccLAN3_3[1]
VccSus3_3/VccLAN3_3[2]
VccSus3_3/VccLAN3_3[3]
VccSus3_3/VccLAN3_3[4]

Vcc3_3[1]

AG28

L11
L12
L14
L16
L17
L18
M11
M18
P11
P18
T11
T18
U11
U18
V11
V12
V14
V16
V17
V18
V5
V1
W2
W7

ARX

+1.5V

FB41
1

U10E

Vcc1_05[1]
Vcc1_05[2]
Vcc1_05[3]
Vcc1_05[4]
Vcc1_05[5]
Vcc1_05[6]
Vcc1_05[7]
Vcc1_05[8]
Vcc1_05[9]
Vcc1_05[10]
Vcc1_05[11]
Vcc1_05[12]
Vcc1_05[13]
Vcc1_05[14]
Vcc1_05[15]
Vcc1_05[16]
Vcc1_05[17]
Vcc1_05[18]
Vcc1_05[19]
VCC PAUX Vcc1_05[20]

VCCA3GP

AA22
AA23
AB22
AB23
AC23
AC24
AC25
AC26
AD26
AD27
AD28
D26
D27
D28
E24
E25
E26
F23
F24
G22
G23
H22
H23
J22
J23
K22
K23
L22
L23
M22
M23
N22
N23
P22
P23
R22
R23
R24
R25
R26
T22
T23
T26
T27
T28
U22
U23
V22
V23
W22
W23
Y22
Y23

D61
RB751V-40
1

R485
10 +-5%

c
.
s

U10F
G10
AD17

CORE

0.1UF/10V
C_0402

IDE

1UF/10V
C_0603

PCI

C523

USB

VCC5REF

C518

USB CORE

R483
10 +-5%

C521

C537

C526

47PF/50V
C_0603

0.1UF/10V
C_0402

0.1UF/10V
C_0402

VCCRTC

C548

C531

0.1UF/10V
C_0402

1UF/10V
C_0603

C558

C547

C527

0.1UF/10V
C_0402

0.1UF/10V
C_0402

0.1UF/10V
C_0402

C545

C532

0.1UF/10V
C_0402

0.1UF/10V
C_0402

+3VSUS

+3VSUS

AB8
AC8

+1.5V

K7

TP34

C644

C643

C557

C28
G20

TP35
TP36

0.1UF/10V
C_0402

0.1UF/10V
C_0402

1UF/10V
C_0603

A1
H6
H7
J6
J7

+1.5V

C645

C530

0.1UF/10V
C_0402

1UF/10V
C_0603

A4
A23
B1
B8
B11
B14
B17
B20
B26
B28
C2
C6
C27
D10
D13
D18
D21
D24
E1
E2
E4
E8
E15
F3
F4
F5
F12
F27
F28
G1
G2
G5
G6
G9
G14
G18
G21
G24
G25
G26
H3
H4
H5
H24
H27
H28
J1
J2
J5
J24
J25
J26
K24
K27
K28
L13
L15
L24
L25
L26
M3
M4
M5
M12
M13
M14
M15
M16
M17
M24
M27
M28
N1
N2
N5
N6
N11
N12
N13
N14
N15
N16
N17
N18
N24
N25
N26
P3
P4
P12
P13
P14
P15
P16
P17
P24
P27

VSS[1]
VSS[2]
VSS[3]
VSS[4]
VSS[5]
VSS[6]
VSS[7]
VSS[8]
VSS[9]
VSS[10]
VSS[11]
VSS[12]
VSS[13]
VSS[14]
VSS[15]
VSS[16]
VSS[17]
VSS[18]
VSS[19]
VSS[20]
VSS[21]
VSS[22]
VSS[23]
VSS[24]
VSS[25]
VSS[26]
VSS[27]
VSS[28]
VSS[29]
VSS[30]
VSS[31]
VSS[32]
VSS[33]
VSS[34]
VSS[35]
VSS[36]
VSS[37]
VSS[38]
VSS[39]
VSS[40]
VSS[41]
VSS[42]
VSS[43]
VSS[44]
VSS[45]
VSS[46]
VSS[47]
VSS[48]
VSS[49]
VSS[50]
VSS[51]
VSS[52]
VSS[53]
VSS[54]
VSS[55]
VSS[56]
VSS[57]
VSS[58]
VSS[59]
VSS[60]
VSS[61]
VSS[62]
VSS[63]
VSS[64]
VSS[65]
VSS[66]
VSS[67]
VSS[68]
VSS[69]
VSS[70]
VSS[71]
VSS[72]
VSS[73]
VSS[74]
VSS[75]
VSS[76]
VSS[77]
VSS[78]
VSS[79]
VSS[80]
VSS[81]
VSS[82]
VSS[83]
VSS[84]
VSS[85]
VSS[86]
VSS[87]
VSS[88]
VSS[89]
VSS[90]
VSS[91]
VSS[92]
VSS[93]
VSS[94]
VSS[95]
VSS[96]
VSS[97]

VSS[98]
VSS[99]
VSS[100]
VSS[101]
VSS[102]
VSS[103]
VSS[104]
VSS[105]
VSS[106]
VSS[107]
VSS[108]
VSS[109]
VSS[110]
VSS[111]
VSS[112]
VSS[113]
VSS[114]
VSS[115]
VSS[116]
VSS[117]
VSS[118]
VSS[119]
VSS[120]
VSS[121]
VSS[122]
VSS[123]
VSS[124]
VSS[125]
VSS[126]
VSS[127]
VSS[128]
VSS[129]
VSS[130]
VSS[131]
VSS[132]
VSS[133]
VSS[134]
VSS[135]
VSS[136]
VSS[137]
VSS[138]
VSS[139]
VSS[140]
VSS[141]
VSS[142]
VSS[143]
VSS[144]
VSS[145]
VSS[146]
VSS[147]
VSS[148]
VSS[149]
VSS[150]
VSS[151]
VSS[152]
VSS[153]
VSS[154]
VSS[155]
VSS[156]
VSS[157]
VSS[158]
VSS[159]
VSS[160]
VSS[161]
VSS[162]
VSS[163]
VSS[164]
VSS[165]
VSS[166]
VSS[167]
VSS[168]
VSS[169]
VSS[170]
VSS[171]
VSS[172]
VSS[173]
VSS[174]
VSS[175]
VSS[176]
VSS[177]
VSS[178]
VSS[179]
VSS[180]
VSS[181]
VSS[182]
VSS[183]
VSS[184]
VSS[185]
VSS[186]
VSS[187]
VSS[188]
VSS[189]
VSS[190]
VSS[191]
VSS[192]
VSS[193]
VSS[194]

ICH7M REV 1.02 EDS

P28
R1
R11
R12
R13
R14
R15
R16
R17
R18
T6
T12
T13
T14
T15
T16
T17
U4
U12
U13
U14
U15
U16
U17
U24
U25
U26
V2
V13
V15
V24
V27
V28
W6
W24
W25
W26
Y3
Y24
Y27
Y28
AA1
AA24
AA25
AA26
AB4
AB6
AB11
AB14
AB16
AB19
AB21
AB24
AB27
AB28
AC2
AC5
AC9
AC11
AD1
AD3
AD4
AD7
AD8
AD11
AD15
AD19
AD23
AE2
AE4
AE8
AE11
AE13
AE18
AE21
AE24
AE25
AF2
AF4
AF8
AF11
AF27
AF28
AG1
AG3
AG7
AG11
AG14
AG17
AG20
AG25
AH1
AH3
AH7
AH12
AH23
AH27

m
o

USB0PWR
T12

CON10
USB_CONN

330UF/6.3V
SYSUSBP0-_1

TAJ_E
31,32,35

R607

SUSON

it c

0 +-5%

SYSUSBP0+_1

USB_OC#0
17
17

4
1

SYSUSBP0SYSUSBP0+

3
2

CMC1

17

SYSUSBP0-_1
SYSUSBP0+_1

D74
USB0PWR

90H

SYSUSBP0+_1

NS

m
e
h
c

+3V

CON22
1

FB40
BLM21P221SGPT

23
1
2
3
4
5
6
7
24
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
25

15 SATA_TX+0
15 SATA_TX-0
15 SATA_RX-0
15 SATA_RX+0

16 HDD_DTCT#

SATA
C407
10UF/10V
C_0805

C416

C415

C475

0.1UF/10V
C_0402

10UF/10V
C_0805

0.1UF/10V
C_0402

R271
0 +-5%
R_0805

U13
1 GND OUT1
2 IN1 OUT2
3 IN2 OUT3
NS R361 10K +-5% R_0402
4 EN
OC#

s
p
to
C190
0.1UF/10V
C_0402

TPS2033

ALLTOP C16688-12204-Y

DATA_L

DATA_H

GND

*PAD

USB1PWR

R599

0 +-5%
NS

CT19

330UF/6.3V

R608

SUSON

V+

T47

+5VSUS

8
7
6
5

SYSUSBP0-_1

SR05.TCT

HDD_VDD

c
.
s

CT9

7
8

0 +-5%
NS

C360

0.1UF/10V
C_0402

P3
P4

R598

TPS2033

0.1UF/10V

*PAD
USB0PWR

8
7
6
5

GND OUT1
IN1 OUT2
IN2 OUT3
EN
OC#

P1
P2

C325
0.1UF/10V
C_0402

C412

U20

1
2
NS
3
R512 10K +-5% R_0402 4

5
6

+5VSUS
D

TAJ_E

0 +-5%

USB_OC#1

17

HDD_VDD
B

p
l. a
60MIL

C123

C125

C508

0.1UF/10V 1000PF/50V 2.2UF/16V

FB35

+5V

USB1PWR

C509

10UF/10V
C_1206

w
w

CON24DTB_CONN
USB1PWR

BLM21P221SGPT

C181
0.1UF/10V

C180

17
17

SYSUSBP1SYSUSBP1+

SYSUSBP1+

0.1UF/10V
C_0402

17
17

SYSUSBP2SYSUSBP2+

SYSUSBP2+

1
2
3
4
5
6
7
8
9
10

MINI PCIE CON FOR WLAN


3VAUX

+1.5V

3VAUX
3VAUX

+1.5V
+3V

3VAUX

c
.
s

CON12
CON27

+1.5V
+1.5V

2
RB751V-40
R102
+3V
10K +-5%
NS

R600 R_0402
R601 R_0402

23 WIRELESS_LED_ACT#

0 +-5%
0 +-5%

23
25

PETN0
PETP0

31
33

+3.3V

24

+3.3VAUX

3
5
8
10
12
14
16
17
19
20
37
39
41
43
45
47
49
51

RESERVED#3
RESERVED#5
RESERVED#8
RESERVED#10
RESERVED#12
RESERVED#14
RESERVED#16
RESERVED#17
RESERVED#19
RESERVED#20
RESERVED#37
RESERVED#39
RESERVED#41
RESERVED#43
RESERVED#45
RESERVED#47
RESERVED#49
RESERVED#51

42
44
46

LED_WWAN#
LED_WLAN#
LED_WPAN#

USB_DUSB_D+

36
38

SMB_CLK
SMB_DATA

30
32

WAKE#
CLKREQ#
PERST#

1
7
22

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

4
9
15
18
21
26
27
29
34
35
40
50

PCIE_RXN1
PCIE_RXP1

17
17

R403

R275

PCIE_TXN1 17
PCIE_TXP1 17
SYSUSBP5- 1
SYSUSBP5+ 1

30 WLAN_DATA
30 WLAN_CLK

USIM_PWR
USIM_DATA
USIM_CLK
USIM_RESET
TP50
1 1

PCIE_WAKE# 16,17
TP48
PLT_RST# 8,16,17

31

W_DISABLE#
2
RB751V-40
R103

1
D22

RF_ENABLE

+3V

R602
R125

1.5V

3.3V

28
48

+1.5V
+1.5V

52

+3.3V

24

+3.3VAUX

3
5
8
10
12
14
16
17
19
20
37
39
41
43
45
47
49
51

RESERVED#3
RESERVED#5
RESERVED#8
RESERVED#10
RESERVED#12
RESERVED#14
RESERVED#16
RESERVED#17
RESERVED#19
RESERVED#20
RESERVED#37
RESERVED#39
RESERVED#41
RESERVED#43
RESERVED#45
RESERVED#47
RESERVED#49
RESERVED#51

42
44
46

LED_WWAN#
LED_WLAN#
LED_WPAN#

R_0402
R_0402

ALLTOP C15708-140A1-L

LED_WWAN#

0 +-5%
0 +-5%

REFCLK+
REFCLK-

13
11

PERN0
PERP0

23
25

PETN0
PETP0

31
33

USB_DUSB_D+

36
38

SMB_CLK
SMB_DATA

30
32

WAKE#
CLKREQ#
PERST#

1
7
22

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

4
9
15
18
21
26
27
29
34
35
40
50

PCIECLK_3G 4
PCIECLK_3G# 4

PCIE_RXN0
PCIE_RXP0

17
17

PCIE_TXN0 17
PCIE_TXP0 17

it c

m
e
h
c
10K +-5%
NS

WIRELESS_LED_ACT#

SYSUSBP3- 1
SYSUSBP3+ 1

R734

R735

4.7K +-5%

1
D21

PERN0
PERP0

PCIECLK_WLAN 4
PCIECLK_WLAN# 4

4.7K +-5%

RF_ENABLE

13
11

4.7K +-5%

3.3V

28
48

REFCLK+
REFCLK-

4.7K +-5%

30 WLAN_DATA
30 WLAN_CLK
USIM_PWR
USIM_DATA
USIM_CLK
USIM_RESET
TP51
1 1

1.5V

52

31

m
o

MINI PCIE CON FOR 3G

+3V

PCIE_WAKE# 16,17
TP60
PLT_RST# 8,16,17

ALLTOP C15708-140A1-L

4
1

SYSUSBP5+ 1
SYSUSBP5- 1

3
2

CMC4

90H

+1.5V

C492
0.1UF/10V
C_0402

C501
0.1UF/10V
C_0402

p
l. a

3VAUX

C493

C103
0.1UF/10V
C_0402

w
w
2.2UF/16V

C500
0.1UF/10V
C_0402

C108

2.2UF/16V

USIM_PWR

s
p
to
SIM CARD SOCKET

USIM_PWR
USIM_RESET
USIM_CLK

C707
4.7UF/10V
C_0805

17 SYSUSBP3+
17 SYSUSBP3-

R605
15K +-1%

4
1

3
2

CMC5

SYSUSBP3+ 1
SYSUSBP3- 1

90H

NS

USIM_DATA

R604

33 +-5%
NS

CON2

C706

10PF/50V
C_0402
NS

15 AZ_SDOUT_AUD

15 AZ_SYNC_MDC
15

AZ_SDIN1

R233

33 +-5%

GND

Azalia_SDO

GND

7
9
11

15 AZ_RST_MDC#

RESERVED1
RESERVED2

3.3V AUX/MAIN

Azalia_SYNC

GND

Azalia_SDI

GND

10

Azalia_RESET# Azalia_BITCLK

12

C102
0.1UF/10V
C_0402

C105
C490
0.1UF/10V 0.1UF/10V
C_0402
C_0402

2.2UF/16V

3VAUX
B

AZ_BITCLK_MDC
AZ_BITCLK_MDC

MDC1.5

AZ_BITCLK_MDC

+3V

C107
0.1UF/10V
C_0402

C209

C205
0.1UF/10V
C_0402

H1

17 SYSUSBP5+
17 SYSUSBP5-

C1
C2
C3
C5
C6
C7

13

CON26

R232
22 +-5%
R_0603

C494

C210

10uF/6.3V

22PF/50V

15

+3V

D19

m
o

2
3

CRT_R_1

CRT PORT

1
D77

F2
+5V

2
3

CRT_B_1

12

L4 3

CRT_R

+3V

0.1UF/10V
C_0402

1 LFA20-2A1E104MT

c
.
s
R286

CON16

10K +-5%

CRTVDD2

2
RB751V-40
C238

POLYSW-1D5A6V

16

BAT54S
SOT_23
D13

1
12

CRT_G

12

CRT_B

CRT_R_1

1 LFA20-2A1E104MT
L2 3

CRT_B_1

1 LFA20-2A1E104MT
2

CRT_G_1

BAT54S
SOT_23
D16

L3 3

CRT_G_1

R68
150 +-1%
R_0603

6
1
7
2
8
3
9
4
10
5

R63
150 +-1%
R_0603

R45
150 +-1%
R_0603

C37
C41

C32
15PF
C_0402

15PF
C_0402

15PF
C_0402

11
12
13
14

it c
15

+5V

17

BAT54S
SOT_23
D42

CRT SUYIN 070912FR015SX14CY

2
3

DDCCLK_1

R239

+2.5V

2.2K +-5%
R_0603

1
12

m
e
h
c

Q21
RHU002N06

BAT54S
SOT_23
D45

DDCCLK

DDCCLK

220 OHM/100mA

DDCCLK2

2
3
C

+2.5V

DDCDAT_1

FB12 1

CRT_VS2

CRT_HS2

DDCDAT2

BAT54S
SOT_23

R_0603
220 OHM/100mA
1
R_0603
FB2 1 R_0603
220 OHM/100mA
220 OHM/100mA
1
R_0603

FB1

FB14

DDCCLK_1
CRT_VS_1

CRT_HS_1

DDCDAT_1

C217 C18

D10

12

CRT_VS_1

CRTVS_VGA

CRTVS_VGA

BAT54S
SOT_23
D12

1K +-5%

C567

CRT_HS_1

12

CRTHS_VGA

CRTHS_VGA

+2.5V

R266

12

DDCDAT

p
l. a

BAT54S
SOT_23

U28

1UF/10V
C_0603

s
p
to
SN74AHCT1G125DBVT

R513

+5V

220PF/50V

CRTVDD2

R240

2.2K +-5%
R_0603
R24 NS
2.2K +-5%
R_0603
R35 NS
2.2K +-5%
R_0603
R262
2.2K +-5%

R_0603
C230

SN74AHCT1G125DBVT

NS

NS

2.2K +-5%

R_0603
DDCDAT

+2.5V

Q24
RHU002N06

w
w

C27

C262

17

22PF/50V
22PF/50V
22PF/50V
22PF/50V

U29

+5V

CRT_SENSE#

m
o

+3V

c
.
s

Change connector!!

F4
FUSE-3A32V-7

LCDVCC_1

R327
100K +-5%

LCDVCC
FB17

R343
10K +-5%

C303
10uF/6.3V

12
12

0.1UF/10V

TXLOUT1TXLOUT1+

12
12

LCDVCC_2

TXLOUT2TXLOUT2+

12 TXLCLKOUT12 TXLCLKOUT+

FB4

Q28
RHU002N06

+3V

12
EDIDCLK
12 EDIDDATA

600 OHM/1.5A

0.1UF/10V

Q31
RHU002N06

F5

VIN

FB3

FUSE-3A32V-7

C45

600 OHM/1.5A

R_0805
C43

0.1UF/50V

1UF/25V

31

PWM-ADJ

C47

0.1UF/50V

LCD CON

+3VALW

D41

R235
31

MXLID#

MXLID#

LID# 1

BLON

2
RB751V-40

1K +-5%

C215

0.1UF/10V
B

17

p
l. a

LID#

w
w

BLPWM-ADJ

+2.5V
R333
2.2K +-5%
R_0603

w
3

12

Q29
RHU002N06
NS

R329
100K +-5%
R_0603

PWM-ADJL

12

Q23
DTC144EU

+3V

FPBACK#

R242
NS

+2.5V
R255
2.2K +-5%
R_0603

R238
100K +-5%
R_0603

0 +-5%

VGA_BLON

3
Q22
RHU002N06

R514

BLON

100K +-5%
R_0603

Tentative LVDS Voltage Translation Circuitry


5

PWM-ADJL
C

BLON

C297

C307

0.1UF/10V

0.1UF/10V

+3V
R321
10K +-5%
NS

current limit
R322
10K +-5%
NS

+3V

23

s
p
to

Check new spec and PIN1,31

R231
10K +-5%
R_0603

it c

m
e
h
c

C48

2
Q30
DTC144EU

C301
0.1UF/10V

TXLOUT0TXLOUT0+

1000PF/50V

DISP_ON

12
12

C302

DISP_ON#

12

600 OHM/1.5A
R_0805
C304

R336
47 +-5%
R_0805

DISP_ON-1

LCDVCC

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32

SHD2

6
5
2
1

5VAUX

1
2
3
4
5
TXLOUT06
TXLOUT0+
7
8
TXLOUT19
TXLOUT1+
10
11
TXLOUT212
TXLOUT2+
13
14
TXLCLKOUT15
TXLCLKOUT+
16
17
18
19
20
21
0 +-5%
R324
22
23
24
25
VIN1
26
PWM-ADJL
R330
27
0 +-5%
BLON
28
current limit29
30
31
32

LCDVCC

34

Q26
SI3456DV

SHD1

33

CON18

MOSVCC

WLAN---->BLUE

2
4

31
31

Q19

DTC144EU

MBATLED0#
MBATLED1#

MBATLED0#
MBATLED1#

2
4

DTC144EU

1
3

C187C188
220PF/50V
220PF/50V

30

BTMD_LED

Check the front LED!!!!!!!


R4

R196

WIRELESS_LED_ACT#
SATA_LED#
NUMLED#

CAPSLED#

Q1

Q18

NUMLED

LED4

IDE_LED

1
C16
220PF/50V
BLUE LED

DTA124EU

DTA124EU

DTA124EU

R224 330 +-5%


DTA124EU

R1

R13

R6

R225
WLAN_LED#

330 +-5%
R_0603

31 TP_BT#

31
B

p
l. a
31

+3VSUS

22

5
3

74LVC2G14
R218

C184
4.7UF/10V
C_0805

1M +-5% R_0603
+3V

74LVC2G14

Q20

PWR_SW
1
2

SW5
3
4
C265 220PF/50V

TP_BT#

TP_SW
1
2

SW3
3
4
C194 220PF/50V

NOVO_BT#

DTA124EU

PWR_LED#

NOVO_BT#
R226

0 +-5%

1
2

LID#

DTA124EU

WLAN_LED#

RFEN#

MBATLED0#

BAT54S
SOT_23
D29

BAT54S
SOT_23
D28

3
1

2
3

BT_LED

BAT54S
SOT_23
D35

1
2
BAT54S
SOT_23
D8

TP_BT#

NOVO_BT#

NBSWON#

2
3

CAPSLED

BAT54S
D32
SOT_23

2
BAT54S
SOT_23

D6

BAT54S
SOT_23

2
3

NUMLED

D33

1
B

BAT54S
SOT_23

D1

IDE_LED

2
1

LID#

LID_SW
BAT54S
SOT_23

3
4

1
BAT54S
SOT_23

D31

C1

D4

0.1UF/10V

PWR_LED

2
3

1
1
BAT54S
SOT_23
D30

BAT54S
SOT_23

2
3
1
BAT54S
SOT_23

PWR_LED

D34

D2

Q17
PWR_LED#

+5VALW

R515 10K +-5%


2 R_0603

31

BAT54S
SOT_23

NOVO_SW
SW4
1
3
2
4
C193 220PF/50V

SW1

LID#

D27

RF_SW
SW2
1
3
2
4
C195 220PF/50V

w
w
4

U12B

+3VSUS

NBSWON#

RFEN#

RFEN#

Place on the front of NB.

1
C189 220PF/50V
BLUE LED

s
p
to

31 NBSWON#

330 +-5%
R_0603

330 +-5%
R_0603

U12A

m
e
h
c

LED5

PWR_LED

R_0603
IDE_LED

1
220PF/50V
BLUE LED

NUMLED

CAPSLED
330 +-5%
R_0603

1
C12
220PF/50V
BLUE LED

2
C3

it c

+5VALW
C7
220PF/50V

LED1

Q3

Q4
31

CARD_LED

0 +-5%

15
31

1
BLUE LED

330 +-5%
R_0603
CAPSLED

LED3

20

LED2

+3V

+3V

m
o

c
.
s

+3VALW
R219 220 +-5%

BATTERY LED

26

CARD_ACTV

BT_VCC3
C182
220PF/50V

LED6

BT_LED

WLAN_LED#

1
3

C183 WLAN/BT LED R506 220 +-5%


220PF/50V

BT--->orange

Q2

LED7

BT_LED

CARD_LED

MBATLED1#

CARD_LED

Component Stuffing Requirements


+3V

VAUX_33_25
R401
0 +-5%
NS

31 LAN_PME_591#
16,26

ICH_PME#
D56

3VAUX

C8
H4
A10
C9
M11
F4

C58

R340

LAN_CLKOUT

Y5
25MHZ
C318
27PF/50V
VAUX_18_12

Change to 27P
1

FB21

VAUX_18_12

FB16

w
w

60 OHM/1.5A

NS

60 OHM/1.5A

PLLVDD3

C337
C323
NS
NS
4.7UF/10V 0.1UF/10V
C_0805

J14
N11
N10

P7
H14
L8
M9
N8

E12
H5
H6
H7
H8
J5
J6
J7
J8
J9
J10
K5
K6
K7
K8
K9
K10
L5
L10
M14
N14
P8
P12
P13
P14

TRDN3
TRDP3

NC/TRD[2]NC/TRD[2]+

D14
D13

TRDN2
TRDP2

RDN/TRD[1]RDP/TRD[1]+

C14
C13

TRDN1
TRDP1

TDN/TRD[0]TDP/TRD[0]+

B14
B13

TRDN0
TRDP0

LINK_LED10#/LINKLEDB
LINK_LED100#/SPD100LEDB
COL_LED#/SPD1000LEDB
ACT_LED#/TRAFFICLEDB

G13
H13
G12
G14

CSTSCHG
CLKRUN#
SMB_CLK
SMB_DATA
LOW_PWR
M66EN

XTALVDD
XTALI
XTALO

NC/PLLVDD3
PLLVDD2
NC1
NC2
NC3

s
p
to

C283

R74
R72
R71
R73

SPROM_CLK/EECLK
SPROM_CS/EEDATA

M10
P10
N9
P9

1.27K +-1%

EE_WP

D11
D12
C12
A12
B12

REGIN33/REGSUP25

B11

NC/REGCTL25

C11

VAUX_25_CTL

OUT33/REGSEN25

C10

VAUX_33_25

NC/REGCTL12

REGOUT18/REGSEN12

C52
C50
C49
C51
470PF/50V
470PF/50V 470PF/50V470PF/50V NS
NS
NS
NS

C287

4.7UF/10V

0.1UF/10V

NS 49.9 +-1%

NS 49.9 +-1%
NS
49.9 +-1%

0.01UF/16V

C277

Each pair one CAP


NS
C274

0.01UF/16V
NS

TRDN3
TRDP3

25
25

TRDN2
TRDP2

25
25

TRDN1
TRDP1

25
25

TRDN0
TRDP0

25
25

R83

R82

R78

1K +-5%
NS

1K +-5%
NS

1K +-5%

3VAUX
U4
EEDI
EEDO

1
2
3
4

CS
SK
DI
DO

EESK

VCC
NC1
NC2
GND

8
7
6
5

C59
0.1UF/10V
4401

AT93C46C

EECS

LAN@4401
U17

5
6
7
8

3VAUX
C64

C340
0.1UF/10V

Q10

0.1UF/10V

SDA
SCL
WP
Vcc

Vss
A2
A1
A0

4
3
2
1

VAUX_18_12

C320
22UF/10V

BCP69T1 1A

0.1UF/10V

D20

VAUX_33_25

R375

Q34

BCP69T1 1A

0 +-5%
R_0805

NS
B

C375

C372

22UF/10V

0.1UF/10V
0.01UF/16V
0.1UF/10V

C374

C373

Near Regulator

0 +-5%

R350
R313
0 +-5%

C339

Near Regulator
LS4148
NS

0 +-5%

change to 256k
NS

FB19
600 OHM/1.5A
R_0805

NS

R376

use small size!

C408

C410

22UF/10V

0.1UF/10V0.1UF/10V0.01UF/16V

Note: Place PLLVDD filters as close to chip


as possible.

C411

C409

Near Regulator
3

0.01UF/16V

NS 49.9 +-1%

VAUX_18_12

C276

3VAUX

PLLVDD2

C279

49.9 +-1%

AT24C128N

VAUX_12_CTL

H11
E11
E10
G11

R307

0.01UF/16V

49.9 +-1%

3VAUX

B10

NC/CS#
EECLK_PXE/SCLK
EEDATA_PXE/SI
NC/SO

R308

C275

49.9 +-1%

1K +-5%

A9

L7
K11
K4
J11
J4
H10
M8
L14
L11

R305

10M_LINK#
25
100M_LINK#
25
1000M_LINK# 25
ACTLED#
25

B9

NC4
NC5
NC6
NC7
NC8
NC9
NC10
VSS/NC11
VSS/NC12

R306

VAUX_33_25

49.9 +-1%

R81

TRST#
TDI
TCK
TMS
TDO

NC/REGSUP12

0.1UF/10V

0 +-5%
0 +-5%
0 +-5%
0 +-5%

D10 R84

H12
K13
J13

C_0805

m
e
h
c

GPIO0
GPIO1
GPIO2

SPROMDOUT/NC
SPROMDIN/NC

60 OHM/1.5A

C309
27PF/50V

0.1UF/10V

VAUX_33_25
CLKFB
200 +-5%

E14
E13

FB15

p
l. a

R388
1K +-5%
R384
0 +-5% NS
R342
4.7K +-5%
R347
4.7K +-5%
R349
0 +-5%

16,17,26,31 CLKRUN#

NC/TRD[3]NC/TRD[3]+

VAUX_18_12

PLACE HIGH FREQUENCY CAPACITORS AS


CLOSE TO POWER PINS AS POSSIBLE

1
RB751V-40

PCLK_LAN
R373
0 +-5%
+-5%R365
R365 100 +-5%

EPHY_AVDD/AVDDL1
EPHY_AVDD/AVDDL2

F12
F13

RDAC

VAUX_33_25

K14
L13
P11
A13
F14

VSS1
VSS2
VSS3
VSS4
VSS5
VSS6
NC/VSS7
VSS8
VSS9
VSS10
VSS11
VSS12
VSS13
VSS14
VSS15
VSS16
VSS17
VSS18
VSS19
VSS20
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
ND/VSS33
VSS34
VSS35
VSS36

R80
47K +-5%
NS

C347
10PF/50V
C_0402

R303

VAUXPRSNT
REQ#
GNT#
FRAME#
IRDY#
DEVSEL#
STOP#
TRDY#
PAR
PERR#
SERR#
INTA#
PCI_RST#
PCI_CLK
IDSEL
PME#

33 +-5%

R304

VDDIO_PCI

NC/VDDP1
NC/VDDP2
VDDP
NC/AVDD3
NC/AVDD4

B7
D4
D5
D6
D7
D8
D9
E2
E5
E6
E7
E8
E9
F5
F6
F7
F8
F9
F10
G4
G5
G6
G7
G8
G9
G10
H9
K2
L6
L9
M6
M12
M13
N1
N12
N13

R374

R301

P1
G2
A1

BCM5788M/BCM4401
15mm x 15mm
BGA196

it c
R302

J12
C3
J3
F2
F1
H3
H1
G3
J1
J2
A2
H2
C2
A3
A4
A6

16,26
16,26
16,26
16,26

4.7K +-5%
R_0603

3VAUX

A14
A11
F11
K12
L12

16
REQ0#
16
GNT0#
16,26 FRAME#
16,26 IRDY#
16,26 DEVSEL#
16,26 STOP#
16,26 TRDY#
16,26 PAR
16,26 PERR#
16,26 SERR#
16
PIRQA#
16,26,31 PCIRST#
4
PCLK_LAN
AD18

VESD1
VESD2
VESD3

PCLK_LAN
R79

BIASVDD
VDDIO1
VDDIO2
VDDIO3
VDDIO4

C319

0.1UF/10V 0.01UF/16V

CBE_0#
CBE_1#
CBE_2#
CBE_3#

3VAUX

Place
filter
close to
A14

0.1UF/10V

M4
L3
F3
C4

PLACE HIGH FREQUENCY CAPACITORS AS


CLOSE TO POWER PINS AS POSSIBLE

C69

PLACE HIGH FREQUENCY CAPACITORS AS


CLOSE TO POWER PINS AS POSSIBLE

C/BE0#
C/BE1#
C/BE2#
C/BE3#

C70 C62
C56

c
.
s

C60

0.1UF/10V 0.01UF/16V

60 OHM/1.5A

VDDC1
VDDC2
VDDC3
VDDC4
VDDC5
VDDC6
VDDC7
VDDC8
VDDC9
VDDC10
VDDC11
VDDC12
VDDC13
VDDC14
VDDC15
VDDC16
VDDC17
VDDC18
VDDC19
VDDC20
VDDC21
VDDC22
VDDC23
VDDC24
VDDC25

VDDIO_PCI1
VDDIO_PCI2
VDDIO_PCI3
VDDIO_PCI4
VDDIO_PCI5
VDDIO_PCI6
VDDIO_PCI7
VDDIO_PCI8
VDDIO_PCI9
VDDIO_PCI10
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

FB18

10uF/6.3V

C306

C405

A7
B3
C5
E1
E4
G1
K3
L4
N6
P2

BIASVDD

N7
M7
P6
P5
N5
M5
P4
N4
P3
N3
N2
M1
M2
M3
L1
L2
K1
E3
D1
D2
D3
C1
B1
B2
B4
A5
B5
B6
C6
C7
A8
B8

0.01UF/16V
0.01UF/16V
0.1UF/10V
0.1UF/10V
0.01UF/16V
0.1UF/10V
0.1UF/10V
0.1UF/10V 0.01UF/16V

C61
VAUX_33_25

C68

C55

LAN_CORE

AD[0:31]
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

60 OHM/1.5A

10uF/6.3V

C65

3VAUX

FB24

16,26

0.01UF/16V

LAN_CORE

10uF/6.3V0.1UF/10V0.1UF/10V
0.01UF/16V 0.01UF/16V
U18
0.01UF/16V

C395
C71

R402
0 +-5%

PLACE HIGH FREQUENCY CAPACITORS AS


CLOSE TO POWER PINS AS POSSIBLE
VDDIO_PCI
C322
C380 C400
C382 C399
C383

LAN_CORE

C57

m
o

VAUX_18_12
C273

LAN_CORE

C66

3VAUX

4401
5788
-----------------------------R401
NS
S
R402
S
NS
R384
NS
S
R388
S
NS
FB21 C337 C323
NS
S
R84
1.27K 1% 1.24K 1%
Q10 Q34
NS
S
R83 R82
NS
S
R305 R306
NS
S
R307 R308
NS
S
C277 C274
NS
S
U4
S
NS
U17
NS
S

m
o

3VAUX
CON1
D

TX+/0+

MX0-

TX-/0-

MX1+

RX+/1+

A3

13

NC1/2+

A2

12

MX2+
MX2-

CON3
2
1

R67
GREEN

NC2/2- AMBER

RX-/1-

MX3+

NC/3+

MX3-

NC4/3-

A1

MODEM

MTIP_RJ11
FB7 1
MRING_RJ11 R_0603
220 OHM/100mA
FB8 1
R_0603

TIP_RJ11
RING_RJ11

9
10

LED_GREEN
R269

330 +-5%

100K +-5%
100K +-5%
100K +-5%

3VAUX
LED_AMBER

11
C236

B3

16

B2
YELLOW

15

B1

14

220 OHM/100mA
2
1

R48

C_0402

R66

MX1-

1000PF/50V
C_0402
R5
330 +-5%

ACTLED#

RING

1000PF/50V
C_0402

18

GND2

17

D18

ACTLED#

24

D15
D14
LED_AMBER
D17

TYCO C-1775286

C191

C192
1000PF/2KV
C_1808

3VAUX

C8
C214

C216

0.1UF/10V
C_0402

0.1UF/10V
C_0402

0.1UF/10V
C_0402

p
l. a

1
RB751V-40

1
RB751V-40

1
RB751V-40

it c

s
p
to

MX0MX0+
MX1MX1+
MX2MX2+
MX3MX3+

23
22
20
19
17
16
14
13

75 +-5%
75 +-5%
75 +-5%
75 +-5%

RXCT0 24
RXCT1 21
RXCT2 18
RXCT3 15

MX0MX0+
MX1MX1+
MX2MX2+
MXD3MXD3+

TD0TD0+
TD1TD1+
TD2TD2+
TD3TD3+

2
3
5
6
8
9
11
12

MCT0
MCT1
MCT2
MCT3

TCT0
TCT1
TCT2
TCT3

1
4
7
10

HN24016
C36
1000PF/2KV
C_1808

LAN@5705

TC0
TC1
TC2
TC3
C212

0.1UF/10V
C_0402

1000M_LINK#

24

100M_LINK#

24

R51
R50
R234
R230

VAUX_33_25
0 +-5%
0 +-5%
0 +-5%
0 +-5%

TRDN0
TRDP0
TRDN1
TRDP1
TRDN2
TRDP2
TRDN3
TRDP3

C38
C222

24
24
24
24
24
24
24
24

C34
0.1UF/10V
C_0402
C35

0.1UF/10V
C_0402

0.1UF/10V
C_0402

0.1UF/10V
C_0402

CLOSE TO TRANSFORMER

EMI PAD

24

LAN_TD0N
LAN_TD0P
LAN_RD1N
LAN_RD1P
LAN_TD2N
LAN_TD2P
LAN_RD3N
LAN_RD3P

w
w

10M_LINK#

T5

R265
R252
R237
R229

1
RB751V-40

m
e
h
c

VAUX_33_25

1000PF/50V
C_0402
C10

GND1

LED_GREEN

3VAUX

C9

TIP

1000PF/2KV
C_1808

c
.
s

C232
1000PF/50V

MX0+

R148

22K +-5%

R153

22K +-5%

ODR_VCC3#

+5V

O2MICRO OZ2211 16PIN


SINGLE SLOT PARALLEL
POWER SWITCH

C134

C140

4.7UF/10V
C_0805

4.7UF/10V
C_0805
U9
3 +3.3VIN1
4 +3.3VIN2

+3V
+3V

C/BE3#
C/BE2#
C/BE1#
C/BE0#

H4
M6
T4
T8
AD23 R112

Q14
R120
2N700210K +-5%
R_0603
B

16,24

ICH_PME#

100 +-5% J7
L4
P4
M7
N4
N6
P5
R5
P6
R4
D4
E4
K7

4
PCLK_OZ711
16,24 DEVSEL#
16,24
FRAME#
16,24
IRDY#
16,24
TRDY#
16,24
STOP#
16,24
PAR
16,24
PERR#
16,24
SERR#
16
REQ2#
16
GNT2#
16,24,31 PCIRST#

+3V

PME#

28
23

16,17,31 SERIRQ
16
PIRQB#

PME#, CLKRUN#, IRQSER# AND INTA#


MUST BE PULLED-UP ON THE MLB.

+3V
C126
4.7UF/10V
C_0805
A

+3V

C116
4.7UF/10V
C_0805

C121

w
w
C120

C130

0.1UF/10V 0.1UF/10V 0.1UF/10V


C_0402
C_0402
C_0402

C128

IDSEL
PCI_CLK
DEVSEL#
FRAME#
IRDY#
TRDY#
STOP#
PAR
PERR#
SERR#
REQ#
GNT#
PCI_RST#

P11

RI_OUT#/PME#

p
l. a

PCMSPK#
TP57
1 1
CARD_ACTV

16,17,24,31 CLKRUN#
+3V

C/BE3#
C/BE2#
C/BE1#
C/BE0#

R12
H8
P14

SPKR_OUT#
SKTA_ACTV
ODR_ACTV

R14
P13
T13
N11

MF6(CLKRUN#)
MF4(MS_CD#)
MF3(SIRQ#)
MF0(INTA#)

T16
T15
R16
VCCD0#/SDATA
VPPD0/SLATCH
VCCD1#/SCLK

E7
F11
G15
J6
L14
N5
P12
CORE_VCC1
CORE_VCC2
CORE_VCC3
CORE_VCC4
CORE_VCC5
CORE_VCC6
CORE_VCC7

K4
P9
PCI_VCC1
PCI_VCC2

SKT_VCC

D10

CAD31
CAD30
CAD29
CAD28
CAD27
CAD26
CAD25
CAD24
CAD23
CAD22
CAD21
CAD20
CAD19
CAD18
CAD17
CAD16
CAD15
CAD14
CAD13
CAD12
CAD11
CAD10
CAD9
CAD8
CAD7
CAD6
CAD5
CAD4
CAD3
CAD2
CAD1
CAD0

E5
F6
E6
D6
F7
D9
G10
F10
D11
G11
D12
F12
D13
E13
G13
H15
J13
H16
J16
J14
K13
K14
K15
L15
L13
M14
M15
N16
M13
N13
N15
P16

C_0402
0.1UF/10V

C146
4.7UF/10V
C_0805

SKT_VCC

R156

s
p
to

5
6

C_0402
R161
+12CARDBUS 9
0 +-5% R_0805
1
2
15
14

CAD31
CAD30
CAD29
CAD28
CAD27
CAD26
CAD25
CAD24
CAD23
CAD22
CAD21
CAD20
CAD19
CAD18
CAD17
CAD16
CAD15
CAD14
CAD13
CAD12
CAD11
CAD10
CAD9
CAD8
CAD7
CAD6
CAD5
CAD4
CAD3
CAD2
CAD1
CAD0

33 +-5%
CCLK

C142

it c

VCC1
VCC2

52
18

VPP1
VPP2

19
54
20
53
50
49
13
14
59
60
15
16
48
33
58
32
40
47
43
57
36
67
62
63

CCLK (A16)
CFRAME# (A23)
CIRDY# (A15)
CTRDY# (A22)
CDEVSEL# (A21)
CSTOP# (A20)
CPAR (A13)
CPERR# (A14)
CSERR# (WAIT*)
CREQ# (INPACK*)
CGNT# (WE*)
CINT# (IRQ*)
CBLOCK# (A19)
CCLKRUN# (IO16*)
CRESET# (RESET)
RFU (R2_D2)
RFU (R2_D14)
RFU (R2_A18)
CVS1
CVS2
CCD1# (CD1*)
CCD2# (CD2*)
CAUDIO (BVD2/SPKR*)
CSTSCHG (BVD1/RI*)

CC/BE3#
CC/BE2#
CC/BE1#
CC/BE0#

E10
D14
H14
L16

CC/BE3#
CC/BE2#
CC/BE1#
CC/BE0#

61
21
12
7

CC/BE3# (REG*)
CC/BE2# (A12)
CC/BE1# (A8)
CC/BE0# (CE1*)

OZ711_MP1
CARDBUS CONN

TP17
1 TP15
1 TP18
1 TP14
1
SD/MS/XD_DATA3 27
OPTIONAL DEDICATED
SD/MS/XD_DATA2 27
MMC/SD/SDIO/MS/MSPRO/SM/XD
SD/MS/XD_DATA1 27
FLASH MEDIA READER
SD/MS/XD_DATA0 27
1 1 TP13
SD/MS_CLK/XD_CLE 27
SD_CMD/MS_BS/XD_ALE 27
SD_WP/XD_WPI 27
1 1 TP56
1 1 TP11
1 1 TP16
SD_CD#
27
1 1 TP12

1
1
1
1

C114
10PF/50V
C_0402

C117

0.1UF/10V 0.1UF/10V
C_0402
C_0402

MS_CD#

OC#
SHDN#

8
16

+12VIN

VCC5#
VCC3#
VPP_PGM
VPP_VCC

GND

MOSVCC

+3V

R160

0 +-5% +12CARDBUS

R_0805 NS
C135

0.1UF/25V
C_0603

CON23

51
17

CFRAME#
CIRDY#
CTRDY#
CDEVSEL#
CSTOP#
CPAR
CPERR#
CSERR#
CREQ#
CGNT#
CINT#
CBLOCK#
CCLKRUN#
CRST#
R2_D2
R2_D14
R2_A18
CVS1
CVS2
CCD1#
CCD2#
CAUDIO
CSTSCHG

33 +-5%

VPP

10

C145

E15
E14
D15
D16
E16
F15
G16
F16
D8
E11
F14
G9
G14
G8
E12
D5
M16
H13
F9
G12
P15
D7
E8
F8

R111

13
12
11

0.1UF/10V 0.1UF/10V
C_0402
C_0402

CCLK
CFRAME#
CIRDY#
CTRDY#
CDEVSEL#
CSTOP#
CPAR
CPERR#
CSERR#
CREQ#
CGNT#
CINT#
CBLOCK#
CCLKRUN#
CRST#
R2_D2
R2_D14
R2_A18
CVS1
CVS2
CCD1#
CCD2#
CAUDIO
CSTSCHG

+3V

c
.
s

+5VIN1
+5VIN2

VCC1
VCC2
VCC3

OZ2211

m
e
h
c

PCLK_OZ711

C131

(D10) CAD31
(D9) CAD30
(D1) CAD29
(D8) CAD28
(D0) CAD27
(A0) CAD26
(A1) CAD25
(A2) CAD24
(A3) CAD23
(A4) CAD22
(A5) CAD21
(A6) CAD20
(A25) CAD19
(A7) CAD18
(A24) CAD17
(A17) CAD16
(IOWR*) CAD15
(A9) CAD14
(IORD*) CAD13
(A11) CAD12
(OE*) CAD11
(CE2*) CAD10
(A10) CAD9
(D15) CAD8
(D7) CAD7
(D13) CAD6
(D6) CAD5
(D12) CAD4
(D5) CAD3
(D11) CAD2
(D4) CAD1
(D3) CAD0

66
65
31
64
30
29
28
27
26
25
24
23
56
22
55
46
45
11
44
10
9
42
8
41
6
39
5
38
4
37
3
2

GND1
GND2
GND3
GND4

68
35
34
1

H1
H2
H3
H4
PAD1
PAD2
PAD3
PAD4
PAD5
PAD6
PAD7
PAD8

16,24
16,24
16,24
16,24

0.1UF/10V

NOTE:
THIS IMPLEMENTATION DOES
NOT SUPPORT 12V VPP.

69
70
71
72
73
74
75
76
77
78
79
80

AD[0:31]

XD/SC_CD#
SD_CD#
XD_RE#/SD/MS_3V#
XD_WE#/SC_3V#
XD_WPO/SC_5V#
XD_WPI/SD_WP
XD_ALE/SD_CMD/MS_BS
XD_CLE/SD/MS_CLK/VPPD1
XD_R/B#/SC_CLK
XD/SD/MS_D0
XD/SD/MS_D1
XD/SD/MS_D2
XD/SD/MS_D3
XD_D4/SC_FCB
XD_D5/SC_IO
XD_D6/SC_RST
XD_D7/SC_C8
SC_VCC/XD_VCC

16,24

AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

C132
U8A

U5
T7
U11
U7
U9
N12
T14
R15
C5
T12
R11
N14
K16
C7
C15
C9
C13
C11

F5
F4
G7
G5
G4
H7
H6
H5
J5
J4
K5
K6
L6
L7
M4
M5
T5
R6
T6
N7
R7
N8
P8
R8
N9
R9
T9
R10
T10
P10
N10
T11

GND1
GND2
GND3
GND4
GND5
GND6

E9
F13
G6
J15
K19
L5

AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

m
o

+3V

27

27

CAD31
CAD30
CAD29
CAD28
CAD27
CAD26
CAD25
CAD24
CAD23
CAD22
CAD21
CAD20
CAD19
CAD18
CAD17
CAD16
CAD15
CAD14
CAD13
CAD12
CAD11
CAD10
CAD9
CAD8
CAD7
CAD6
CAD5
CAD4
CAD3
CAD2
CAD1
CAD0

C143

1UF/10V

MOSVCC

C_0603

+3V

c
.
s

ODR_VCC
NOTE: PLACE R6 - R11 NEAR CONNECTOR

26 SD_WP/XD_WPI
26

26

R163
R159
R154
R149
R152
R146

33 +-5%
33 +-5%
33 +-5%
33 +-5%
33 +-5%
33 +-5%

SD_WP/XD_WPI
SD_CD#
+3V

R135

+3V

R155

22K +-5%

22
20
21
23

SD_WP
SD_COM
SD_CD#
SD_WPGND

17
15
13
9
5
7
3
11
19
1

MS_VCC
MS_CLK
MS_D3
MS_D2
MS_D1
MS_D0
MS_BS
MS_INS#
MS_VSS1
MS_VSS2

m
e
h
c

+3V

60 OHM/1.5A

AVCC1
AVCC2
M19

CPS

C136

5.9K +-1%
N19

RI1

p
l. a

R_0603

J19
H19

XI
XO

Y2
10PF/50V
C_0402
C137
24.576MHZ

w
w

G1

TEST_PHY

J1
R13
U13
U15

F1
H1

U8B

TPA0+
TPA0TPB0+
TPB0-

W13
W12
W11
W10

TPA1+
TPA1TPB1+
TPB1-

W9
W8
W7
W6

TPBIAS0
TPBIAS1

NC1
NC2
NC3
NC4

VR_CPR1
VR_CPR2

W14
N1

1394_TPA0+
1394_TPA01394_TPB0+
1394_TPB0-

C151

1000PF/50V

CMC3 90H
1
2
4
3

1394_TPB01394_TPA01394_TPA0+
1394_TPB0+

R140

R136

1
4

R133

2
3

49.9 +-1%
R_0603

R_0603

49.9 +-1%

49.9 +-1%

R_0603

R_0603

1394BIAS0
C133

R129
5.11K +-1%

C118
C129
C_0603
1UF/10V

C_0603
1UF/10V

5
1
3
4
2

6
7
8

CMC2 90H
49.9 +-1%

CON17
L1394_TPB0L1394_TPA0L1394_TPA0+
L1394_TPB0+

R128

1394BIAS0

R_0603
820pF/50V
C_0402

L1
L19
C141
22UF/10V
C_1206
OZ711_MP1

ODR_VCC

CLOSE TO CHIP

P1
P7
P19
F19
G19

10PF/50V
C_0402

s
p
to
GND1
GND2
GND3
GND4
GND5

R157

C122
0.1UF/10V
C_0402

CORE_VCC1
CORE_VCC2

C115
0.1UF/10V
C_0402

M1
K1

C113
4.7UF/10V
C_0805

Q16
RHU002N06

22K +-5%

+3V

ODR_VCC3#

MS_CD#

+AVCC1394

26

TAISOL 153-2300302900-AL

FB5

it c

Check MS_D0-D3!!!!!

24
25

SHLD2
SHLD1

R172
100K +-5%

6
5
2
1

SD/MS_CLK/XD_CLE
SD/MS/XD_DATA3
SD/MS/XD_DATA2
SD/MS/XD_DATA1
SD/MS/XD_DATA0
SD_CMD/MS_BS/XD_ALE

SD_VDD
SD_CLK
SD_D3
SD_D2
SD_D1
SD_D0
SD_CMD
SD_VSS1
SD_VSS2

26 SD/MS_CLK/XD_CLE
26 SD/MS/XD_DATA3
26 SD/MS/XD_DATA2
26 SD/MS/XD_DATA1
26 SD/MS/XD_DATA0
26 SD_CMD/MS_BS/XD_ALE

Q15
SI3456DV

CON14

10
8
16
18
2
4
14
6
12

m
o

C144 1UF/10V

C_0603

1394_CON

R538

2.2K +-5%

m
o

MIC2VREFO

+5VA

220 OHM/100mA
R537

2.2K +-5%

+3V

FB27

+3VM3E

20mils

1
C627

AUDGND

20K +-1%

MIC5205YM5

SPDIFI/EAPD

48

SPDIFO

17

C630

MIC2-L

16

C629

LINE2-R

15

ALC260/ALC880

+5VAA
FB25
1

p
l. a

+5VAA
U21

1UF/10V

1UF/10V
C_0603
C418
1UF/10V
C_0603
AOUTL C431
1UF/10V

PVDD1
PVDD2

23
20
8

RLINEIN
RHPIN
RIN+

10
6
5

+5VAA

GAIN0
GAIN1

TPA0312

C417

R432
100K +-5%
NS

R429
100K +-5%

AUDIO_G0

AUDIO_G1

R435

R425

1K +-5%

1K +-5%
NS

2.2UF/16V
C_0603

INSPKR+
INSPKR-

LOUT+
LOUT-

4
9

INSPKL+
INSPKL-

14 C419
NS
15
17

w
w
BYPASS

2
3

+5VAA

21
16

SE/BTL
HP/LINE

LIN+
LHPIN
LLINEIN

11

AUDIO_G0
AUDIO_G1

ROUT+
ROUT-

PC-BEEP

AUDGND1

C442

C420

VDD

7
18

25

AOUTR

19

SHUTDOWN

22

GND4
GND3
GND2
GND1

1
24
13
12

PCBEEP

12

SYNC

10

DVDD2

SDATA-IN

DVSS2

GPIO0
2

R499

1UF/10V
C_0603

R360
R428

1UF/10V
C_0603

R_0603

AZ_SYNC_AUD

SPEAKER

100K +-5%

220PF/50V
C_SMT8
+5VAA

AZ_SDIN0

Q36
DTC144EU

31

VOL_MUTE

29

HD-JD

29

MIC2

29

MIC1

29

MIC2VREFO

BEEP

R_0603

R586
2.2K +-5%

220 OHM/100mA
U11

FB36
1

EXT_MIC

INT_MIC

AZ_SDOUT_MDC

1
2

C632

AZ_BITCLK_AUD

10PF/50V
C_0402
NS

15

1
2

InternalMic

AUDGND
+5V
B

R609
0 +-5%
R_0603
7SZ86_VDD_W15
+3V

From O2 cardbus!
NORMAL: LOW

C454
0.1UF/10V
C_0402

NORMAL: LOW

17

PCSPK

31

EC_BEEP

26

PCMSPK#

4
U23
NC7SZ86M5X
SOT23_5

2
Q35
DTC144EU

MIC-JD

15

R420
0 +-5%
R_0603

EAPD

20K +-1%

39.2 +-1%

15

CON15

CN1

0.1UF/10V
C_0402

EXT_MIC

AZ_RST_AUD# 15

22 +-5%

4
3
2
1

0 +-5%

1UF/10V
C_0603
1UF/10V
C_0603

C466

R452

SPEAKERS

8
6
4
2

C426
C_0402
0.1UF/10V

R494

C_0402
0.1UF/10V

14

13

C_0402
0.1UF/10V

C428
10uF/6.3V

600 OHM/1.5A
R_0805

LINE2-L

Sense A

it c

m
e
h
c

s
p
to
7
5
3
1

AUDGND

C427

25

47

MIC2-R

MIC2-VREFO-R

T95

AUDGND

AUDGND

0.1UF/10V
C_0402

AVDD1

LINE2-VREFO-R

SURR-VREFO-R

*PAD

C430

27

46

18

C486

470PF/50V

C429

26

45

19

CD-L

+3VM3E

C_0402
0.1UF/10V

R434
56K +-1%

C445

VREF

44

CD-GND

1UF/10V
C_0603

29

SURR-VREFO-L

C498

1UF/10V
C_0603
1UF/10V
C_0603

R467

BEEP

4
2
3

OUT

/SHDN
BP
GND

28

AVSS2

43

C499

IN

C465

10uF/6.3V

MIC1-VREFO-L

42

C450

R439

30

20

1
U22

LINE1-VREFO-L

CD-R

C422 0.1UF/10V

31

SURR-R

T97

R422
10K +-5%
R_0603

MIC2-VREFO

41

*PAD

22UF/10V
C_1206

+5V

LINE2-VREFO

33

34

32
MIC1-VREFO-R

DCVOL

Sense B

35

MIC2-1

+5VA
C421

FRONT-L

21

DVDD1

+5V

22

MIC1-L

BIT-CLK

EAPD
C

MIC1-R

JDREF/NC

22PF/50V

SURR-L

C471

23

40

SDATA-OUT

AUDGND

24

LINE1-L

39

R449
22 +-5%
R_0603

LINE1-R

0.1UF/10V
C_0402

c
.
s

U25

MIC1-1

DVSS1

29 HPSPKR+

AVDD2

GPIO1

20K +-1%

LINE1-VREFO-R

38

R441

AUDGND

37

29 HPSPKL+
AZ_BITCLK_AUD

15 AZ_BITCLK_AUD

FRONT-R

36
+5VA

+5VA

AUDGND

AOUTR

C467

C478

10uF/6.3V
C473
10uF/6.3V
C_0805

AOUTL
D

C464

AUDGND

10uF/6.3V

AVSS1

C_0402

RESET#

0.1UF/10V
C481

C_0402

11

0.1UF/10V
C459

U24
NC7SZ86M5X
SOT23_5

10K +-5%
R_0603

C497
R468
1K +-5%

0.1UF/10V
C_0402

J1 is only for Layout convenience. GND and AUDGND


must be connected in inner layer.

JUMPER1
NS

m
e
h
c

AUDGND
C

28

s
p
to
FB31 220 OHM/100mA
1
R_0603

MIC1

it c

J1

m
o

c
.
s

C503

220PF/50V

28

FB30 220 OHM/100mA


1
R_0603

MIC2

p
l. a

14
13

AUDGND

C502

1
2
6
3
4
5

220PF/50V

AUDGND

28

HPSPKR+

R113

10 +-5%

CT15

R110

10 +-5%

CT14

w
w

330UF/6.3V

TAJ_E
SPKL

FB29

330UF/6.3V

TAJ_E

FB28

SPKR

R585
22K +-5%

220 OHM/100mA
R_0603
220 OHM/100mA
R_0603

R584
22K +-5%

SPKR-1

C476

C470
470PF/50V

TYCO C-1775455

AUDGND
AUDGND
AUDGND

HD-JD

Consider to reserve PLUG signal in AC 97 platform

Green

AUDGND

Change to HD sense!!!!
28

7
8
12
9
10
11

SPKL-1

470PF/50V

Pink

15
16

HPSPKL+

28

28 MIC-JD

CON11

CON19
MX7
MX6
MX5
MX4
MX3
MX2
MX1
MX0
MY15
MY14
MY13
MY12
MY11
MY10
MY9
MY8
MY7
MY6
MY5
MY4
MY3
MY2
MY1
MY0

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24

MX7
MX6
MX5
MX4
MX3
MX2
MX1
MX0
MY15
MY14
MY13
MY12
MY11
MY10
MY9
MY8
MY7
MY6
MY5
MY4
MY3
MY2
MY1
MY0

31
31
31
31
31
31
31
31
31
31
31
31
31
31
31
31
31
31
31
31
31
31
31
31

KEYBOARD

m
e
h
c
17
17

4.7UF/10V

+5V

s
p
to

MX7
MX6
MX5
MX4

6
7
8
9

220PF/50V
CN5
2
4
6
8

1
3
5
7

220PF/50V C_SMT8
CN2
2 MY7
4 MY6
6 MY5
8 MY4

1
3
5
7

220PF/50V C_SMT8
CN6
2 MX3
4 MX2
6 MX1
8 MX0

1
3
5
7

220PF/50V C_SMT8
CN7
2 MX7
4 MX6
6 MX5
8 MX4

1
3
5
7

220PF/50V C_SMT8
CN3
2 MY3
4 MY2
6 MY1
8 MY0

MY15
MY14
MY13
MY12

p
l. a

10K X8 +-5%

1
3
5
7

+3VALW

10
5

w
w

10PF/50V
NS

R503

BT_Detect#

TOUCHPAD_CONN

0 +-5%
BT_VCC3

Q37
SI3456DV

+3V

6
5
2
1

4
NS
C563

C561

0.1UF/10V
C_0402

0.1UF/10V
C_0402

Q75

10K +-5%

R507
1M +-5%
R_0603

2N7002
SOT_23

R508

C505
10PF/50V
NS

C565

17 BT_PWRON#

1
2
3
4
5

C504

MOSVCC

220PF/50V
C_SMT8

+3V

CON21

TPDATA-1
TPCLK-1

1
2
3
4

Check 3 GPIO!!!!!!!!!!!

5VTP

MX3
MX2
MX1
MX0

Touch Pad

RP2
B

MY11
MY10
MY9
MY8
C_SMT8

2
4
6
8

BT_Detect#

0.1UF/10V

FB33

220 OHM/100mA
1

1
2
3
4
5
6
7
8

R509
R510

C507

C506

600 OHM/1.5A
R_0805

TPDATA
TPCLK

20 WLAN_DATA
20
WLAN_CLK
23 BTMD_LED
31 BT_Detect#

CON25BT_CONN

BT_VCC3

0 +-5%
0 +-5%

FB32 220 OHM/100mA


R_0603

CN4

C_0805

FB34

31
31

1
3
5
7

SYSUSBP4+
SYSUSBP4-

Pls note reverse DATA and CLK with BT module.


But cable is also reverse,so it is right!

R_0603

NEED CHECK STICK POINTER PIN DEFINE

it c

Check Keyboard matrix

m
o

c
.
s

2.2UF/16V

J5

EC
NS
ITE
-------------------D38
SMT
NS
U27
NS
SMT

16,17

SCI#

SCI#

D36

D40
15,16

+3VALW

GATEA20

15,16

RCIN#

GATEA20

RCIN#

U27

RST
3

VCC

C568
0.1UF/10V
C_0402

R511 NS
100K +-5%

GND

*PAD
1 RB751V-40

R_0603

MAX809SADAA

7
5
3
1

+5V

10K X4 +-5%

RN8

R_SMT8_0402

30
30
30
30
30
30
30
30

MX0
MX1
MX2
MX3
MX4
MX5
MX6
MX7

30
30
30
30
30
30
30
30
30
30
30
30
30
30
30
30

MY0
MY1
MY2
MY3
MY4
MY5
MY6
MY7
MY8
MY9
MY10
MY11
MY12
MY13
MY14
MY15

RB751V-40
1

D39

8
6
4
2

T85
T86
T89
*PAD
T90
*PAD
T87
*PAD
*PAD
*PAD

TPCLK
TPDATA

23

NUMLED#

71
72
73
74
77
78
79
80

KSI0/STB#
KSI1/AFD#
KSI2/INIT#
KSI3/SLIN#
KSI4
KSI5
KSI6
KSI7

MY0
MY1
MY2
MY3
MY4
MY5
MY6
MY7
MY8
MY9
MY10
MY11
MY12
MY13
MY14
MY15

49
50
51
52
53
56
57
58
59
60
61
64
65
66
67
68

KSO0/PD0
KSO1/PD1
KSO2/PD2
KSO3/PD3
KSO4/PD4
KSO5/PD5
KSO6/PD6
KSO7/PD7
KSO8/ACK#
KSO9/BUSY
KSO10/PE
KSO11/ERR#
KSO12/SLCT
KSO13
KSO14
KSO15

105
106
107
108
109

GPH7
GPL5
GPL6
GPL7
GPI7

110
111
114
115
116
117
118
119

PS2CLK0/GPF0
PS2DAT0/GPF1
PS2CLK1/GPF2
PS2DAT1/GPF3
PS2CLK2/GPF4
PS2DAT2/GPF5
PS2CLK3/GPF6
PS2DAT3/GPF7

591_32KX1

158

CK32K

591_32KX2

160

CK32KE

MBAT_PRES#

NUMLED#
*PAD

CLOSE PIN ASAP

R62

20M +-5%

Y1
4

Z3001

R64

DA output

PWM or
PORT-A

C40
20PF/50V
C_0402

T62

33,35

SUSOK

SUSOK

R299

23
D54

BATLOW#

17

RB751V-40

+3VALW

R249

10K +-5% R_0603 TEMP_ALERT#

MDC_RING#
BATLOW#591
ACIN
CAPSLED#

CAPSLED#

20
RF_ENABLE
R323
0 +-5%
RSMRST#
28
VOL_MUTE
R610 R_0402 0 +-5%
16,17 SWI#
NS
TPLED#
TPEN#
BT3#
37 PWRSHD
T54
BT4#

w
w
*PAD

RN2

1
3
5
7

2
4
6
8

30

TPLED#
TPEN#

10K X4 +-5%
R_SMT8_0402
R259

10K +-5% R_0603 LAN_PME_591#

R244

10K +-5% R_0603

TP_BT#

10K +-5% R_0603

RFEN#

10K +-5% R_0603

NOVO_BT#

R243

T60Z3011

148
149
155
156
3
4
27
28

GPI0
GPI1
GPI3
GPI4
FA20/GPG4
FA21/GPG5
LPC80HL/GPG6
LPC80LL/GPG7

173
174
47

FCS#
GPI6
CLKOUT/GPC0

IT8511TE/BX-L

PWM0/GPA0
PWM1/GPA1
PWM2/GPA2
PWM3/GPA3
PWM4/GPA4
PWM5/GPA5
PWM6/GPA6
PWM7/GPA7

32
33
36
37
38
39
40
43

GPI5
SMCLK1/GPC1
SMDAT1/GPC2
GPC3
TMRI0/WUI2/GPC4
GPC5
TMRI1/WUI3/GPC6
CK32KOUT/GPC7

168
169
170
171
172
175
176
1

JTAG debug port

138
139
140
141
144
145
146
147

D0
D1
D2
D3
D4
D5
D6
D7

PORT-D-2

PORTJ-2

GPD4
GINT/GPD5
GPH1
GPH2

39

LAN_PME_591# 24
1

R372

10K +-5% R_0603

23
17

CLKRUN#

16,17,24,26

R264

NS

c
.
s

it c
Q32

28
36

0 +-5%
NS

PWROK

I/O Address
Index
Data
2F
2E
4E
4F
(HCFGBAH, HCFGBAL) (HCFGBAH, HCFGBAL)+1
Reserved

SBCLK

R274

R_0402

4.7K +-5%

SBDATA

R270

R_0402

4.7K +-5%

MBCLK

R55

R_0402

4.7K +-5%

MBDATA

R54

R_0402

4.7K +-5%

R368

HWPG

100K +-5%

R348

HWID
R_0603 NS

10K +-5%

R355
10K +-5%

+3VALW

R_0603

+3VSUS

R583
100K +-5%
SUSOK

+5VALW

DNBSWON#

17

PWM-ADJ

22

R606
2.2K +-5%
R_0603

1
2

8,17

R254

+3VALW

+3V

U35

1
2

Buzzer

1K +-5%
BUZZER_DRV 2
Q78
DTC144EU

U33
T64

TP_BT#
*PAD
NOVO_BT#
RFEN#

FA16/GPG0
FA17/GPG1
FA18/GPG2
FA19/GPG3
GPH0

113
112
104
103
48

A16
A17
A18
A19

TP_BT#
NOVO_BT#
RFEN#
T61

23
23
23

*PAD

R277
10K +-5%
R_0603 +3VALW
NS

A18
A19

CS#
RD#
WR#

21
20
19
18
17
16
15
14
8
7
36
6
5
4
3
2
1
40
13
37

22
24
9

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A19

D0
D1
D2
D3
D4
D5
D6
D7

25
26
27
28
32
33
34
35

NC1
NC2
NC3
NC4
NC5

10
11
12
29
38

D0
D1
D2
D3
D4
D5
D6
D7

Q25
NBSWON#

VCC
VCC

30
31

GND
GND

23
39

CE#
OE#
WE#
SST39VF080-70-4C-EIE

2
DTA124EU
D49

SUSB#

ACIN

1SS355
1

D53
37
+3VALW

For 551.

R_0603

MMBT3904

RB751V-40
2

RB751V-40
2
D43

MXLID#
22
TEMP_ALERT# 6

NBSWON#
SUSB#

D48

RD#
WR#

Z3003

A8
A9
A10
A11
A12
A13
A14
A15

C227

SHBM

BADDR1-0
0 0
0 1
1 0
1 1

R_0603

+3VALW

143
142
135
134
130
129
121
120

PORT-K

ITES_EN#
T92

MXLID#

FA8
FA9
FA10
FA11
FA12
FA13
FA14
FA15

PORT-M

23
23
23
6,37
6,37
16,24,26

HOLD#

FD0
FD1
FD2
FD3
FD4
FD5
FD6
FD7

1UF/10V
C_0603

m
e
h
c
MBATLED1#
MBATLED0#
PWR_LED#
MBCLK
MBDATA
PCIRST#

REFON
LAN_PME_591#
PWROK_1

124
125
126
127
128
131
132
133

41
42
54
55

10K +-5% R_0603

39

SBCLK
SBDATA

FA0
FA1/TM
FA2/BADDR0
FA3/BADDR1
FA4/PPEN
FA5/SHBM
FA6
FA7

GPI2

R250

BUZZER_DRV
0 +-5%
EC_BEEP
0 +-5%
VRON
32,34,35
19,32,35
33

ENV0
ENV1
BADDR0
BADDR1
TRIS
SHBM
A6
A7

150
151

LPCPD#

C331

22

MAINON
SUSON
3VAUX_EN

ITES_ST

NBSWON#
SUSB#
LPCPD#
CLKRUN#

152

R371
10K +-5%

37
37

PWM-ADJ

MBATLED1#
MBATLED0#
PWR_LED#
MBCLK
MBDATA
PCIRST#

2
44
24
25

FRD#
FWR#

0 +-5%

MAINON
SUSON
3VAUX_EN

PWRSW/GPE4
WUI5/GPE5
LPCPD#/WUI6/GPE6
CLKRUN#/WUI7/GPE7

PORT-J-1

17
6,33

R246
R245

TEMP_ALERT#

PORT-I

SUSC#
HWPG

CC_SET
CV_SET

R247

26
29
30

PORT-H

37

R370
10K +-5%

BADDR1

T88

RI1/WUI0/GPD0
RI2/WUI1/GPD1
LPCRST#/WUI4/GPD2

PS2 interface

MBATV

BADDR0

2200PF/50V
C_0603

T91

s
p
to
PORT-E

*PAD

BT4#

R227

BT_Detect#

CS#

TACH0/GPD6
TACH1/GPD7
GPH3
GPH4
GPH5
GPH6

99
100
101
102

153
154
162
163
164
165

PORT-D-1

VSS
VSS
VSS
VSS
VSS
VSS
VSS

16,17

0 +-5%
T63

62
63
69
70
75
76

DAC0/GPJ0
DAC1/GPJ1
DAC2/GPJ2
DAC3/GPJ3

CC_SET
CV_SET

RXD/GPB0
TXD/GPB1
GPB2
PORT-B
SMCLK0/GPB3
SMDAT0/GPB4
RING#/PWRFAIL#/LPCRST#/GPB7

PORT-C

p
l. a

*PAD

ITES_VSENSE_X 39
ITES_VSENSE_Y 39
ITES_VSENSE_Z 39
MBATV
T68
HWID
SUSC#
HWPG
DP/AD8
DN/AD9

Key matrix scan

17
35
46
122
159
167
137

C39
20PF/50V
C_0402

R_0603

32.768KHZ

120K +-5%

AVCC

Host interface

R_0603

95

34
45
123
136
157
166

AD Input

GA20/GPB5
KBRST#/GPB6

RB751V-40
MX0
MX1
MX2
MX3
MX4
MX5
MX6
MX7

T84

VSTBY
VSTBY
VSTBY
VSTBY
VSTBY
VSTBY

ECSCI#/GPD3

5
6

TINTTCK-591
TDO-591
TDI-591
TMS-591

37
CHA_OFF
37 MBAT_PRES#
30
30

31

0.1UF/10V 0.1UF/10V 0.1UF/10V 0.1UF/10V


C_0402
C_0402
C_0402
C_0402

KBSMI#

KBSMI#591
1
RB751V-40
T51 SWI#2

1SS355

m
o

FOR ITE8511 NS the R369


SHBM=1: Enable shared memory with host BIOS

10K +-5% R_0603

16,17

KBSMI# 2
D37

10uF/6.3V

Should have a 0.1uF capacitor close to every


GND-VCC pair + one larger cap on the
supply.

81
82
83
84
87
88
89
90
93
94

ADC0/GPK0
ADC1/GPK1
ADC2/GPK2
ADC3/GPK3
ADC4/GPE0
ADC5/GPE1
ADC6/GPE2
ADC7/GPE3
ADC8/GPK4
ADC9/GPK5

NS R369

591RESET#

ENV1

SERIRQ
GPL0
LFRAME#
LAD0
LAD1
LAD2
LAD3
LPCCLK
WRST#
ECSMI#/GPM0
PWUREQ#/GPM1

C333

591RESET

7
8
9
15
14
13
10
18
19
22
23

C317

C286

C42
0.1UF/10V
C_0402

GPL1
GPL2
GPL3
GPL4
KSO16/GPM2
KSO17/GPM3
CTX/GPM4
CRX/GPM5
GPJ4
GPJ5

D38 NS

LFRAME#/FWH4
LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3
PCLK_591

LFRAME#/FWH4
LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3
PCLK_591

AVSS

R228
470K +-1%
R_0603

15
15
15
15
15
591RESET# 4

VCC

SERIRQ

16,17,26 SERIRQ
T52

16

U16

Check the voltage and pin.

VCCRTC

C338
10uF/6.3V
C_0805

161

0.1UF/10V
C_0402

C332
0.1UF/10V
C_0402
0.1UF/10V

C258

VBAT

C226

C246

C229

C228

+3VALW

+3VALW

FB20 220 OHM/100mA


1

+3V
+3V

NS
HEADER 5X2

10PF/50V
C_0402

+3VALW

11
12
20
21
85
86
91
92
97
98

33 +-5%

LAD1/FWH1
LAD0/FWH0

2
4
6
8
10

96

R603
PCLK_591 R_0402 0 +-5%1
591RESET#
3
LFRAME#/FWH4
5
LAD3/FWH3
7
LAD2/FWH2
9
R251

PCLK_591

+3VAVCC_EC

+3VALW

C54
0.1UF/10V
C_0402

ACIN

1
1SS355

HOLD#
R298
100K +-1%
R_0603

R93
22 +-5%
R_0805

SUSON#

2N7002

3
1

1
2N7002

+5VALW

+5V

R206
100K +-5%
R_0603

+3V

R130
22 +-5%
R_0805

R175
22 +-5%
R_0805

2N7002

+1.5V

R10
22 +-5%
R_0805

m
e
h
c

+VCCP

R87
22 +-5%
R_0805

VTT_MEM

R207
22 +-5%
R_0805

p
l. a

R220
22 +-5%
R_0805

R221
22 +-5%
R_0805

2N7002

2N7002

MOSVCC

VCORE_CPU

Q49

s
p
to

2N7002

Q51

1
2N7002

Q43

1
2N7002

Q46
DTC144EU

MAINON

Q45

31,34,35 MAINON

Q47

MAINON#
Q40

Q50

NS

2N7002

R46
330K +-5%
R_0603

2N7002

Q42

MAIND

33

C83
0.01UF/50V
C_0603

2N7002

w
w

C82
1000PF/50V
C_0402

2N7002

+2.5V

33

Q48

1
2

Q41
DTC144EU

SUSON

Q9

19,31,35 SUSON

Q44

SUSD
Q39

it c

R8
330K +-5%
R_0603

R174
22 +-5%
R_0805

R115
22 +-5%
R_0805

MOSVCC

VDIMM

R9
100K +-5%
R_0603

+3VSUS

+5VSUS

+5VALW

m
o

c
.
s

8734VCC

47 +-5%
R_0805

VIN

8734_SGND

D52
1SS355
SOD_323

C157
4.7UF/10V
C_0805

C170
1UF/10V
C_0603

D24
1SS355
SOD_323

1UF/10V
C_0603

C154

C167
0.1UF/25V
C_0603

R208

VIN

C168
10UF/25V
C_1206

c
.
s

C152
0.1UF/25V
C_0603

5
6
7
8

VIN

DH5

DH5

R182

LX5

15

LX5

8
7
6
5

0 +-5%
R_0603
DH3

28

BST3

26

DH3

DL5

19

LX3

27

LX3

OUT5

21

DL3

24

DL3

FB5
PRO

9
10

22
4

R205
NS

OUT3
ILIM5
ILIM3
REF
TON
GND
PGOOD

11
5
8
13
23
2

0 +-5%
R_0603

R173

0 +-5%
R_0603

8734_SGND

MAX8734AEEI+

FB3

3
4

ON3
ON5

25

LDO3

12

MAX8734AEEI+

31

R180

3VAUX_EN

0 +-5%
R_0402
2K +-1%
R_0603

R198

C171

8734_SGND

ILIM5
ILIM3

R215
0 +-5%
R_0603

m
e
h
c
C163
1UF/10V
C_0603

8734_SGND

R176
1M +-5%
R_0603

8734VCC

s
p
to

T9

w
w

R177
10K +-5%
R_0402

CT18
330UF/6.3V
TAJ_E

C153
0.1UF/10V
C_0402

R179

0 +-5%
R_0603
NS

SUSOK

31,35

HWPG

6,31

C156
0.1UF/10V
C_0402
J3

JUMPER1
8734_SGND

8734REF

8734VCC

T2

T7

+5VSUS
*PAD

C174
0.1UF/10V
C_0402

C173
0.1UF/10V
C_0402

T10

+3VSUS

MAIND
SUSD

MAIND
SUSD

R209
63.4K +-1%
R_0603

R210
0 +-5%
NS
R_0402

R181
0 +-5%
NS
R_0402

R201
36K +-1%
R_0603

R212
24K +-1%
R_0603

R213
0 +-5%
R_0402

R214
0 +-5%
R_0402

*PAD
+3V

*PAD

32
32

R199
63.4K +-1%
R_0603
ILIM5
ILIM3
PRO#
TON

*PAD

+5V

5VAUX

+3V

T13

*PAD

MAIND
SUSD

5V/6A

RB751V-40

Q62
FDS6982S
8P_SOP_150

5
4

MOSVCC
C149
4.7UF/25V
C_1206

p
l. a
8

Q59
FDS6982S
8P_SOP_150

OUT

T4
*PAD

C-

C147
4.7UF/10V
C_0805

GND

C148
4.7UF/10V
C_0805

5VAUX

SI4810BDY

8734REF

TON

8734_SGND

R185
0 +-5%
R_0402

3.3uH

Q64

3VAUX

U26
MAX1683EUK-T
SOT23_5
C+
VIN 4

SI4800BDY

4
PRO#

R184
330K +-5%
R_0402

10V+/-5%
100mA

it c

Q63

D62

R202
0 +-5%
R_0402
NS

5VAUX

L17

VIN

C166
4.7UF/10V
C_0805

0.1UF/25V
C_0603

R_0603

+3VALW

R197
10K +-5%
R_0402

0 +-5%

1
2
3

NC

16

R216

5
6
7
8

0.1UF/25V
C_0603

D
Q61
SI4810BDY

14

1
2
3

+ CT17
330UF/6.3V
TAJ_E

3
2
1

C172
4.7UF/10V
C_0805

C155

2
3.3uH

C164
0.1UF/10V
C_0402

3
2
1

L5

18

BST5

3VAUX

LDO5

VCC

SHDN

3.3V/6A

V+

17

SKIP

Q60
SI4800BDY

20

C150
10UF/25V
C_1206

8
7
6
5

U30
C165
0.1UF/25V
C_0603

m
o

+5VALW

R211
4.7 +-5%
R_0805
C169
4.7UF/25V
C_1206

C177
0.1UF/10V
C_0402

C178
0.1UF/10V
C_0402

8734_SGND

CS2N
CS2P
C235
0.047UF/16V
C_0402

3VAUX
VIN
C72
22PF/50V
C_0402

36 +1.5V_PWROK

R278
84.5K +-0.5%
R_0603

31,32,35 MAINON

3
2
1
5
6
7
8

1
SGND1

SGND1

R300
0 +-5%
R_0402

R516
10K +-5%
R_0603

s
p
to

C73
10UF/25V
C_1206

Q67
FDS6612A
8P_SOP_150

p
l. a

J4

JUMPER1
SGND1

NS

C257
3900PF/50V
C_0402
C259
22PF/50V
C_0402

C266
0.047UF/16V
C_0402

SGND1

w
w

R291
51 +-1%
R_0603
R315
43.2K +-1%
R_0603

R297
115K +-1%
R_0603

3
2
1

3VAUX

8A
+VCCP

L13

2.2uH
L_S7065

D67
SOD_123
1N5819HW

Q68
FDS6670A
8P_SOP_150

C179
10UF/25V
C_1206

TAJ_E
CT8
220UF/2.5V

C298
0.01UF/16V

36 VCCP_PWROK

VIN

TAJ_E
CT6
220UF/2.5V

SGND1

6A

+1.5V

0.1UF/10V
C234 C_0402

SGND1

C268
0.22UF/16V
C_0603

10uF/6.3V
C231 C_0805

SGND1

D63
1SS355
SOD_323

SGND1

D66
SOD_123
1N5819HW

Q66
FDS6670A
8P_SOP_150

R523
51 +-1%
R_0603

5
6
7
8

SGND1SGND1

C296
0.01UF/16V

m
e
h
c
4

5VAUX

C225
10uF/6.3V
C_0805
NS

3
2
1

C295
0.1UF/10V
C_0402

R294
0 +-5% R_0603
1SS355
SOD_323
13
D55
14
16
1
2
15
1SS355
17
SOD_323
18
C271
1UF/10V
R295
C_0603
0 +-5%
R_0603

R520
49.9K +-1%
R_0603

2.2uH
L_S7065

5
6
7
8

R290
R_0603
105K +-1%

R444
10K +-5%
R_0603

R522
R_0603
150K +-1%

VSET2
R292
191K +-1%
R_0603

BST1
LDR1
VDDP
GNDP
LDR2
BST2

3
2
1

VSET1
CS1N
CS1P
PGD1
LX1
HDR1
VSET2
CS2N
CS2P
PGD2
LX2
HDR2

1UF/10V
C_0603
SGND1

25

SGND1

C308

OZ813

24
23
22
21
20
19

22K +-5%
R_0603

SGND1

R289
R_0603
169K +-1%

SGND1

C305
1UF/10V
C_0603

R309
R_0603
124K +-1%

R519
0 +-5%
R_0402

R521

VREF

R341
10K +-5%
R_0603 C278
0.01UF/50V
C_0603

ON/SKIP1
VIN
VREF
TSET
VDDA
ON/SKIP2
GNDA

6
2
3
4
5
1

L14

D47

U31
R293
22 +-5%
R_0603

31,32,35 MAINON

C267
0.22UF/16V
C_0603

7
8
9
10
11
12

R310
1K +-5%
R_0603

Q65
FDS6612A
8P_SOP_150

SGND1

C224
0.1UF/10V
C_0402

5VAUX

it c

SGND1
VSET1

VIN

R518
0 +-5%
R_0402

C245
3900PF/50V
C_0402

C272
10UF/25V
C_1206

CT7
TAJ_E
220UF/2.5V

R332
10K +-5%
R_0603

5
6
7
8

R331
0 +-5%
R_0402

m
o

c
.
s

SGND1

m
o

VDIMM

C364

R97
NS

100K +-5%
R_0603
R100

100K +-5%
R_0603

PGND2

10

VTTR

R101
100K +-5%
R_0603

3900PF/50V
C_0402

C95

POK1

MAX8632_POK2 6

POK2

R525
10K +-5%
R_0402

SUSOK

0 +-5%

w
w

+3VSUS

C514

0.1UF/10V
C_0402

C515

10UF/6.3V
C_0805

19

MAX8632_LX

18

MAX8632_DH

LX
DH

VIN

TON
GND

DL

21

REF

PGND1

23

ILIM

SHDNA#

27

TPO

28

15

FB

16

OUT

STBY#

MAX8632_DL

IN

SHDN

OUT

BP GND

MAINON

R524
10K +-1%
R_0603
NS

J7

JUMPER1
SGND5

+2.5V

0.1UF/10V
C_0402

4.7UF/10V
C_0805

MAX
200mA

C489
0.01UF/16V
C_0402

R541

31,32,34 MAINON

0 +-5%
R_0402

VDIMM

5
6
7
8

C_0805

C_0805

2
2.5UH

D69
SOD_123
1N5819HW

R106
2.2 +-5%
R_0805
NS

C96
470PF/50V
C_0603
NS

Connect to DDR2 socket VIA directly

Near MAX8632 Pin15 and Pin16

C491

6A

C106
0.01UF/16V
C_0402

31,32,34

SGND5

C517

Q69
FDS6612A
8P_SOP_150

L15

19,31,32

0 +-5%
R_0402

C89

7.5A/10mohm

Q70
SI4856ADY
8P_SOP_150

SUSON

R540
0 +-5%
R_0402

C98

C488

C93
0.1UF/25V
C_0603

R542

SGND5

MAX8887EZK25

2.2 +-5%
R_0805

R109
1K +-5%
R_0603
NS

U7

D68
1SS355
SOD_323

R96

SKIP#

1
24

p
l. a

1K +-5%
R_0603

MAX8632_POK1
R543
R_0402

m
e
h
c
1UF/10V
C_0603

10 +-5%
R_0603

MAX8632ETI

SGND5

31,33

MAX8632_BST

MAX8632_ILIM

R108

20

MAX8632_REF

1UF/10V
C_0603

470PF/50V
C_0603

BST

SS

C316

C110

17

MAX8632_FB

R105
15K +-1%
R_0603

VIN

s
p
to

MAX8632_SS

SGND5

22

OVP/UVP

MAX8632_POK1 5

MAX8632_TON

1K +-5%
R_0603

VDD

MAX8632_AVDD

C100

3
2
1

11

MAX8632_SKIP# 25
R107

26

C87

0.01UF/50V
C_0603

MAX8632_OVP

1K +-5%
R_0603

AVDD

VTTS

C468

R98

R99

29

VTT

GND

12

14

R_0603

4.7K +-5%

VTTI

REFIN

R95
U6

13

SGND5

5
6
7
8

SGND5
C92
1UF/10V
C_0603

5VAUX

M_VREF

3
2
1

0.1UF/10V
C_0402

C111

1.5UF/25V

4.7UF/10V

1UF/10V
C_0603

1.5UF/25V

4.7UF/10V

C101

C99

1.5UF/25V

C81

1.5UF/25V

C85

C80

1.5UF/25V

it c

10UF/6.3V
C_0805

CT10
TAJ_E
220UF/2.5V

C94
10UF/6.3V
C_0805

CT13
TAJ_E
220UF/2.5V

C97
10UF/6.3V
C_0805

R94
20 +-5%
R_0603

C_0805

VIN
C112
10UF/6.3V
NS

C_0805

Connect to DDR2 socket VIA directly

C_0805

VTT_MEM

1.5UF/25V

c
.
s

C_0805

IMVP-6 solution for Merom LV: 1-phase/23A


With DCR Sensing
1

m
o

6,15

NS
R_0402
SGND3

C_0402
1000PF/50V
C612

it c

SGND3
PSI#

ADP3207

PGDELAY

DCM#

28

OD#

27

VCORE_ON

FB

PWM2

25

COMP

PWM3

24

SS

SW1

23

STSET

SW2

22

DPRSLP

SW3

21

SGND3

VIN

+3V

GNDPAD

GND

41

20

CSCOMP
19

R558
0 +-5%
R_0402
NS

JUMPER1

CSSUM

R557
0 +-5%
R_0402

J8
1

CSREF

p
l. a
C606
100PF/50V
C_0402

SGND3
R551
0 +-5%
R_0402

SGND3

w
w
1000PF/50V

R581

0 +-5%

34 +1.5V_PWROK

RB751V-40
D72
1

34 VCCP_PWROK

RB751V-40
D73
31

VRON

RB751V-40

SD

DLY

SW

DRVL

GND

5
6
7
8

5
6
7
8

3
2
1

R556
118k +-1%
1%
R_0603

Q74
IRF7805Z

Place R552 close to


Phase1 output
inductor.

CPUSENSE_CSREF

C584

+3V

For CPU current test

C624

CPUSENSE_CSCOMP

U37
R41
6
5
4

FB
VDD
IN-

OUT
GND
IN+

CPU_Current

1
2
3

CPUSENSE_CSREF
10K +-1%
R_0603
R23
NS
100K +-5%
NS

MAX4460EUT
NS

VCORE_ON

C625
0.1UF/50V
C_0805
NS

R42
2K +-1%
NS

C616
10UF/25V
C_1206
NS

VCORE_CPU

L16
0.56uH/ESR=1.6m
2

Panasonic
D70
SOD_123
1N5819HW

R579
2.2 +-5%
R_0805

330uF/2V

330uF/2V
C623

330uF/2V
C564

330uF/2V
NS
C566

330uF/2V
C608

C622
470PF/50V
C_0603

R559

0.1UF/10V
C_0402
NS

C617
10UF/25V
C_1206
NS

C615
10UF/25V
C_1206

4 pieces of Panasonic SP CAP (SD)


or Sanyo POSCAP .

THERMISTOR 3%
R552
330K +-5%

SGND3

C618
10UF/25V
C_1206
NS

C574

Q71
IRF7805Z

294K +-1% R_0603

R_0402

C614
10UF/25V
C_1206

D71
A

DRVLSD

R_0603

R548
243K +-1%
1%
R_0603
2

C_0402

R544
47.5K +-1%

CPUSENSE_CSCOMP

SGND3

SGND3

C562
C_0402

18

LLSET

SGND3
1000PF/50V

17

15
1

16

14
1

RT

RPRM

VPRM

1
8,17

DPRSLPVR

IN

s
p
to
1

R547
R550 R554
162K +-1%392K +-1%
210K +-1%
1%
1%
1%
R_0603R_0603R_0603
2

VSSSENSE

R549
499K +-1%
1%
R_0603

C570
1000PF/50V
C_0402

VCCSENSE

13

R572
499 +-1%
R_0603

ILIMIT

SGND3

11

SGND3

RAMPADJ

10

C581
680PF/16V
NPO 5%

10

ADP3419JRM
10P_TSSOP_120

LFCSP40

C580
12nF/25V
C_0603

10K +-1%
R_0603

C621
820pF/50V
C_0402

R576
1K +-1%
R_0603

26

C609
22PF/50V
C_0402

R578

PWM1

100PF/50V
C_0402

FBRTN

CLKEN#

C607
1000PF/50V
C_0402

SGND3

12

C620

SGND3

C619
1000PF/50V
C_0402
NS

R575
0 +-5%
R_0402

BST

DRVH

C613
10UF/25V
C_1206

4.7nF/25V
C_0603
3
2
1

VCC

3
2
1

29

C572
10UF/25V
C_1206

NS

C573

PWRGD

C631
10UF/25V
C_1206
NS

5
6
7
8

3
2
1

0 +-5%
R_0402

R545

IMVP_PWG

5
6
7
8

VRTT

U1
5

17

m
e
h
c
0 +-5%
R_0603

Q76
2N7002

R560

Q73
IRF7807Z

3
2
1

30

1
1SS355
SOD_323

C611
0.47UF/25V
C_0805

D75

TTSENSE

TP_PROCHOT#
31

EN

Q72
IRF7807Z

2
C610
4.7UF/25V
C_1206

U36

VCC

0 +-5% R_0402

+5V

R569
32

33

34
VID6

35

37

36

VID5

VID4

38

R568
1

DPRSTP#

VCORE_ON

VID3

0 +-5%
R_0402

VID2

39

R574
3K +-5%
R_0402

PSI#

R_0402
R_0402
R_0402
R_0402
R_0402
R_0402
R_0402

VID1

R546

CKGEN_EN#

0 +-5%
0 +-5%
0 +-5%
0 +-5%
0 +-5%
0 +-5%
0 +-5%

VID0

R573
3K +-5%
R_0402

R567
R566
R565
R564
R563
R562
R577

CPU_VID6
CPU_VID5
CPU_VID4
CPU_VID3
CPU_VID2
CPU_VID1
CPU_VID0

40

R580
0 +-5%
R_0402

7
7
7
7
7
7
7

0 +-5% R_0402

+3V

VIN

0 +-5%

R571

C605
1uF/16V
X7R(0805)
C_0805

1
2

H_DPRSTP#
C596
1uF/16V
X7R(0805)
C_0805

c
.
s

0 +-5% R_0402

R570

R553
330K +-5%
THERMISTOR 3%
R_1005

R561
10 +-5% SGND3
R_0603

1
2

Thermistor R553 should


be placed close to the
hot spot to be monitored

+5V

C583
10nF/25V
X7R
C_0603

R555
7.32K +-1%
1%
R_0603

VIN

m
o

1
2
D
D
D
D

S
S
S
G

4
3
2
1

5
6
7
8

8
7
6
5

FDS4435
8P_SOP_150

SGND7
R442
47.5K +-1%
R_0603

C208
10UF/25V
C_1206

HDR

11

CHIGH

REF

LV

Q77
2N7002
SOT_23

12

GND

C221
10UF/10V
C_1206

ACAV

COMP

6
SGND7
5 R258

ICHP

13

ICHP

VSET

ICHM

14

ICHM

IOUT

15

IACM

CELLS

16

150 +-1%
R_0603

Iadapt

ISET

1CC_SET_1

JUMPER1

R268
0 +-5%
R_0402

C25
0.1UF/50V
C_0805

C119
0.1UF/50V
C_0805
1

HCH IDJ-D22B2-6T

w
w

C124
0.1UF/50V
C_0805

w
31

PWRSHD

Open an EC GPIO for this signal.


GPIO default Low is better.

Q53
2N7002
NS

R241
200K +-5%
R_0402

FUSE-7A24V-3

C220
0.1UF/50V
C_0805

CHA_OFF

SGND7

p
l. a
2

1
1
2
3
4
5

Q55
2N7002
SOT_23

C14
0.47UF/25V
C_0805

Q52

S
S
S
G

D
D
D
D

8
7
6
5

SGND7

R284
100K +-1%
R_0603
NS

R287
15K +-1%
NS
CC_SET_1
CV_SET_1
R257
49.9 +-1%
NS
R_0603

SGND7

SGND7

31

C109
47PF/50V
C_0603

22 OHM/6A
R_0805

FB9
NS

22 OHM/6A
R_0805

FB6

C104
1000PF/50V
C_0402

CON4
MMBATA+

MBCLK_BATA
MBDATA_BATA

6,31
MBCLK
6,31
MBDATA
31 MBAT_PRES#

ADIN

C15
0.01UF/50V
C_0603

uPA2714GR
8P_SOP_150

SGND7

D46
BAT54S
SOT_23

+3VALW

R222
102K +-1%
R_0603

R_0603
100K +-5%
R279

330 +-5%
330 +-5%
330 +-5%

D50
BAT54S
SOT_23

+3VALW
+3VALW

MBATV
R223
18K +-1%
R_0603
C84
0.01UF/50V
C_0603
R236
100K +-5%
R_0603

1
2
R_0603 3
R_0603 4
R_0603 5
6
7
8

+3VALW

31

1
2
3
4
5
6
7
8

BATTERY

D51
BAT54S
SOT_23

Q54
DTA124EU
NS

F1
FUSE-10A125V
2

R296
R282
R281

R248
1K +-5%
R_0603
NS

NS

R261
0 +-5%
R_0402

MBATA+

C91
0.1UF/25V
C_0603

1
2
3
4

31

R276
100K +-1%
R_0603

31

C186
0.1UF/50V
C_0805

F3

CON5

1
2
3
4
5

FB11
22 OHM/6A
R_0805
1

100K +-1%
R_0603

CV_SET

REF3V

SGND7
B

FB10
22 OHM/6A
R_0805
NS
1

R263

SGND7

J6

0 +-5%
R_0402

CC_SET

0 +-5%
R_0603

R283

R256

ACIN

SGND7

s
p
to

IACP

REF3V

C206
0.22uF/16V
C_0603

CV_SET_1

OZ8602G_N SOIC-16
31

m
e
h
c
C213
4.7UF/10V
C_0805

VAC

ICHP

C219
0.1UF/25V
C_0603

ICHM

10

it c

R272
10 +-5%
R_0603

C197
10UF/25V
C_1206

C127
2.2UF/16V
C_0603

U14

C196
0.47UF/25V
C_0805

MBATA+

C218
2.2UF/16V
C_0603

T1
*PAD

R253
33mR_1%_2512

D
D
D
D

C207
10UF/25V
C_1206

C185
10UF/25V
C_1206

S
S
S
G

1
2
3
4

R260
100K +-5%
R_0603

FDS4435
8P_SOP_150

C139
1UF/25V
C_1206

C223
0.1UF/50V
C_0805

1
2
3
4

R273
10 +-5%
R_0603

Q56
S
S
S
G

R285
10 +-5%
R_0603

D
D
D
D

R267
47.5K +-1%
R_0603

8
7
6
5

Q57
R280
30mR_1%

D44
DO_214_SMA
SCHOTTKY SSM34 3A 40V

ADIN

C138
10UF/25V
C_1206

c
.
s
uPA2714GR
8P_SOP_150

L1
10UH
L_S103

D9
DO_214_SMA
SCHOTTKY SSM34 3A 40V

Q58

it c

+3VAVCC_EC

R536 10K +-1%

C579

R_0603

NS
Q7

10uF/6.3V
C_0805

3V_ITES

ITES_EN#

R539
10K +-1%
R_0603
NS

R377
47 +-5%
R_0805

C569

C77

C578

1UF/10V
C_0603

10uF/6.3V
C_0805

m
e
h
c

0.1UF/10V
C_0402

31

DTA124EU

+3VALW

R528
10K +-1%
R_0603

R529

ADXL322

R527

1
4
8

VCC1
VCC2

X_OUT

NC1
NC2
NC3
NC4

GND1
ADXL322 ST
GND2
GND5
GND3
Y_OUT
GND4

10K +-1%

R_0603

2
R517

10
10K +-1%
R_0603

C576

C575

0.1UF/10V
C_0402

0.1UF/10V
C_0402

p
l. a

w
w

s
p
to

R_0603

12

9
11
13
16

3
5
6
7
17

NC5
NC6
NC7

U32

14
15

10K +-1%

C577

m
o

c
.
s

ITES_VSENSE_Z 31

ITES_VSENSE_X 31

D58

ITES_ST

31

RB751V-40

ITES_VSENSE_Y

31

NS R587

0.1UF/10V
C_0402

0 +-5% R_0603
R126
100K +-5%
NS

VCORE_CPU

Clocks

Yonah

+3V

479 Pins
(Micro-FCBGA)

CPU Thermal
Sensor

GMT
G792

CK410
P:4

P:6,7

FSB
4X133MHZ
VDIMM

VTT_MEM

LVDS

945GM
VDIMM(1.8V)

P:14

DDR-SODIMM2

P:22

Calistoga

1.05V

LCD Panel

m
e
h
c

+1.5V

R.G,B

CRT port
P:21

1466 FCBGA

+2.5V

P:8,9,10,11,12,13

DMI
+VCCP(1.05V)

SATA 1.0
+3V

SATA HDD

+1.5VSUS

P:19

ICH7-M

+2.5V

P:29
+3VAUX

+3V

AUDIO
ALC260(Codec)
TPA0312(Amp)

MDC

RJ11

P:20

P:28

Internal
P:28
MIC

p
l. a
+3VALW

InternalSpeaker
P:28

IT8511E

176 Pins LQFP

P:31

+3VALW

APS

+5V

+5V

+3VALW

Touchpad Keyboard
P:39
P:30
P:30

s
p
to
PCI-Express x1

w
w

FLASH
P:31

+5V

FAN 1
P:6

33MHZ, 3.3V PCI

USB PORT -->0


+5VSUS
P:19
BlueTooth --> 4
P:30

3V

USB PORT -->1,2

+5VSUS

P:19

+3VAUX

P:20

CardBus

LAN
10/100/1G

OZ711

MINI PCIe WLAN -->1


3V,1.5V
P:20

USB 2.0

P:25

3G CARD -->0

P:15,16,17,18
+5VA

External
MIC P:29

652 BGA

+3VSUS

Headphone

+3V

3V,1.5V

+VCCP

Azalia-LINK

Azalia

+VCCP(1.05V)

DDRII SDRAM 1.8V, 667MHz

DDR-SODIMM1

it c

Silego: SLG8LP453B

P:6

m
o

c
.
s

+3V

+VCCP

P:14

BCM4401
P:24

P:26

CARD
BUS
SLOT

1394
CONN

CARD
READER

P:26

P:27

P:27

RJ45

P:25

You might also like