You are on page 1of 65

ENTEK ETM TEKNOLOJLER

PLC NEDR ? Programlanabilir Lojik Kontrolrler kumanda ve kontrol elemanlardr. Btn kumanda problemlerinin zmnde mantk ilemleri, bellek probleme bal olmakszn retilmi

fonksiyonlar, zaman ve sayc gibi elemanlara ihtiya vardr. Bunlar PLClerde retici firmalar tarafndan hazr olarak sunulmu durumdadr. Basit bir programlama ile btn bu imkanlar problemin zmnde bir araya getirilebilirler. PLC ile yaplan zmde kumanda devresi yazlmla salandndan daha kolay ve gvenilirdirler. Daha az yer tutar ve daha az arza yaparlar Yeni bir uygulamaya daha abuk adapte olurlar Kt evre artlarndan kolay etkilenmezler Daha az kablo balants isterler Hazr fonksiyonlar kullanma imkan vardr Giri ve klarn durumlar izlenebilir

S7-300 PLCler

Orta performansl iler iin gelitirilmi modler PLC sistemi Farkl farkl otomasyon problemlerine cevap verebilecek nitelikte zengin rn eidi Proseste bir gelitirme gerektiinde kolay ve genileme olana MPI, Profibus ve Endstriyel Ethernet gibi haberleme alarna sorunsuz olarak

balanabilme olana Programlama aamasnda geni bir komut kmesine destek salamas SCL, Graph gibi st dzey programlama teknikleriyle

programlayabilme

PLC NASIL ALIIR ?


PROGRAMLAYICI BRM

GR GRNT BELLE

SNYAL GR

PROGRAM BELLE KONTROL BRM

S7-300 PLClerin girii 24 VDC veya 120/230 VACdir. 24 V ile alan S7-300 20.4V ile 28.8 Vluk gerilimler arasndada alabilmesine ramen buna izin verilmemelidir. Girie uygulanacak gerilim buton, snr anahtar, scaklk, seviye, basn sensrleri gibi anahtarlama elemanlar zerinden alnr. Giri bilgisi giri grnt belleine yazlr ve buradan merkezi ilem nitesine gnderilir. Merkezi ilem nitesindeki bellek iki ksmdan olumutur : ROM bellek : Cihazn kendine ait olan bilgilerin tutulduu ve sadece okunabilen bellektir RAM bellek : letilmesi istenilen program barndran ve silinebilen bellektir. Ram bellek iki ksmdan oluur. Programn yazld ykleme alan (Load Memory) ve programn altrld alma alan (Work Memory).

Yazmlanan program Micro Memory Carda yklenir. Giri grnt belleinden alnan bilgi iletilecek programa bildirilir ve program yrtlr. Elde edilen veriler k grnt belleine yazlr. Veriler k sinyali olarak k katna aktarld gibi tekrar giri grnt belleine giri bilgisi olarak gnderilir.

k sinyalleri kontrol edilen sisteme ait kontaktr, rle, selenoid gibi alma elemanlarn srer. PLC transistr kl ise DC 0.5A, Triyak kl ise AC 1 A ve Rle kl ise AC/DC 2 Ain gemesine izin verir.

SNYAL IKII

CPU

IKI GRNT BELLE

MODLER YAPI

1. RACK YAPILANDIRMASI
PS IMR
96.0 99.7 125.0 127.7

RACK3

PS

IMR

64.0 67.7

92.0 95.7

RACK2

PS

IMR

32.0 35.7

60.0 63.7

RACK1

PS

CPU

IMS

0.0 3.7

4.0 7.7

8.0 11.7

28.0 31.7

RACK0

10

11

PS CPU IMS IMR

: G kayna : Merkezi ilem birimi : Gnderici ara birim : Alc birim modl

(Power Supply) (Central Process Unit) (Interface Modl Sender) (Interface Modl Receive)

S7-300 PLClere 32 modl eklenebilir. Her raya 8 adet sinyal modl eklenebilir. Maksimum 4 ray kullanlabilir. Her sinyal modl 32 bitlik veri ierir. Toplam 1024 bitlik veri ilenebilir. Raylarn kendi aralarnda haberlemesini salamak iin haberleme birimine ihtiya vardr. Eer sadece 0 nolu ray kullanlacaksa 3.slot bo braklr.

2. CPU YAPISI

(S7-300 IFM 314)

MRES :Hafza Reset STOP :Durma Modu RUN : alma bilgisayar tarafndan okuma anlamnda mmkn. Modu, sadece ulam

SF: Grup Hatas, CPUda modllerde bir hata var

yada

BATF: Pil hatas,pilin gerilim seviyesi dk yada pil yok. DC5V:5 Volt DC sinyali FRCE :Bir yada daha fazla giri yada k zorlanyor RUN :CPU almaya balarken yanp sner, alma modunda yanar. ise srekli

RUN-P : alma modu, bilgisayar tarafndan hem okuma hem de yazma anlamnda erime mmkn.

STOP :Durma modunda srekli yanar. Hafzay sfrlama ilemi sresince yava bir ekilde yanp sner,ilemin bitmesiyle beraber hzl sner. Eski tip CPUlarda 3.6Vluk lityum pil bulunur. mr 5 yldr. Ancak 2 ylda bir deitirilmesi nerilir. Program korur, hardware ayarlarn korur ve gerek zaman saatini iletir. CPU 312C PLCNN FARKLI PLCLERLE KARILATIRILMASI 3. S7-300 Yeni tip CPUlarda pil yerine sper kondansatr kullanlr. 10 hafta kadar bilgilerin muhafaza edilmesini salar. olarak yanp

SIEMENS

S7-200 (216)

S7-300 (312C) 1024 bit (10DI-6DO) 128byte 128 128 2048 16 Kbyte 0.3msn Yapsal

S7-400 (416)

S7-300 (314 IFM) 1024 bit 64 byte 64 128 2048 24 Kbyte 0.3 msn Yapsal

Dijital giri, k says Analog giri, k says Sayc says Zaman eleman says Durum tespit iareti says (Yardmc rle) alma Hafzas alma hz Program ileme ekli

128 bit 20 byte 256 256 256 8 KByte 0.8 ms Yapsal

128 Kbit 8192 byte 512 512 16384 512 Kbyte 0.08 msn Yapsal

4. PG/PC- PLC HABERLEMES

PG/PC ile PLC arasndaki haberlemeyi salamak iin farkl zmler sz konusudur. ISA Yuvas zerinden ( r : MPI-ISA Card ) PCI Yuvas zerinden ( r : CP5611 } PCMCIA Yuvas zerinden ( r : CP5511 ) USB k zerinden ( r : Simatic S7,PC Adaptr)

5. PC PLC HABERLEMESNN SALANMASI 1. Adm PC-PLC balants iin bu iki cihazn haberlemesini salayan MPI adaptr ayarlarnn yaplmaldr. Bu ilem STEP 7 ile beraber gelen PG-PC Interface program vastasyla yaplr. Balat SIMATIC STEP 7 Setting PG-PC Interface

veya

Manager program aldktan sonra Options mensnn altnda Set PG/PC Interface seilebilir.

2. Adm PC ile PLC arasndaki olan zere seilir MPIn ilk ve

haberleme protokol zelliklerini belirlemek olarak PC Adapter(MPI) Properties e baslr.

Bu arayzn PC

pencerede, ile

kullanlan

haberlemek iin

kulland fiziksel ortam belirlenir. Seilmi arayz (USB) olan haberleme olarak

yklenmi

belirecektir.

MPI address:

PCnin, MPI ana

balandnda alaca adres belirlenir. Timeout: MPI anda bir hata

olutuunda an ne kadar sreyle izleneceini haberleme cevap belirler. Mesela ada dolay gecikme

younluundan bir

paketlerinde

olduunda ayarlanan sre kadar PC cevabn gelmesini bekler. Alabilecei deerler 10 s., 30 s., ve 100 s.dir Transmission Rate: Ada kullanlacak haberleme hz belirlenir. Alabilecei deerler 1.5 Mbps., 187.5 Kbps., 19.2 Kbps.dr Highest Station Address: Aa bal olan cihazlara verilebilecek en yksek adres girilir. Alabilecei deerler 15, 31, 63, 126dr.

STEP 7 MANAGER EDTR LE ALIMAK Masastnde bulunan ksa yoldan veya Balat_Tm

Programlar_Simatic_Simatic Manager yolu takip edilerek program balatlabilir.

Al sihirbaz alr. Finish seilirse daha nceki projede tanmlanan donanm mevcut kabul edilir ve S7 Pro.. ad ile proje alr. Finish yerine Next seilerek sonraki basamaa geilebilir.

Bu aamada allacak olan CPU nun

tanmlanmas gerekmektedir.

allacak Organizasyon ve allacak

olan Bloklar olan dili Next basldnda olan isim

programlama seilmelidir. tuuna

oluturulacak projeye bir

verilmesi istenir.

Seilen

CPU

ile

birlikte

alacak

olan

donanm oluturmak iin alan programn ana sayfasnda seilir.

Alan menden hardware seilmelidir.

Rackn 1. slotunda Power suplly bulunur. ayet siemens rn bir PS kullanlyorsa PS-300 seeneinden ilgili rn ift tklanarak veya mausela srklenerek seilmelidir. Sonraki slotta CPU yer alr. 3. slot haberleme modl iin rezervedir. Baka modl tanmlanamaz. Dier modller 4 ile 11. slotlara

tanmlanmaldr. Donanm tanmlanrken ayn rn seme katalounda ayn rnden birden fazla tanml ise CPUnun portunu kapatan kapan altnda CPU versiyonu ve d yzeyinde ise sipari kodu bulunur. Donanm tanmlanrken bu deerlere dikkat edilemlidir. Hardware seim ilemi tanmlandnda Station_Save and Compile seilmeli ve donanm Download edilmelidir. Download ilemi PLC mensnn altndan veya ksa yol tuundan yaplabilir. Manager program hatal donanm seildiinde herhangi bir uyar vermez. Sadece CPU seilmemise ve donanm sralamas hatal yaplmsa uyar verir. Donanm test etmek iin Station _ Consistency Check seilir. Step7 Lite editr programnda ise hatal donanm seilmesi durumunda program donanmn tanmlamasn kabul etmez. CPUnun zelliklerini grmek iin krsr CPUnun zerine getiriniz ve sa tklaynz. Alan menden Object Properties seiniz. Order Number ile belirtilen hanede yazlan kod rnn sipari kodudur. mausu

Simatic PLCnin adreslerinin mmkndr. adresleri istendiinde, bulunduu slot giri

Managerda ve k

deitirilmesi rnein giri

deitirilmek adreslerin zerine

gelinerek mause sa tklanr. Alan Properties menden seilir. Object Alan

mende Address seilir. Inputs ksmnda bulunan System selection kutucuu seili olmaktan karlr ve start yazan haneye ilk giri aderesinin byte numaras yazlr. Donanmn Save and Compile edilerek CPUya download edilmesi ile yeni adres tanmlamalar ilerlik kazanacaktr.

PLC ALIMA DLNN SEM

Options _ Custumize seildiinde Lanuage mensnden Almanca ngilizce veya kurulmusa dier diller alr. Seim yaplmaldr. Eer bir deiiklik yaplmaz ise kurulum dili ile program almaya devam eder.

PROGRAMLAMA TEKNKLER 1. Dorusal Programlama Proje bnyesinde tek bir Alt PLC blok program iin gelitirilen zerinden gibi yaplar

program

programlanr.

kullanlmaz. zm tek bir program paras ile retilir.

2. Yapsal Programlama

Bu programlama tekniinde program uygun alt paralara blnr. Ana Program ise bunlar aran bir yapda programlanr. Hem projenin tasarm hem iletilmesi aamasnda kullanclara kolaylklar salar. de

YAPISAL PROGRAMLAMA ELEMANLARI

OB: Organizasyon Bloklar program modllerinin hangi srayla ileneceini belirler. letim sistemi tarafndan arlan bloklardr. OB1 ana programn koturulduu organizasyon bloudur. OBunun evrim sresi S7-300 PLClerde 150 msndir. Bu sre alrsa iletim sistemi OB80i arr. PLC stop konumuna geer. CPUnun tipine gre organizasyon bloklarn says deiebilir.

FC: Fonksiyon

yapsal programlama mant ierisinde gelimi bir alt program paralarn kk, takip edilebilir

gibi davranan yaplardr. Kompleks program yaplara blmek iin kullanlr.

FB: Fonksiyon bloklar FCa benzemekte olup hafzaya sahiptirler ve her biri iin DB atanmtr

SFC/SFB:

Sistem Fonksiyon

ve

Sistem Fonksiyon Bloklar

CPU ile birlikte

gelen hazr yaplardr.

DB: Data

Bloklar veri saklanmak iin kullanlan yaplardr ki program deyimleri

iermezler. rnein program ierisinde editrde yaplan aklamalar (comment) DBda saklanr. zel ve Genel olmak zere iki farkl tipi mevcuttur. PROGRAM BLOKLARINI OLUTURMA

Organizasyon Blounun (OB1) iinde FC, FB

vs. gibi alt programlar

oluturmak iin Insert_S7 Block_... mensnden yararlanlabilecei gibi sa taraftaki pencere zerinde iken mausun sa tuuna basldnda Insert new object seeneide yeni FC,FB,DB.. elemanlarnn almasn salar. Alan pencerede isim verilmesi istenir.

PROGRAM GSTERM Bir kumanda yada kontrol sisteminin zmne ilikin szel zel yada bir

matematiksel kurallarn PLC program

belleine aktarlmas

programlama dili ve derleyicisi araclyla yaplr. PLC programlama dilleri, komut ile programlama ve grafiksel programlama olarak iki ana balk altnda toplanabilir. LAD, STL, FBD. STL komut ile programlama tekniine dier ikisi ise grafiksel programlama tekniine girer. Bunlar aadaki gibidir.

LADDER DAGRAM (MERDVEN DAGRAMI)

STATEMENT LIST (KOMUT DZN)

FUNCTION BLOCK DIAGRAM (FONSYON BLOK DAGRAMI-LOJK KAPI GSTERM)

1 BTLK LEMLER Kumanda birimine ilikin devrelerindeki ikili mantksal mantksal ilemlerle ilevlerin yaplr. gereklenmesi kontrol

Bu tr ilemler komut

(STL), merdiven mant (LAD) veya fonksiyon blok (FBD) ile ifade edilebilir.

kili kullanlacak katalogundaki

mantksal olan Bit

ilemlerde komutlar komut logic

blmnn altndadr.

Yanda hem LAD hem de FBD iin iki mantksal olan STLde ilemlerde kullanlabilecek

komutlar verilmitir. bu komutlarla ayn ilevi

salayan komutlarn yan sra LAD ve FBDde karl olmayan komutlar

da mevcuttur.

LAD

MDG

SAYI FORMATLARI Bit r: I0.0, I0.1, Q4.0, M5.9 Byte(B) r: MB9, IB3, QB4

Interger(I) r: MW10, MW12, IW0

Double Integer(DI) r: MD10, MD14 Floating Point r: MD10, MD14

1 Byte = 8 Bit

1 Integer = 16 Bit 1 Integer = 2 Byte

1 Double Integer = 32 Bit 1 Double Integer = 4 Byte aretsiz Tam Deer aretli Tam Deer

Byte

0255

-127128

Integer

065535 (0FFFF)

-32,76832,767 (80007FFF) -2,147,483,648 2147,483,647 (8000 00007FFF FFFF)

Double Integer

04,294,967,295 (0FFFFFFFF)

MSB 7 6

LSB 0

I0 I1 I2 I3 I4 I5 I6 I7 . .

0.4
Byten kanc biti olduu Ayra Byte numaras

Adres tipi

MSB 7 MB20

LSB 0

MB20
Hafza blgesini belirtir Hafza formatn belirtir Hafza alann belirtir

Integer

= 1* 26 + 1 * 25 + 1 * 24 + 1 * 23 + 1 * 22 + 0 * 21 + 1 * 20 = 64 + 32 + 16 + 8 + 4 + 0 + 1

= 125

BCD

aret 0000 + 1111 -

MSB 31 MB4 24 23 MB3 6 15 MB2 8 7 MB1

LSB 0

MW1

MW2

MD1

CPU 312C MODEL PLCNN KABLAJI PLCde L+ ile ifade edilen klemenslerin tmne +24VDC, M ile gsterilen klemenslerin tmne 0V ve topraklama iareti olan noktalarda muhakkak topraa balanmaldr. PLC zerinde birden daha fazla giri olmasnn nedeni PLCnin CPUsunun enerji ihtiyac dnda girilerin ve klarnda beslenmesi gereidir. ayet giriler beslenmezse sahadan gelen bilgiler CPUya ulamayacak, klar beslenmediinde de CPUnun ka gnderdii bilgiler k adreslerine ulamayacaktr. rnek olarak srekli alma

devresini incelersek iki giri ve bir +24V DUR BALA k bilgisine ihtiya

bulunmaktadr. Bu giriler PLCde sras ile giri adreslerine balanr. kta I0.0 Q0.0 I0.1 kullanlan olan olan ve alcmz ilk

altracak kmz

rlede

Q0.0

adresine

balanr. Elektriksel balantnn tamamlanmas ile birlikte yazlm MOTOR yaplmal ve PLCye yklenerek aktif hale getirilmelidir.

0V

RNEK: Motor iki ayr yerden altrlabilsin ve iki ay yerden durdurulabilsin.

NOT: Ladder diyagramda yaplan yazlmlar Statement List (STL) veya Function Block Diagram (FBD) progralama diline evirme ilemi programn yazld pencerede View mens altndan yaplr. Ayrca ksa yol tular da kullanlabilir. STL ile yazlan programlar Laddera ve FBDa, Ladder yazlm FBDa ve FBD yazlm Laddera sorunsuz dnebilir. Ancak Ladder ve FBDda yazmlanan programlar STLye dnemeyebilir.

RNEK: Start butonuna baslnca iki motor ayn anda alsn stopla durdurulsun.

RNEK : 3 fazl asenkron motorun ileri geri almas iin gerekli yazlm yapnz

T NOT: fazl asenkron motorlar

devir ynlerini deitirmek iin g devresinde iki fazn yeri deitirilmelidir.

RNEK : Yukardaki rnekte altrlan motor otomatik kapda kullanlmaktadr. Kapnn geri ve ileri hareketlerini snrlayan switchler bulunmaktadr. Motor istenildiinde durdurulabilmekte ve herhangi bir acil durum olutuunda devrenin enerjisi farkl bir noktadan kesilebilmektedir.Ayrca motoru korumak amal A.A rleside kullanlmaktadr. Gerekli yazlm yapnz.

Ayn devre aadaki ekilde gsterilirse daha aklayc olacaktr

Programa ad ve aklama eklemek mmkn olduu gibi her networkede ad ve aklama eklenebilir. View _ Display with _Comment Seilirse aklama eklenebilir

View

mens

altnda

details seildiinde ekrann alt ksmnda alacaktr. seildiinde yukardaki Address atanm pencere info. adresler

dizinlenecektir. Symbol ksmna uygun grnen semboller atanr. Sembol tablosunun zerine krsr getirilip mausun sa tuu seildiinde yandaki men alr. Monitr seilirse PLC alrken adresleri alm olduu deerler izlenebilir.

Sembollerin

aktif

olmas

iin

yine

Display

with

mensnden

Symbolic

Representation seilmelidir. Hem adres hem semboller ayn anda grnsn isteniyorsa Symbol informationda seili olmaldr.

KURMA-SLME (SET-RESET) LEMLER

Kurma komutu, bir bitlik adres alannn ieriini 1 yapar. Silme komutu ise bir bitlik adres alannn ieriini 0a eker.

LADDER DAGRAMI

KOMUT DZN

FONKSYON BLOK DAGRAMI

ZAMAN DAGRAMI

I 0.0

I 0.1

Q 0.0

RNEK :

Start butonuna basldnda 3 lambada yanacaktr. Dur1e basldnda 1. Dur 2ye basldnda 2.ve 3. motorlar duracaktr. Ladder diagramn ve durum grafiini iziniz.

I 0.0

I 0.1

I 0.2

Q 0.0

Q 0.1

Q 0.2

KURMA VEYA SLME BASKIN K KARARLI LEM ELEMANLARI

Kurma baskn iki kararl (RS) elemann her iki girii de 1 yapldnda k 1, silme baskn iki karal (SR) elemann her iki girii de 1 yapldnda k 0 olur. Dier giri deerlerinde silme kurma komutlar ile edeerdir. RESET BASKIN

SET BASKIN

I124.0

I124.1 Q124.0

Q124.1

IKAN KENAR VE DEN KENAR ALGILAMA KOMUTLARI POZTF KENAR ALGILAMA

I 0.0 I 0.1

Q 0.0

I 0.2

I 0.3

Q 0.1

NEGATF KENAR ALGILAMA

2. aret Kenar Deerlendirmesi

I 0.0 1 tarama sresi Q 0.0

1 tarama sresi Q 0.1

Lojik fadenin eriini Bir Hafza Alannda Saklama kili mantksal ilemlerin ara sonularn ikili sonu komutu kullanmadan saklamaya yarayan komuttur. Bu ekilde ayn ikili alt ilemi bir alt satrda yeniden yaplmas gerekmez.

PROBLEM: 1.motorun alma art 1.2. ve 3. start butonlarna ayn anda baslmasdr. Motor srekli alacaktr. alma stop butonu ile sonlanmaktadr. 2. motor ise sadece 1.ve 2. butonlara baslarak almakta ve butonlardan birine baslmamas durumunda 2. motor durmaktadr.

SAYI SSTEMLER A) ONLU (DECMAL)SAYI SSTEM Say taban 10dur. 0,1,2,3,4,5,6,7,8,9 saylar onluk say sistemini oluturur. Herhangi bir sayy formulize edersek: D = 1985 = 1.103 + 9.102 + 8.101 + 5.100 B) KL (BNARY-DUAL) SAYI SSTEM 0 ve 1 rakamlar ile temsil edilen, taban deeri 2 olan ve iki olaslkl durumlar ifade etmek amacyla kullanlan say sistemidir. kili say sisteminde her bir basamak bit olarak ( Binayr Digit) adlandrlr. B = 101101101 MSB LSB kili say sisteminde en sadaki basamaa en dk anlaml bit-DAB (Least Significant Bit-LSB), En soldaki basamaa da en yksek anlaml bit-YAB (Most Significant Bit-MSB) denir

C) ONALTILIK (HEXADECMAL) SAYI SSTEM kili say sistemlerinde ok fazla basamak kullanlr. Buda hatay ve ilem sresini uzatr. kili say sisteminin daha kolay gsterilmesini salamak iin onaltlk say sistemi kullanlr. Onaltlk say sisteminde A,B,C,D,E,F harfleri kullanlmaktadr. SAYISAL DNMLER
ONLU 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 KL 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 1101 1110 1111 ONALTILI 0 1 2 3 4 5 6 7 8 9 A B C D E F

0 ile 9 arasndaki rakamlar ile

ONLU SAYI SSTEMNDEK SAYILARIN KL VE ONALTILI SAYI SSTEMLERNE DNM rnek: (39)10 saysnn ikili say sistemine evirelim Blnen 39 / 2 19 / 2 9/2 4/2 2/2 Blm 19 9 4 2 1 Kalan 1 1 1 0 0 MSB LSB

100111 rnek : (423)10 = ( ? )16 423 / 16 26 / 16 1 26 1 10 7 A 1

(39)10 = (100111)2

(423)10 = ( 1A7 )16

KL SAYI SSTEMNDEK SAYILARIN ONLU SAYILARIN ONLU VE ONALTILI SAYI SSTEMLERNE DNM rnek : (11001)2 = ( ? )10 = 1.24 + 1.23 + 0.22 + 0.21 + 1.20 = 16 + 8 = 25 + 0 + 0 + 1 (11001)2 = (25)10

rnek : (10111101110000111101)2 = ( ? )16 NOT: kili say sisteminden 16lk say sistemine geilirken saylar sadan balanarak drderli gruplara ayrlr. Eer son grup eksik kalrsa bana sfr eklenir. 1011 1101 1100 0011 1101 B D C 3 D (10111101110000111101)2 = (BDC3D)16

KODLAMA VE KODLAR

Grlebilen okunabilen yaz, say, ve iaretlerin deitirilmesi ilemine kodlama denir. Saysal karakterlerin kodlanmasna saysal kodlama (BCD kodlar) denilirken , alfabetik ve saysal karakterlerin kodlanmasn ieren kodlama yntemlerine alfasaysal kodlar denilir. Saysal Kodlar BCD Kodu Gray Kodu

BCD KODU (BNARY CODED DECMAL CODE) - 8421 KODU

10luk sistemdeki bir saynn, her bir basamann ikilik say sistemindeki karlnn yazlmas ile ortaya kan kodlama yntemine denir.

rnek: (263)10 saysn BCD kodu ile kodlayalm. 2 0010 rnek : (100110010010) BCD saysn onlu sisteme evirelim. 1001 1001 0010 9 9 2 (100110010010) BCD = (992)10 6 0110 3 0011 (263)10 = (001001100011)BCD

ZAMANLAMA LEMLER

5 tip zamanlayc mevcuttur. S_ODT(SD) Gecikmeli Zamanlayc S_ODTD(SS) S_OFFDT(SF) S_PULSE(SP) Gecikmeli ve Mhrlemeli Zamanlayc Den Kenara Gre Gecikmeli Zamanlayc Darbe Zamanl Zamanlayc

S_PEXT(SE) Uzatlm Darbe Zamanl Zamanlayc

S7 300 ailesine ait CPUlarda S7-200lerden farkl

olarak

CPUdaki

zamanlayclar, zamanlayc tiplerine gre belli sabit saylarda olacak ekilde ayrlmamtr. Projedeki ihtiyaca bal olarak istenilen tipte zamanlayc CPUnun izin verdii zamanlayc saysn amamak artyla istenildii kadar kullanlabilir.

Bunlardan drd ykselen kenar, bir tr ise den kenar ile tetiklenir. Zamanlayclar tetikleme prensibine gre alrlar ve ierikleri belirlenen zamandan 0a doru geriye akar. Her yeni gelen tetikleme sinyali ile zamanlayc ieriine belirlenen zaman yeniden yklenir ve yeniden geriye doru akmaya balar. Her bir zamanlayc iin CPUnun hafzasnda 16 bitlik bir bellek adresi ayrlmtr. Zamanlayc adedi CPUnun tipine baldr.

S7 300 CPU Tipi CPU 312 CPU 313 CPU 314 CPU 315 CPU 315-2DP CPU 318-2DP

Zamanlayc Adedi 063 0127 0127 0127 0127 0511

ZAMANLAYICI DEER(TV) Her bir zamanlayc iin CPUnun hafzasnda 16 bitlik bir bellek adresi ayrlmtr. 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

Zamanlayc iin ayrlm 16 bitlik adres alannda 0 ile 11 no.lu bitler arasna BCD formatnda zaman deeri, 2 ve 13 no.lu bitlere ise Zaman arpan(Zaman Taban) yazlr. 14 ve 15 no.lu bitler ise kullanlmamaktadr. Buna gre zamanlayclar ile 10 ms.den 2 saat 46 dakika ve 30 saniyeye kadar bir sre iin gecikme yaratlabilir. 1 1 0 1 13.BT 12.BT 0 0 0 1

ZAMAN ARPANI 0.01sn 0.1sn 1sn 10sn

Zamanlayc deerini iki farkl ekilde atamak mmkndr.

1.
k

Hexadecimal formatta atama yapma

W#16#klmn : Zaman arpan

lmn : BCD formatnda zaman deeri 1 saat,10 dakika ve 20 saniye iin TV yerine W#16#3422 yazlmaldr 7 saniye 20 milisaniye iin TV deeri olarak W#16#0702 yazlmaldr.

2. S5 Time formatnda atama yapma S5T#wH_xM_yS_zMS H: Saat M: Dakika S: Saniye MS: Milisaniye

1 saat,10 dakika ve 20 saniye iin TV yerine S5T#1H10M20S yazlmaldr. 7 saniye 20 milisaniye iin TV deeri olarak S5T#7S20MS yazlmaldr

1. S_ODT(SD) GECKMEL ZAMANLAYICI Gecikmeli Zamanlayc, Kurma(S) giriinin ykselen kenar ile zaman geriye doru akar ve ngrlen TV deeri dolduunda Q k 1 olur. Kurma girii 0 olana kadar(R=0 koulunda) veya Silme(R) giriinin 1 olmasyla k 1 olmaya devam eder. Her zaman Silme giriinin ncelii vardr.

Geerli Adresler S(bit) : I, Q, M, D, L, T, C TV : I, Q, M, D, L veya sabit R(bit): I, Q, M, D, L, T, C T.Timer C.Counter

Zaman Grafii

I124.0 I124.1

T0

Q124.0 5sn

SD

2. S_ODTS(SS) GECKMEL VE MHRLEMEL ZAMANLAYICI Gecikmeli ve Mhrlemeli Zamanlayc, Kurma(S) giriinin ykselen kenar ile zaman geriye doru akar ve ngrlen TV deeri dolduunda Q k 1 olur. Kurma girii 0 olsa bile zaman geriye doru akmaya devam eder. ngrlen TV deeri dolduunda Q k mhrlenir ve kurma giriinden yeni bir tetiklemeye kapanr. k silme(R) giriine 1 sinyali gelene kadar 1 olmaya devam eder. Silme(R) giriinin ncelii vardr.

Geerli Adresler S(bit) : I, Q, M, D, L, T, C R(bit) : I, Q, M, D, L, T, C BI(Int) : I, Q, M, D, L TV Q(bit) : I, Q, M, D, L veya sabit : I, Q, M, L, D

BI_BCD(Int) : I, Q, M, D, L

I124.0

I124.1

T1

Q124.1

3. S_OFFDT(SF) DEN KENARA GRE GECKMEL ZAMANLAYICI

Den Kenara Gre Gecikmeli Zamanlaycnn Kurma(S)

giriinin ykselen

kenar ile Q k 1 olur, den kenar ile zaman geriye doru akmaya balar ve ngrlen zaman deeri dolduunda Q k 0 olur. Zamanlaycnn ierii 0 olmadan kurma girii yeniden 1 olmas halinde zamanlaycnn ierii sabit kalr. Kurma girii yeniden 0 olmasyla da zamanlayc geriye doru kaymaya devam eder. Silme giriinin ncelii vardr.

Geerli Adresler S(bit) : I, Q, M, D, L, T, C R(bit): I, Q, M, D, L, T, C BI(Int) : I, Q, M, D, L TV : I, Q, M, D, L veya sabit Q(bit) : I, Q, M, L, D BI_BCD(Int) : I, Q, M, D, L

I124.0

I124.1

T2

Q124.2

4. S_PULSE(SP) DARBE ZAMANLI ZAMANLAYICI

Darbe Zamanl Zamanlaycnn Kurma(S) giriinin ykselen kenar ile zaman geriye doru akar ve Q k 1 olur. ngrlen TV deeri dolduunda yada kurma girii 0 olduunda Q k 0 olur. Zamann geriye doru akmas iin kurma giriinin 1 olmas gerekir. Silme giriinin ncelii vardr.

Geerli Adresler S(bit) : I, Q, M, D, L, T, C R(bit): I, Q, M, D, L, T, C BI(Int) : I, Q, M, D, L TV : I, Q, M, D, L veya sabit Q(bit) : I, Q, M, L, D BI_BCD(Int) : I, Q, M, D, L

I124.0

I124.1

T3

Q124.3

5. S_PEXT(SE) UZATILMI DARBE ZAMANLI ZAMANLAYICI

Darbe Zamanl Zamanlaycnn Kurma(S) giriinin ykselen kenar ile zaman geriye doru akar ve Q k 1 olur. Zamanlayc deeri(TV) dolduunda yada kurma girii 0 olduunda Q=0 olur. S girii 0a dtnde hala zaman geriye doru akmaya devam ediyor ise Q k ngrlen zamann sonunda sfra der.

Geerli Adresler S(bit) : I, Q, M, D, L, T, C R(bit): I, Q, M, D, L, T, C BI(Int) : I, Q, M, D, L TV : I, Q, M, D, L veya sabit Q(bit) : I, Q, M, L, D BI_BCD(Int) : I, Q, M, D, L

I124.0

I124.1

T4

Q124.4

RNEK : 3 fazl asenkron motorlarn gc 5 kWtan byk olduu zaman direk altrlamazlar. lk alma annda ar akm ekeceklerinden dolay kalknamazlar. Bu yzden gc 5 kWtan byk olan motorlar farkl yntemlerle kalkndrmak gerekir. En sk karlalan yntem yldz gen yolvermedir. Motor yldz altrlarak gen almaya oranla 1/3 orannda daha dk akm ekerek, dk momentle almaya balar. Ayarlanan sre sonunda gen almaya geilerek tam gerilim altnda motorun almas salanr.

STOP

START

ZR

ZR

ZR

RNEK : Start butonuna baslnca krmz k yanacaktr. Krmz 5 sn sonra snecek ve sar k yanacak. 10sn sonra sar snecek ve tekrar krmz yanacak. 5 sn sonra tekrar sar yanacak. alma bu ekilde periyodik olarak devam edecektir. alma stop butonu ile sonlanacaktr. ak diagramn iziniz ve ladder digramn oluturunuz

I124.0 I124.1

Q124.0 Q124.1

Merker FlagBayrak Rlesi

RNEK : Start butonuna baslnca krmz k yanacaktr. Krmz 5 sn sonra snecek ve sar k yanacak. Sar k 3 sn yanacak ve snecek . Sar snnce yeil 5 sn yanacaktr. Yeil snnce sar 3 sn yanacak ve snecektir. Sar snnce krmz yanacak ve alma periyodik olarak devam edecektir.alma stop butonu ile sonlanacaktr.Ladder digramn oluturunuz

SAYMA LEMLER

Genel zellikler Sayma ilemleri iin 3 farkl tr sayc kullanlr. leri Sayc(CU) Geri Sayc(CD) leri-Geri Sayc(CUD)

Her bir sayc iin CPUnun hafzasnda Sayc Deeri olarak adlandrlabilecek 16 bitlik bir bellek adresi ayrlmtr. Bir sayc 0dan 999a kadar sayabilir. Sayclar ileri yada geri sayma girilerine gelen sinyalin kan kenarna gre ieriini deitirirler.

Sayc adedi CPU tipine baldr.

S7 300 CPU Tipi CPU 312 CPU 313 CPU 314 CPU 315 CPU 315-2DP CPU 318-2DP

Sayc Adedi 0128 0255 0255 0255 0255 0511

12

11 0 0 1 0 0 0 0 1 0 1 1

0 1

16 bitlik Sayc Deeri adres alannda 0 ile 11 no.lu bitler arasna BCD formatnda sayc ierii kaydedilir. 12, 13, 14 ve 15 no.lu bitler ise

kullanlmamaktadr. Mesela saycnn ierii 217 deeri kurulmak istendiinde PV giriine C#217 yazlmaldr. 1. LER SAYICI (CU) leri Sayc, Sayc leri(CU) giriine gelen iaretin kan kenar ile 16 bitlik Sayc Deerinin ieriini 1 arttrr. Sayc Deerinin 0dan farkl olmas durumunda sayc Q kn 1 yapar. Kurma(S) girii gelen sinyalin kan kenar ile de Sayc Deerine PV(Preset Value) giriine yazlm olan deer aktarr. Silme(R) girii ise dier iki giriten farkl olarak kendisine bal olan sinyalin 1 olduu sre boyunca etkin olur ve Sayc Deerine 0 yazar. Sayc Deeri tamsay(Integer) formatnda BI kna, BCD formatnda ise BI_BCD kna aktarlr. Geerli Adresler CU(bit):I, Q, M, D, L PV : I, Q, M, D, L veya sabit Q(bit) : I, Q, M, L, D BI_BCD(Int) : I, Q, M, D, L S(bit) : I, Q, M, D, L R(bit): I, Q, M, D, L BI(Int) : I, Q, M, D, L

I124.0

I124.1

I124.2

6 5

Sayc

4 3 2 1 0

Q124.0

2. GER SAYICI(CD)
Geri Sayc, Sayc Geri(CD) giriine gelen iaretin kan kenar ile 16 bitlik Sayc Deerinin ieriini 1 azaltr. Sayc Deerinin 0dan farkl olduu srece sayc Q kn 1 yapar. Kurma(S) girii gelen sinyalin kan kenar ile de Sayc Deerine PV(Preset Value) giriine yazlm olan deer aktarr. Silme(R) girii ise dier iki giriten farkl olarak kendisine bal olan sinyalin 1 olduu sre boyunca etkin olur ve Sayc Deerine 0 yazar. Sayc Deeri tamsay(Integer) formatnda BI kna, BCD formatnda ise BI_BCD kna aktarlr. Geerli Adresler CU(bit):I, Q, M, D, L PV : I, Q, M, D, L veya sabit Q(bit) : I, Q, M, L, D BI_BCD(Int) : I, Q, M, D, L S(bit) : I, Q, M, D, L R(bit): I, Q, M, D, L BI(Int) : I, Q, M, D, L

I124.0

I124.1

I124.2

5 4 3 2 1 0

Q124.0

3. LER-GER SAYICI (CUD)


leri-Geri Sayc, Sayc leri(CU) giriine gelen iaretin kan kenar ile Sayc Deerinin ieriini 1 arttrr, Sayc Geri(CD) giriine gelen sinyalin kan kenar ile de Sayc Deerini 1 azaltr. Sayc Deerinin 0dan farkl olmas durumunda sayc Q kn 1 yapar. Kurma(S) girii gelen sinyalin kan kenar ile de Sayc Deerine PV(Preset Value) giriine yazlm olan deer aktarr. Silme(R) girii ise dier iki giriten farkl olarak kendisine bal olan sinyalin 1 olduu sre boyunca etkin olur ve Sayc Deerine 0 yazar. Sayc Deeri tamsay(Integer) formatnda BI kna, BCD formatnda ise BI_BCD kna aktarlr.
Geerli Adresler CU(bit):I, Q, M, D, L PV : I, Q, M, D, L veya sabit Q(bit) : I, Q, M, L, D BI_BCD(Int) : I, Q, M, D, L S(bit) : I, Q, M, D, L R(bit): I, Q, M, D, L BI(Int) : I, Q, M, D, L

I124.0

I124.1

I124.2

I124.3
7 6 5 4 3 2 1 0

Q124.0

RNEK :

KARILATIRMA KOMUTLARI Genel zellikleri eitli boyutlardaki veriler byklk, kklk veya eitlik ltlerine gre karlatrma komutlar kullanlarak deerlendirilir. Bu komutlar kullanlarak 16 bitlik tamsay(INT), 32 bitlik tamsay(DINT) ve 32 bitlik gerek saylar(FLOATING-POINT) karlatrlabilir. 6 farkl karlatrma yapmak mmkndr.

Equal Notequal Greater Than Less Than Greater Than or Equal Less Than or Equal

Eit (==) Eit deil (<>) Byk (>) Kk (<) Byk eit(>=) Kk eit(<=)

Karlatrma ileminin sonucu olumlu ise Q k 1 olur.

Blok Girii: Karlatrma komutunun hangi artlarda almas isteniyorsa onunla ilgili mantksal ifade bu ksma yazlr.

Blok k: Karlatrma ileminin olumlu sonulanmas halinde yaplacak olan iler bu ksma yazlr.

IN1 ve IN2: Karlatrlmak istenilen saysal deerler bu iki girie yazlr. Buras uygun bir hafza alan yada sabit bir deer olabilir. Geerli Adresler Blok Girii(bit):I, Q, M, D, L IN1 : I, Q, M, D, L veya sabit IN2 : I, Q, M, D, L veya sabit Blok k(bit) : I, Q, M, L, D

1. ET-EQUAL ( IN1 = IN2 ise Q=1 olur

2. ET DEL NOT EQUAL ( IN1 IN2 ise Q=1 olur

3. BYK GREATER THAN ( IN1 > IN2 ise Q=1 olur

4. BYK ET GREATER THAN OR EQUAL ( IN1 >= IN2 ise Q=1 olur

5. KK- LESS THAN ( IN1 < IN2 ise Q=1 olur

6. KK ET- LESS THAN OR EQUAL IN1 <= IN2 ise Q=1 olur

KARILATIRMA KOMUTLARININ SAYICILARLA BERABER KULLANILMASI

S7 300 ailesine ait olan CPUlardaki sayclar modellerindeki sayclara gre alma

S7 200 ailesine ait CPU olarak farkllk

prensibi

gstermektedirler. S7 200 ailesine ait CPUlarda sayclar hem sayma ilemini gerekletirirken hem de karlatrma ilemini gerekletirmektedirler. yle ki saycnn deeri PV giriine girilen deere eit yada byk ise saycnn Q k lojik 1 deerini almaktadr. Fakat S7 300lerde sayc k sayc deerinin sfrdan farkl olmas durumuna baldr. Saycnn ieriinin S7 200lerdeki gibi karlatrlmas sz konusu deildir Bu balamda sayclar karlatrma komutlar ile beraber kullanmak gerekir.

I124.0

I124.1

I124.2 I124.3

Q124.1 Q124.2

RNEK : Bir turnikenin 5. bilgiyi saynda krmz lamba yanmas, 7den byk ve 12den kk deerler iin ise siren ttrmesi isteniyor. Ladder diagram iziniz.

RNEK :

RNEK : Starta baslnca tayc bant alyor. Tayc bant zerindeki kutular sensr tarafndan alglanyor.12 adet kutu geince tayc bant geici olarak 5sn duruyor. Bu esnada tayc bandn durmasyla piston ileri karak kutular ileri itiyor ve geri

geliyor. Band tekrar alarak ilemi gerelestirmeye devam ediyor. alma stop butonu ile sonlanyor. lem Kumanda sistemini PLCde oluturunuz
Kutular Piston ileri sensr

Piston geride sensr Tayc bant Elektrik Motoru Piston

Sayma sensr

RNEK :

I124.1

I124.0

Q124.0
5sn 3sn 5sn 3sn

Q124.1

DEKEN TABLOSU ( VARIABLE TABLE VAT ) Programa bal kalmadan, bir PLCde bulunan deikenleri statik veya dinamik olarak izlemek ve gerekise deerini deitirmek iin kullanlan tablolardr. VAT tablolar CPUya download edilmezler ancak balanrlar. Saylar 65535 adet olabilir. VAT tablosunda kullanlan zel bir men bulunur.

Deiken tetikleme durumlarn ayarlar . (Variable Trigger) Deiikliin izlenmesini salar . I-O adreslemelerinde kullanlr. (Monitor Variable) Deiiklii yapar. I-O adreslemelerinde kullanlr. (Modify Variable) Memory, Timer, Counter, Data gibi elemanlarda kullanlr (Update Monitor Values) Tekrar alma durumunda deiiklii yeniler (Update Modify Values)

1)

Blocklarn bulunduu yere VAT_1 sembol ile VAT tablosu eklenir

2)

VAT1 seildiinde aadaki ekilde ekrana gelecektir.

3)

zlenecek ve deeri deitirilecek eleman adresleri tanmlanmaldr

4) 5)

simgesini seilerek izleme alr. Hangi adrese mdahale edilecekse Modify Value ksmna yeni deer girilir.

6)

Giri ve k adresleri modify edilecekse evrime bal olarak baz ayarlamalar yapmak gerekmektedir. Giri adresleri modify edilecekse : Variable Trigger ( ) seildiinde

Trigger point of modifying . Beginning of Scan Cycle Trigger Condition of Cycle. Every Cycle ayarlanmaldr. k adresleri modify edilecekse : Variable Trigger ( ) seildiinde

Trigger point of modifying . End of Scan Cycle, Trigger Condition of Cycle. .Every Cycle

Counter , Timer . gibi giri ve k deikenlerinde Trigger ayar yaplmaz 7) ksa yolu seilerek deiiklik gerekletirilir.

BR GR VEYA IKII SREKL ENERJL TUTMAK (FORCE)

Alan tabloda force edilecek adres veya adresler girilir. Force edilir. MODLE AT BLGLER

Module information modl bilgileridir. Etkin olarak kullanlan bilgiler aada rneklenmitir. 1. Diagnostic buffer : lem sras 2. Memory : Kullanlan hafza alan 3. Scan Cycle Time : evrim sresi 4. Performans Data : CPUnun zellikleri 2.

1.

3.

4.

PROGRAMIN KAYDEDLMES VE GEREKTNDE AILMASI

Programlama pencereleri kapatlmal , Simatic Managern ana safasna dnlmelidir. Bu sayfada : FILE _ ARCHIVE seilmelidir. Programa yeni bir ad verilebilir. Kayt yeride belirlenerek program kaydedilmelidir. Archivein zellii program Zip formatnda sktrarak saklamasdr. Program almak istendiinde Retrive seenei kullanlmaldr.

You might also like