You are on page 1of 27

Informe Previo Laboratorio 4 2011

Informe Previo Laboratorio 4


E.A.P. Ing. De Sistemas e Informatica

Informe Previo Laboratorio 4 2011

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS


Ao del Centenario de Machu Picchu para el Mundo

E.A.P

: Ingeniera de Sistemas e Informtica

CICLO

: 2011-Il

CURSO

: Circuitos Digitales

TEMA

: Informe Previo Laboratorio 4

APELLIDOS Y NOMBRES: CACHUN ALIPZAGA, Antonio M. . COD: 10200190

PROFESOR : Ing. Oscar Casimiro Pariasca

FECHA DE ENTREGA: Jueves 22 de Setiembre 2011

Informe Previo Laboratorio 4 2011

LABORATORIO DE CIRCUITOS DIGITALES


Laboratorio 4: Circuitos Codificadores, Decodificadores, Sumador, Multiplexores y Demultiplexores

Informe Previo I. OBJETIVO


Analizar, disear y construir circuitos lgicos combinacionales tales como codificadores, decodificadores, sumadores, multiplexores, demultiplexores y sus aplicaciones.

ll. CUESTIONARIO PREVIO


1.- Qu es un circuito codificador y un decodificador?
Decodificador Un decodificador (Ver Fig. 1.1) es un circuito combinatorio que convierte informacin binaria de n lneas de entrada a un mximo de 2n lneas nicas de salida o menos. Estos decodificadores son denominados decodificadores n-am lneas, donde m 2n. Estos dispositivos normalmente cuentan con una entrada habilitadora. Cuando esta entrada vale 0, todas las salidas del codificador son 0. Cuando la entrada habilitadora vale 1, la salida correspondiente al minitrmino formado por la combinacin presente en las n entradas tomar el valor 1 y las dems tomarn el valor 0.

Fig. 1.1

Informe Previo Laboratorio 4 2011


Codificador Un codificador es un circuito digital que ejecuta la operacin inversa de un decodificador. Un codificador tiene 2n (o menos) lneas de entrada y n lneas de salida. Las lneas de salida generan un cdigo binario correspondiente al valor de entrada binario. Ejemplo codificador de octal a binario (ver tabla 1.1).

Entradas D7 0 0 0 0 0 0 0 1 D6 0 0 0 0 0 0 1 0 D5 0 0 0 0 0 1 0 0 D4 0 0 0 0 1 0 0 0 D3 0 0 0 1 0 0 0 0 D2 0 0 1 0 0 0 0 0 D1 0 1 0 0 0 0 0 0 D0 1 0 0 0 0 0 0 0 A2 0 0 0 0 1 1 1 1

Salidas A1 0 0 1 1 0 0 1 1 A0 0 1 0 1 0 1 0 1

Tabla 1.1 Codificador

Informe Previo Laboratorio 4 2011


2.- Disear un circuito codificador de teclado decimal al cdigo BCD.
Diseando el circuito tenemos el diagrama de bloques del codificador (Fig. 2.1) y su respectiva tabla (Tabla 2.1) e implementndolo con las compuertas bsicas (Fig. 2.2).

Fig. 2.1 Dgito BCD Decimal A3 A2 A1 A0 0 1 2 3 4 5 6 7 8 9 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 0 0 1 1 0 0 0 1 0 1 0 1 0 1 0 1 Fig. 2.2

Tabla. 2.1

Informe Previo Laboratorio 4 2011


3. Analizar la operacin del decodificador 74LS47 y su uso con un
display de siete segmentos de nodo comn. Cmo hallara experimentalmente cada uno de los terminales de un display de siete segmentos de nodo comn?
El circuito 74LS47 es un integrado decodificador (Fig. 3.1) de BCD a 7 segmentos, esas salidas pueden ser conectadas a un display ya que este tiene 7 entradas que deben de acuerdo a la informacin se debe de prender o apagar una parte del display. Para hallarlo experimentalmente debemos tener en cuenta la tabla de funcin para salidas activas bajas (Tabla 3.1) y obtendramos el circuito representado mediante compuertas lgicas (Fig 3.2), despus de conocer el interior del CI se puede hallar cada uno de los terminales del display (Fig. 3.3 y 3.4).

Fig. 3.1

Fig. 3.3

Informe Previo Laboratorio 4 2011

w 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

x 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

y 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

z 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

a 1 0 1 1 0 1 1 1 1 1 x x x x x x

b 1 1 1 1 1 0 0 1 1 1 x x x x x x

c 1 1 1 1 1 1 1 1 1 1 x x x x x x

d 1 0 1 1 0 1 1 0 1 1 x x x x x x

e 1 0 0 0 0 0 1 0 1 0 x x x x x x

f 1 0 0 0 1 1 1 0 1 1 x x x x x x

g 0 0 1 1 1 1 1 1 1 1 x x x x x x

Tabla 3.1

Fig. 3.2

Informe Previo Laboratorio 4 2011

Fig. 3.4

Informe Previo Laboratorio 4 2011


4. Dibuje el diagrama lgico de un decodificador completo de 2 bits. Repita para un decodificador de 3 bits. Utilice compuertas lgicas bsicas y tambin un decodificador comercial (74155 y 74138).
Decodificador 2 a 4 lneas (2 bits) Tenemos la siguiente tabla (Tabla 4.1) y se obtiene el circuito con las representaciones lgicas (Fig. 4.1) y mediante el CI 74155 (Fig. 4.2) G 0 1 1 1 1 I1 X 0 0 1 1 I0 X 0 1 0 1 Y3 0 0 0 0 1 Y2 0 0 0 1 0 Y1 0 0 1 0 0 Y0 0 1 0 0 0

Tabla 4.1

Fig. 4.1

Informe Previo Laboratorio 4 2011

Tabla 4.2

Decodificador 3 a 8 lneas (3 bits) Tenemos la siguiente tabla (Tabla 4.2) y se obtiene el circuito con las representaciones lgicas (Fig. 4.3) y mediante el CI 74138 (Fig. 4.4)

Entradas X 0 0 0 0 1 1 1 1 Y 0 0 1 1 0 0 1 1 Z 0 1 0 1 0 1 0 1 Y0 1 0 0 0 0 0 0 0 Y1 0 1 0 0 0 0 0 0 Y2 0 0 1 0 0 0 0 0

Salidas Y3 0 0 0 1 0 0 0 0 Y4 0 0 0 0 1 0 0 0 Y5 0 0 0 0 0 1 0 0 Y6 0 0 0 0 0 0 1 0 Y7 0 0 0 0 0 0 0 1

Tabla 4.2

10

Informe Previo Laboratorio 4 2011

Fig. 4.3

Fig. 4.4

11

Informe Previo Laboratorio 4 2011


5. Analizar la operacin del decodificador 74LS155 como un
decodificador dual 2 x 4 como un decodificador simple de 3 x 8. Verifique el funcionamiento del CI. 74LS138, CI. 74LS139 y CI. 74LS154

Fig. 5.1 Funcionamiento CI 74LS155

Tabla. 5.1

12

Informe Previo Laboratorio 4 2011

Fig. 5.2 Funcionamiento CI 74LS138

Tabla. 5.2

13

Informe Previo Laboratorio 4 2011

Fig. 5.3 Funcionamiento CI 74LS154

Tabla. 5.3

14

Informe Previo Laboratorio 4 2011

Fig. 5.4 Funcionamiento CI 74LS139

Fig. 5.5 Representacin mediante C.L bsicos

15

Informe Previo Laboratorio 4 2011


6. Un circuito combinacional tiene 3 entradas X, Y, Z y 3 salidas F1, F2, F3 donde: F1 = XZ +/X /Y /Z F2 = /X Y + X /Y /Z F3 = X Y + /X /Y Z Nota : /X = X negado, etc. Disear con un CI decodificador 74LS155 y compuertas NAND.
Tendramos F1= XYZ+ZY/Z+/X/Y/Z F2=/XYZ+XY/Z+X/Y/Z F3=XY/Z+XY/Z+/X/YZ F= XYZ+XY/Z+/X/Y/Z+/XYZ+XY/Z+X/Y/Z +XY/Z+XY/Z+/X/YZ # 0 1 2 3 4 5 6 7 A 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 F 1 1 0 1 1 0 1 1

Tabla 6.1

00
0 1 F= /Y/Z+X/Z+YZ+/XZ 1 1

01
1

11
1 1

10
1

X Y

16

Informe Previo Laboratorio 4 2011

17

Informe Previo Laboratorio 4 2011


7. Disear las siguientes funciones lgicas de una o ms salidas, usando decodificadores 74LS138 74LS139 binarios y compuertas NAND (74LS10, 74LS20, 74LS30, etc): a) Fa = x,y,z (2,4,7) b) Fb = a, b, c, d (2,4,6,14) c) Fc = w, x, y (1,3,5,6) y Gc = w, x, y (2,3,4,7) d) Fd = w, x, y, z (0,1,2,3,5,7,11,13)

a) Fa = x,y,z (2,4,7) # 0 1 2 3 4 5 6 7 A 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 F 0 0 1 0 1 0 0 1

Tabla 7.a

00
0 1 1

01

11
1

10
1

18

Informe Previo Laboratorio 4 2011


b) Fb = a, b, c, d (2,4,6,14)

# 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

D 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

C 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

F 0 0 1 0 1 0 1 0 0 0 0 0 0 0 1 0

Tabla 7.b

00
00 01 11 10 1

01

11

10
1 1

D4

D6

D2 D14

19

Informe Previo Laboratorio 4 2011


c) Fc = w, x, y (1,3,5,6) # W X Y F 0 1 2 3 4 5 6 7 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 1 0

Tabla 7.c

00
0 1

01
1

11
1

10
1

20

Informe Previo Laboratorio 4 2011


d) Fd = w, x, y, z (0,1,2,3,5,7,11,13)

# 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

D 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

C 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

F 0 0 1 0 1 0 1 0 0 0 0 0 0 0 1 0

Tabla 7.d

00
00 01 11 10 1

01

11

10
1 1 1

D4

D6

D2 D14

21

Informe Previo Laboratorio 4 2011


8. Implementar utilizando el CI 7483 el sumador binario de 4 bits tal como se muestra en la figura (Fig. 8.1). Conecte las salidas S del sumador al decodificador y display del paso (3) y realice 5 sumas para verificar su funcionamiento.

Fig. 8.1

22

Informe Previo Laboratorio 4 2011


Tenemos los CI 7483 y 7447 y la tabla del CI 7447 (tabla 8.1) para comprobar.

Fig. 8.2 C.I

w 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

x 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

y 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

z 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

a 1 0 1 1 0 1 1 1 1 1 x x x x x x

b 1 1 1 1 1 0 0 1 1 1 x x x x x x

c 1 1 1 1 1 1 1 1 1 1 x x x x x x

d 1 0 1 1 0 1 1 0 1 1 x x x x x x

e 1 0 0 0 0 0 1 0 1 0 x x x x x x

f 1 0 0 0 1 1 1 0 1 1 x x x x x x

g 0 0 1 1 1 1 1 1 1 1 x x x x x x

Tabla 9.1

23

Informe Previo Laboratorio 4 2011


Comprobando el circuito implementado

C1+ A1 A2 A3 A4 0001+ 1+ B1 B2 B3 B4 0010 2 C1S1 S2 S3 S4 0011 3 Con este resultado (0011) segn la tabla del CI 7447 el display mostrara 3. C1+ A1 A2 A3 A4 0101+ 5+ B1 B2 B3 B4 0010 2 C1S1 S2 S3 S4 0111 7 Con este resultado (0111) segn la tabla del CI 7447 el display mostrara 7. C1+ A1 A2 A3 A4 0111+ 7+ B1 B2 B3 B4 0010 2 C1S1 S2 S3 S4 1001 9 Con este resultado (1001) segn la tabla del CI 7447 el display mostrara 9. C1+ A1 A2 A3 A4 0001+ 1+ B1 B2 B3 B4 0001 1 C1S1 S2 S3 S4 0010 2 Con este resultado (0001) segn la tabla del CI 7447 el display mostrara 2. C1+ A1 A2 A3 A4 0000+ 0+ B1 B2 B3 B4 1000 8 C1S1 S2 S3 S4 1000 8 Con este resultado (1000) segn la tabla del CI 7447 el display mostrara 8.

24

Informe Previo Laboratorio 4 2011


9. Qu es un circuito multiplexor y un demultiplexor? Explique
Multiplexor Los Multiplexores son circuitos Combinacionales con varias entradas (Ver ejemplo Fig. 9.1) y una nica salida de datos, estn dotados de entradas de control capaces de seleccionar una, y slo una, de las entradas de datos para permitir su transmisin desde la entrada seleccionada hacia dicha salida. En el campo de la electrnica el multiplexor se utiliza como dispositivo que puede recibir varias entradas y transmitirlas por un medio de transmisin compartido. Para ello lo que hace es dividir el medio de transmisin en mltiples canales, para que varios nodos puedan comunicarse al mismo tiempo. Una seal que est multiplexada debe demultiplexarse en el otro extremo.

Fig. 9.1 Ejemplo Multiplexor 4 a 1

Demultiplexor En electrnica digital, un demultiplexor es un circuito combinacional que tiene una entrada de informacin de datos d y n entradas de control que sirven para seleccionar una de las 2n salidas, por la que ha de salir el dato que presente en la entrada. Esto se consigue aplicando a las entradas de control la

combinacinbinaria correspondiente a la salida que se desea seleccionar. Por ejemplo, si queremos que la informacin que tenemos en la entrada d, salga por la salida S4, en la entrada de control se ha de poner, de acuerdo con el peso de la msma, el valor 100, que es el 4 en binario

25

Informe Previo Laboratorio 4 2011


10. En el siguiente circuito (Fig. 10.1) multiplexor. Conectar el circuito para obtener la funcin Y(A,B,C,D) = A B C + A /B C D + /A B /C D Hallar la tabla de verdad, donde A= MSB (bit ms significativo) D= LSB (bit menos significativo) Que MUX comercial utilizara?
Y(A, B, C, D)= A B C/D+ABCD + A /B C D + /A B /C D # 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 D 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 F 0 0 0 0 0 1 0 0 0 0 0 1 0 0 1 1

Tabla 10.1

Fig. 10.1 Utilizaria el mux 74150 que tiene 4 entradas

26

Informe Previo Laboratorio 4 2011


lll. BIBLIOGRAFA uhu.es URL:http://www.uhu.es/rafael.lopezahumada/Cursos_anteriore s/fund97_98/combinacionales.pdf Fecha de visita: 18 de Setiembre del 2011 cursodigita.wikispaces.com URL:http://cursodigita.wikispaces.com/file/view/Circuitos+L%C 3%B3gicos.pdf Fecha de visita: 17 de Setiembre del 2011 terra.es URL:http://www.terra.es/pv_obj_cache/pv_obj_id_CF46BE1B6 8235945D674EF989F5F5BF06BCA0600/filename/Tema3.PD F Fecha de visita: 17 de Setiembre del 2011 hep.fi.infn.it URL : http://hep.fi.infn.it/fisi3/74155.pdf Fecha de visita: 17 de Setiembre del 2011

27

You might also like