Professional Documents
Culture Documents
-- Engineer:
--- Create Date:
13:27:16 03/22/2010
-- Design Name:
-- Module Name:
xmatchpro64 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--- Dependencies:
--- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
---------------------------------------------------------------------------------library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity xmatchpro64 is
Port ( clk : in STD_LOGIC;
reset : in STD_LOGIC;
start : in STD_LOGIC;
udata : in STD_LOGIC_VECTOR (63 downto 0);
dataout : out STD_LOGIC_VECTOR (63 downto 0);
addrout : out STD_LOGIC_VECTOR (6 downto 0);
matchhit : out STD_LOGIC);
end xmatchpro64;
architecture Behavioral of xmatchpro64 is
component cam64
port
(clk
: in std_logic;
-- Clock
reset
: in std_logic;
-- Reset
start
: in std_logic;
-- Write
datain
: in std_logic_vector(63 downto 0);-- Tag Data
dataout
: out std_logic_vector(63 downto 0);-- Data out
mh0, mh1, mh2, mh3, mh4, mh5, mh6, mh7 : inout std_logic;
mh8,mh9,mh10,mh11,mh12,mh13,mh14,mh15 : inout std_logic;
mh16,mh17,mh18,mh19,mh20,mh21,mh22,mh23 : inout std_logic;
mh24,mh25,mh26,mh27,mh28,mh29,mh30,mh31 : inout std_logic;
mh32,mh33,mh34,mh35,mh36,mh37,mh38,mh39 : inout std_logic;
mh40,mh41,mh42,mh43,mh44,mh45,mh46,mh47 : inout std_logic;
mh48,mh49,mh50,mh51,mh52,mh53,mh54,mh55 : inout std_logic;
mh56,mh57,mh58,mh59,mh60,mh61,mh62,mh63 : inout std_logic;
mh64, mh65, mh66, mh67, mh68, mh69, mh70, mh71 : inout
std_logic;
mh72,mh73,mh74,mh75,mh76,mh77,mh78,mh79 : inout std_logic;