You are on page 1of 0

Schematic Diagrams

B - 1
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
Appendix B:Schematic Diagrams
This appendix has circuit diagrams of the C5505/C5505Q/C5505C/C5505Q-C notebooks PCBs. The following table
indicates where to find the appropriate schematic diagram.
Diagram - Page Diagram - Page Diagram - Page
System Block Diagram - Page B - 2 SB700-1 - Page B - 15 VCORE_Core - Page B - 28
Clock Generator - Page B - 3 SB700-2 - Page B - 16 0.9V, 1.8V, 1.8VS, 1.5VS - Page B - 29
CPU-1 - Page B - 4 SB700-3 - Page B - 17 1.1VS, 1.2V, 1.2VS - Page B - 30
CPU-2 - Page B - 5 SB700-4 - Page B - 18 VDD3, VDD5 - Page B - 31
CPU-3 - Page B - 6 New Card, Mini PCIE - Page B - 19 Charger, DC In - Page B - 32
CPU-4 - Page B - 7 CCD, 3G, SATA ODD - Page B - 20 Click Board - Page B - 33
DDRII SO-DIMM_0 - Page B - 8 USB, Fan, TP, Multi-Con - Page B - 21 Audio Board/USB - Page B - 34
DDRII SO-DIMM_1 - Page B - 9 Card Reader/LAN JMC261 - Page B - 22 Power Switch Board - Page B - 35
RS780M-1 - Page B - 10 SATA HDD, LED, MDC, BT - Page B - 23 External ODD Board - Page B - 36
RS780M-2 - Page B - 11 Audio Codec ALC272 - Page B - 24
RS780M-3 - Page B - 12 KBC ITE IT8502E - Page B - 25
LVDS, Inverter - Page B - 13 System PWR, 2.5V - Page B - 26
HDMI, CRT - Page B - 14
PWRGD, RST - Page B - 27
Table B - 1
Schematic
Diagrams

Version Note
The schematic dia-
grams in this chapter
are based upon ver-
sion 6-7P-C5505-002.
If your mainboard (or
other boards) are a lat-
er version, please
check with the Service
Center for updated di-
agrams (if required).
Schematic Diagrams
B - 2 System Block Diagram
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
System Block Diagram
Sheet 1 of 35
System Block
Diagram

AUDIO BOARD
6- 71-E51QN- D01
EXTER NAL O DD B OA RD
VC OR E_VD D _NB
B ATTERY CHAG ER
Port 1
SPI R OM
POW ER SW I TCH BO A RD
AMDS1G2 CPU
ATA 66/ 100/ 133
128pins LQFP
PCI E0
VC OR E_VD D _CO RE
CPU_ VD D0, CPU_ VD DD 1
USB #0
C RT C ON
C CD
D EB UG PO RT
KB C I T8502E
480 Mbps
Bl ue tooth
Port 2
IN
14*14*1.6mm
1 X 8 PCI E I /F
USB8
AC 97 2.3
33 MHz
UN BUFFE RED D D R2
SO DIM M 0
O
U
T
USB# 2
PS2
TO UCH PA D
PW RG D, RST
Channel A
SB700
PCI E3
CLEVO C5500Q
Ca rd R ea der \La n
X4
SP I I/F
0.9V ,1.8V ,
1.8V S,1.5V S
PCI E2
USB# 1
32.768 KHz
1 X 4 PCI E I /F WI TH SB
CLICK BO A RD
638-Pin uFCPGA638
LVD S/ CRT
4 X 1 PCI E I /F
SA TA I I (4PORTS)
EXTERNAL CLOCK GENERATOR
64 PI N
I CS9LPRS480
Hy per Tr ansport LI NK 0 CPU I /F
GPP PCIE INTERFACE
AZALI A H D AUDI O
Channel B
SYSTEM PW R,2. 5V
1.8V S,3.3V S,5VS, 3.3V ,1.2V S
I NT
KE YBO ARD
Port 0
USB9 or USB6
Pow e r s w i tch + Hot key X 3
100 MHz
AUD IO BO AR D
NEW CARD ONLY C4500
RS780M(C)
US B2. 0 (10)
NE W CA RD
CPU
Te mpe rat ure s en sor
DDR II
1.1V S,1.2V ,1. 2VS,1. 2V_HT
IN T RTC
CPU FAN
JM C261
PCIE
VD D 3 , VD D 5
M IN I PCI E- WL M I NIPCI E- 3G
I NTEGRATED GRAP HIC S
USB4
USB X 1
6-7P-C5505-002
PCB 5? 1 ? ? :
6-71-C5500-D02
6-71-E51Q2-D01A
6-71-C4508-D02A
6-71-E51QS-D01A
6-71-E51QN-D01
6- 71-E51Q2-D01
USB 2.0
USB7
LPC I/ F
AC PI 1. 1
6- 71-C4508-D02A
LPC
HD MI CO N
UN BUFFE RED D D R2
SO DIM M 1
USB5
6- 71-E51QS- D01
CHAR GE R,D C I N
32.768 KHz
LV DS CO N
HD T
HyperTransport (HT)
He a dphon e Jac k
ALC 272 CO DE C/
TPA6017 AMP HD AUDIO I/F
I nt Spe a ker
MI C In Ja c k
MD C
24 MHz
549PIN FC BGA
SATA I/F
HD D
SATA# 1
SATA O DD
SATA #4
AUDIO BOARD
R
L
I NT M IC
465PI N FC BGA
I nt Spe a ker
Schematic Diagrams
Clock Generator B - 3
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
Clock Generator

0514- J
add f or SB710
S E L _ 27 M Hz
P C I E _ E XP CA RD _C L K RE Q #
Z0 2 0 6
Placenextto VDD48
2008/03/18
W L AN_ C LK R E Q #
Z0 2 0 4
P CI E _ E XP C ARD _ CL K R E Q#
W L AN _ CL K R E Q#
Z0 2 0 2
1 0 0 MH z n o n -s pre a d i ng d i ff ere n ti a l S ATA cl o c k
1 0 0 MH z s p re a di n g d i f fe re n ti a l S R C c l oc k
S E L _ 2 7M Hz
1 0 0 MH z s p re a di n g d i f fe re n ti a l S R C c l oc k
S E L _ S A T A
0 *
S E L _ HT T 6 6
2 7 M Hz si n g l e d c l oc k
1
1 0 0 M Hz d i f fe re n ti al H TT c l o ck
0 *
1 *
* d e fa u l t
6 6 MH z 3 . 3 V s i ng l e en d e d HT T c l o c k
0
1
Pl ac e v ery
cl o se t o U1
P la ce w ithi n 0 .5" of
C LK GEN
S E L_ 2 7 MH z
S E L_ H T6 6
C2 7 0
. 1 U_ 1 6 V_ 0 4
R 14 2
* 8 . 2 K _0 4
R1 3 5
*8 . 2 K _ 04
RN 3 1 * 0 _4 P 2 R _0 4 _ 4 mi l _ sh o rt 1
2 3
4
C2 9 3
. 1 U_ 1 6V _0 4
L 2 5 HC B1 60 8 K F -1 2 1 T2 5 -0 6
RN 3 4 * 0 _4 P 2 R _0 4 _ 4 mi l _ sh o rt 1
2 3
4
R1 3 4
* 8. 2 K _ 0 4
R1 5 1
9 0. 9 _ 1 % _ 06
C2 5 8
. 1U _ 16 V_ 0 4
U4
I C S 9 LP RS 4 8 0
VD DA_ 2 7
4
G ND A_2 7
7
VD DR E F
5 6
G ND RE F
6 0
VD DS AT A
4 0
G ND S AT A
4 3
VD D4 8
6 3
G ND 48
1
VD DC P U
4 8
G ND CP U
4 6
VD DH TT
5 5
G ND HT T
5 2
VD DAT I G
2 6
VD DS R C_ I O 1
1 1
VD DS R C
1 6 VD DS B_ S R C
3 5
G ND ATI G1
2 4
G ND S RC 1
1 0
G ND S RC 2
1 8
G ND S B_ S RC
3 3
X1
6 1
X2
6 2
C LK R E Q 2#
4 4
S M BCL K
2
S M BDAT
3
P D #
5 1
RE F 0/S E L _H T T6 6
5 9
R E F 1 /S E L _ S AT A
5 8
R E F 2/ S E L _2 7
5 7
C P UK G0 T _L P R S
5 0
C P U K G0 C _L P R S
4 9
A TI G0 T _L P R S
3 0
AT I G0 C _L P R S
2 9
A TI G1 T _L P R S
2 8
AT I G1 C _L P R S
2 7
S B_ S R C0 T _L P R S
3 7
S B_ S R C0 C _L P R S
3 6
S B_ S R C1 T _L P R S
3 2
S B_ S R C1 C _L P R S
3 1
S R C0 T _L P R S
2 2
S R C0 C _L P R S
2 1
S R C1 T _L P R S
2 0
S R C1 C _L P R S
1 9
S R C2 T _L P R S
1 5
S R C2 C _L P R S
1 4
S R C3 T _L P R S
1 3
S R C3 C _L P R S
1 2
S R C4 T _L P R S
9
S R C4 C _L P R S
8
S RC 7 T_ L P R S /2 7M Hz _ S S
6
S RC 7 C_ L P RS / 27 M Hz _N S
5
S R C6 C / S AT AC _L P R S
4 1 S RC 6 T / S AT AT _L P R S
4 2
HT T 0 T/ 66 M _L P R S
5 4
H TT 0 C/ 66 M _L P R S
5 3
4 8 MH z_ 0
6 4
C LK R E Q 0#
2 3
C LK R E Q 1#
4 5
VD DAT I G _I O
2 5
VD DC P U_ I O
4 7
VD DS B_ S R C _ I O
3 4
VD DS R C_ I O 2
1 7
C LK R E Q 3#
3 9
C LK R E Q 4#
3 8
T h erm a l _ GN D1
G ND 1
T h erm a l _ GN D2
G ND 2
T h erm a l _ GN D3
G ND 3
T h erm a l _ GN D4
G ND 4
T h er ma l _ GN D5
G ND 5
T h er ma l _ GN D6
G ND 6
T h er ma l _ GN D7
G ND 7
T h er ma l _ GN D8
G ND 8
T h er ma l _ GN D9
G ND 9
RN 3 6 * 0 _4 P 2 R _0 4 _ 4 mi l _ sh o rt 1
2 3
4
C2 9 1
. 1 U _ 16 V_ 0 4
C5 2 7
1 0U _ 6. 3 V_ 0 8
R 1 76 3 3 _ 1 %_ 0 4
C 24 0
. 1 U _ 16 V_ 0 4
R1 5 8 1 5 8 _ 1% _ 0 6
RN 3 7 * 0 _4 P 2 R _0 4 _ 4 mi l _ sh o rt 1
2 3
4
R1 5 9
* 8 . 2K _0 4
R1 3 3 8 . 2 K _0 4
C 2 8 1
2 7 P _ 5 0 V_0 4
C 2 59
. 1 U _1 6 V_ 0 4
L 5 4 HC B1 60 8 K F -1 2 1 T 25 -0 6
R 15 0
8 . 2 K _ 0 4
L3 2 H CB1 6 0 8K F -1 21 T 2 5 -06
C 3 2 1
1 0 U _6 . 3 V_ 0 8
R1 5 2
* 8. 2K _ 0 4
C2 3 7
. 1 U_ 1 6 V_ 0 4
C2 9 2
. 1 U_ 1 6 V_0 4
L 3 1 HC B1 60 8 K F -1 2 1 T2 5 -0 6
C2 6 0
. 1U _ 1 6V_ 0 4
RN 3 2 * 0 _4 P 2 R _0 4 _ 4 mi l _ sh o rt 1
2 3
4
SB_ 14.318M_OSC14
C 2 39
. 1U _ 16 V_ 0 4
RN 3 0 * 0 _4 P 2 R _0 4 _ 4 mi l _ sh o rt 1
2 3
4
RN 3 5 * 0 _4 P 2 R _0 4 _ 4 mi l _ sh o rt 1
2 3
4
R1 7 9
* 0 _0 4 0 2 _5 m i l _s h o rt
C 2 90
. 1 U _1 6 V_ 0 4
R1 4 3
8 . 2K _0 4
C2 4 9
* 1U _ 1 6V_ 0 6
C 2 38
. 1 U _1 6 V_ 0 4
R1 7 5
*1 M_ 0 4
C3 2 0
27 P _ 5 0 V_ 04
C 2 4 1
. 1 U_ 1 6 V_ 0 4
C2 8 9
. 1 U _1 6 V_ 0 4
X2
1 4 . 31 8 MH z
1 2
R1 6 0
* 8 . 2K _0 4
RN 3 3 * 0 _4 P 2 R _0 4 _ 4 mi l _ sh o rt 1
2 3
4
C 3 0 6
1 U _ 16 V_ 0 6
CL K _ VDD
CL K _ VD D
1. 2 VS
CL K _ V D D
CL K _ V D D
3 . 3 VS
CL K _ VDD
C L K _ VDD
CL K _ VD DI O
C L K _ VDD I O
CPU_CLKP 5
C L K _ VDD
Z0 2 0 3
NBGFX_CLKN 10
NBGFX_CLKP 10
CPU_CLKN 5
Z0 2 0 1
SBLINK_CLKP 10
SBSRC_CLKP 14
SBSRC_CLKN 14
SBLINK_CLKN10
P CI E _P E 2_ C L K P _ R
PCIE_EXPCARD_ CLKN18
PCIE_EXPCARD_ CLKP 18
P CI E _P E 1_ C L K N_ R
P CI E _P E 3_ C L K N_ R
P CI E _P E 3_ C L K P _ R
P CI E _P E 2_ C L K N_ R
P CI E _P E 1_ C L K P _ R
PCIE_WLAN_ CLKP 18
PCIE_CR_CLKP 21
PCIE_CR_CLKN21
P C I E _ E XP CA RD _C L K P _ R
P C I E _ E XP CA RD _C L K N_ R
NBHT_CLKN10
NBHT_CLKP 10
PCIE_WLAN_ CLKN18
S E L_ S AT A
S CL K 0 7 , 8 , 1 5
S DA TA0 7 , 8 , 1 5
CLK_48M_USB 15
Z0 20 8
W L AN _ CL K R E Q# 1 8
P C I E _ E XP CAR D_ C LK RE Q # 15 , 1 8
NB_OSC 10
Z 02 0 5
S E L _ HT 6 6
NBG P P _ CL K P _R
NBG P P _ CL K N _ R
Z0 20 7
NBG F X_ CL K P _ R
NBG F X_ CL K N _R
CP U _ CL K P _ R
CP U _ CL K N _R
GF X_ CL K N _ R
GF X_ CL K P _R
R 44 6
*0 _ 0 4 02 _ 5 m i l _ s ho rt
RS780 1.1 V
S E L _ S AT A
Layout note:
PLACE CRYSTAL WITHIN 500
MILS OF ICS9LPRS480
S BS R C_ CL K P _R
S BS R C_ CL K N _ R
NBS LI N K _ CL K P _ R
NBS LI N K _ CL K N _ R
NBH T RE F _ C L K N_ R
NBH T RE F _ C L K P _ R Z0 2 0 9
Z0 2 1 0
Z0 2 1 1
Cl ockchip hasinternal seri al terminations
fordifferencial pairs, external resistors are
reservedfor debugpurpose.
Sheet 2 of 35
Clock Generator
Schematic Diagrams
B - 4 CPU-1
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
CPU-1
Sheet 3 of 35
CPU-1

* If VL DT i s con ne cte d o nl y o n o ne si d e,
o ne 4.7u F cap sh ou l d b e ad de d t o
t he i sl and si d e
1.5A
60MIL
1.5A
60MIL
Place close to socket
C440
4.7U_6.3V_06
C447
4.7U_6.3V_06
C452
.22U_10V_04
C451
.22U_10V_04
HTLINK
U15A
SOCKET_638_PIN
VLDT_A3
D4 VLDT_A2
D3 VLDT_A1
D2 VLDT_A0
D1
VLDT_B3
AE5 VLDT_B2
AE4 VLDT_B1
AE3 VLDT_B0
AE2
L0_CADIN_H15
N5
L0_CADIN_L15
P5
L0_CADIN_H14
M3
L0_CADIN_L14
M4
L0_CADIN_H13
L5
L0_CADIN_L13
M5
L0_CADIN_H12
K3
L0_CADIN_L12
K4
L0_CADIN_H11
H3
L0_CADIN_L11
H4
L0_CADIN_H10
G5
L0_CADIN_L10
H5
L0_CADIN_H9
F3
L0_CADIN_L9
F4
L0_CADIN_H8
E5
L0_CADIN_L8
F5
L0_CADIN_H7
N3
L0_CADIN_L7
N2
L0_CADIN_H6
L1
L0_CADIN_L6
M1
L0_CADIN_H5
L3
L0_CADIN_L5
L2
L0_CADIN_H4
J 1
L0_CADIN_L4
K1
L0_CADIN_H3
G1
L0_CADIN_L3
H1
L0_CADIN_H2
G3
L0_CADIN_L2
G2
L0_CADIN_H1
E1
L0_CADIN_L1
F1
L0_CADIN_H0
E3
L0_CADIN_L0
E2
L0_CADOUT_H15
T4
L0_CADOUT_L15
T3
L0_CADOUT_H14
V5
L0_CADOUT_L14
U5
L0_CADOUT_H13
V4
L0_CADOUT_L13
V3
L0_CADOUT_H12
Y5
L0_CADOUT_L12
W5
L0_CADOUT_H11
AB5
L0_CADOUT_L11
AA5
L0_CADOUT_H10
AB4
L0_CADOUT_L10
AB3
L0_CADOUT_H9
AD5
L0_CADOUT_L9
AC5
L0_CADOUT_H8
AD4
L0_CADOUT_L8
AD3
L0_CADOUT_H7
T1
L0_CADOUT_L7
R1
L0_CADOUT_H6
U2
L0_CADOUT_L6
U3
L0_CADOUT_H5
V1
L0_CADOUT_L5
U1
L0_CADOUT_H4
W2
L0_CADOUT_L4
W3
L0_CADOUT_H3
AA2
L0_CADOUT_L3
AA3
L0_CADOUT_H2
AB1
L0_CADOUT_L2
AA1
L0_CADOUT_H1
AC2
L0_CADOUT_L1
AC3
L0_CADOUT_H0
AD1
L0_CADOUT_L0
AC1
L0_CLKIN_H1
J 5
L0_CLKIN_L1
K5
L0_CLKIN_H0
J 3
L0_CLKIN_L0
J 2
L0_CTLIN_H1
P3
L0_CTLIN_L1
P4
L0_CTLIN_H0
N1
L0_CTLIN_L0
P1
L0_CLKOUT_H1
Y4
L0_CLKOUT_L1
Y3
L0_CLKOUT_H0
Y1
L0_CLKOUT_L0
W1
L0_CTLOUT_H1
T5
L0_CTLOUT_L1
R5
L0_CTLOUT_H0
R2
L0_CTLOUT_L0
R3
C441
180P_NPO_50V_04
C442
180P_NPO_50V_04
C443
4.7U_6.3V_06
1.2VS
1.2VS
HT_NB_CPU_CAD_L0 9
HT_CPU_NB_CAD_H0 9
1.2VS
HT_NB_CPU_CAD_H2 9
HT_NB_CPU_CAD_L1 9
HT_NB_CPU_CAD_H1 9
HT_NB_CPU_CAD_H4 9
HT_NB_CPU_CAD_L3 9
HT_NB_CPU_CAD_H3 9
HT_NB_CPU_CAD_L2 9
HT_NB_CPU_CAD_L5 9
HT_NB_CPU_CAD_H5 9
HT_NB_CPU_CAD_L4 9
HT_NB_CPU_CAD_H7 9
HT_NB_CPU_CAD_L6 9
HT_NB_CPU_CAD_H6 9
HT_NB_CPU_CAD_L8 9
HT_NB_CPU_CAD_H8 9
HT_NB_CPU_CAD_L7 9
HT_NB_CPU_CAD_H10 9
HT_NB_CPU_CAD_L9 9
HT_NB_CPU_CAD_H9 9
HT_NB_CPU_CAD_H12 9
HT_NB_CPU_CAD_L11 9
HT_NB_CPU_CAD_H11 9
HT_NB_CPU_CAD_L10 9
HT_NB_CPU_CAD_L13 9
HT_NB_CPU_CAD_H13 9
HT_NB_CPU_CAD_L12 9
HT_NB_CPU_CAD_H15 9
HT_NB_CPU_CAD_L14 9
HT_NB_CPU_CAD_H14 9
HT_NB_CPU_CLK_L0 9
HT_NB_CPU_CLK_H0 9
HT_NB_CPU_CAD_L15 9
HT_NB_CPU_CTL_H0 9
HT_NB_CPU_CLK_L1 9
HT_NB_CPU_CLK_H1 9
HT_CPU_NB_CAD_L0 9
HT_NB_CPU_CTL_L1 9
HT_NB_CPU_CTL_H1 9
HT_NB_CPU_CTL_L0 9
HT_CPU_NB_CAD_H2 9
HT_CPU_NB_CAD_L1 9
HT_CPU_NB_CAD_H1 9
HT_CPU_NB_CAD_L3 9
HT_CPU_NB_CAD_H3 9
HT_CPU_NB_CAD_L2 9
HT_CPU_NB_CAD_H5 9
HT_CPU_NB_CAD_L4 9
HT_CPU_NB_CAD_H4 9
HT_CPU_NB_CAD_L6 9
HT_CPU_NB_CAD_H6 9
HT_CPU_NB_CAD_L5 9
HT_CPU_NB_CAD_L8 9
HT_CPU_NB_CAD_H8 9
HT_CPU_NB_CAD_L7 9
HT_CPU_NB_CAD_H7 9
HT_CPU_NB_CAD_H10 9
HT_CPU_NB_CAD_L9 9
HT_CPU_NB_CAD_H9 9
HT_CPU_NB_CAD_L11 9
HT_CPU_NB_CAD_H11 9
HT_CPU_NB_CAD_L10 9
HT_CPU_NB_CAD_H13 9
HT_CPU_NB_CAD_L12 9
HT_CPU_NB_CAD_H12 9
HT_CPU_NB_CAD_L14 9
HT_CPU_NB_CAD_H14 9
HT_CPU_NB_CAD_L13 9
HT_CPU_NB_CLK_L0 9
HT_CPU_NB_CLK_H0 9
HT_CPU_NB_CAD_L15 9
HT_CPU_NB_CAD_H15 9
HT_CPU_NB_CTL_H0 9
HT_CPU_NB_CLK_L1 9
HT_CPU_NB_CLK_H1 9
HT_CPU_NB_CTL_L1 9
HT_CPU_NB_CTL_H1 9
HT_CPU_NB_CTL_L0 9
HT_NB_CPU_CAD_H0 9
Schematic Diagrams
CPU-2 B - 5
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
CPU-2
Sheet 4 of 35
CPU-2

C 49 9
4 . 7 U_ 6 . 3V _0 6
C4 9 2
* . 22 U _1 0 V_ 0 4
CP U _ M_ VRE F _S U S
C4 8 3
10 0 0 P _X7 R_ 5 0 V_ 04
C4 9 1
*4 . 7U _ 6. 3 V_ 0 6
R3 0 1 39 . 2 _ 1 %_ 0 4
C8 6
18 0 P _ NP O _ 50 V_ 0 4
C4 9 3
4. 7 U _6 . 3 V_ 0 6
C 11 5
. 1U _ X7 R _1 0 V_ 04
C 4 85
. 2 2 U_ 1 0 V_0 4
ME M :CM D/C T RL / CL K
U 15 B
S O CK E T_ 6 3 8_ P I N
VTT 1
D 1 0
VTT 2
C 1 0
VTT 3
B1 0
VTT 4
AD 1 0
VT T5
W 10
VT T6
A C1 0
VT T7
A B10
VT T8
A A10
VT T9
A 10
MA1 _ OD T1
V1 9 MA1 _ OD T0
U 2 1 MA0 _ OD T1
V2 2 MA0 _ OD T0
T 1 9
MB1 _ OD T0
Y 2 6 MB0 _ OD T1
W 23 MB0 _ OD T0
W 26
R S VD_ M2
B 18
M B1 _C S _ L0
U 2 2 M B0 _C S _ L1
W 25 M B0 _C S _ L0
V 26
MA0 _ CS _ L 1
U 1 9
MA1 _ CS _ L 1
V2 0 MA1 _ CS _ L 0
U 2 0
MA0 _ CS _ L 0
T 2 0
MA_ ADD 1 5
K 1 9 MA_ ADD 1 4
K 2 4 MA_ ADD 1 3
V2 4 MA_ ADD 1 2
K 2 0 MA_ ADD 1 1
L 2 2 MA_ ADD 1 0
R 2 1 MA_ ADD 9
K 2 2 MA_ ADD 8
L 1 9 MA_ ADD 7
L 2 1 MA_ ADD 6
M 2 4 MA_ ADD 5
L 2 0 MA_ ADD 4
M 2 2 MA_ ADD 3
M 1 9 MA_ ADD 2
N 2 2 MA_ ADD 1
M 2 0 MA_ ADD 0
N 2 1
MA_ BAN K 2
J 2 1 MA_ BAN K 1
R 2 3 MA_ BAN K 0
R 2 0
MA_ RAS _L
R 1 9
MA_ CAS _L
T 2 2
MA_ W E _ L
T 2 4
ME M ZP
AF 1 0
ME M ZN
AE 1 0
VT T _S E NS E
Y 1 0
M E MV RE F
W 17
MA_ CL K _ H4
P 1 9
MA_ CL K _ L 4
P 2 0
MA_ CL K _ H7
Y 1 6
MA_ CL K _ L 7
AA1 6
MA_ CL K _ H1
E 1 6
MA_ CL K _ L 1
F 1 6
MA_ CL K _ H5
N 1 9
MA_ CL K _ L 5
N 2 0
MB_ CL K _ H4
R 2 6
M B_ CL K _ L4
R 2 5
MB_ CL K _ H7
A F 18
M B_ CL K _ L7
A F 17
MB_ CL K _ H1
A 17
M B_ CL K _ L1
A 18
MB_ CL K _ H5
P 22
M B_ CL K _ L5
R 2 2
MA_ CK E 0
J 2 2
MA_ CK E 1
J 2 0 M B_ CK E 0
J 2 5
M B_ CK E 1
H 2 6
M B_ ADD 15
J 2 4 M B_ ADD 14
J 2 3 M B_ ADD 13
W 24 M B_ ADD 12
L 2 5 M B_ ADD 11
L 2 6 M B_ ADD 10
T 2 6 M B_A DD9
K 26 M B_A DD8
M 2 6 M B_A DD7
L 2 4 M B_A DD6
N 2 5 M B_A DD5
L 2 3 M B_A DD4
N 2 6 M B_A DD3
N 2 3 M B_A DD2
P 26 M B_A DD1
N 2 4 M B_A DD0
P 24
M B_ BANK 2
J 2 6 M B_ BANK 1
U 2 6 M B_ BANK 0
R 2 4
MB_ R AS _L
U 2 5
MB_ C AS _L
U 2 4
M B_W E _L
U 2 3
RS VD _M 1
H 1 6
C 10 5
1 0 00 P _ X7 R_ 5 0V_ 0 4
C8 5
* 1 00 0 P _ X7 R _5 0 V_ 0 4
C 49 0
*1 8 0P _ N P O_ 5 0 V_0 4
C4 95
1 0 0 0P _X7 R_ 5 0 V_0 4
C 50 0
4 . 7 U_ 6 . 3 V_0 6
C4 8 8
1 8 0 P _N P O_ 5 0 V_ 04
R9 6
1K _ 0 4 _1 %
C4 7 7
*. 2 2 U_ 1 0 V_0 4
C 47 8
*1 00 0 P _ X7R _ 50 V_ 0 4
C 4 89
. 2 2 U_ 1 0 V_ 04
PLACETHEM CLOSE TO
CPUWITHIN1"
R2 9 3 39 . 2 _ 1 %_ 0 4
C 48 4
18 0 P _ NP O _ 50 V_ 0 4
ME M :DAT A
U1 5C
S O CK E T _ 6 38 _ P I N
MB_ D ATA 63
AD1 1 MB_ D ATA 62
AF 1 1 MB_ D ATA 61
AF 1 4 MB_ D ATA 60
AE 1 4 MB_ D ATA 59
Y 1 1 MB_ D ATA 58
AB1 1 MB_ D ATA 57
AC1 2 MB_ D ATA 56
AF 1 3 MB_ D ATA 55
AF 1 5 MB_ D ATA 54
AF 1 6 MB_ D ATA 53
AC1 8 MB_ D ATA 52
AF 1 9 MB_ D ATA 51
AD1 4 MB_ D ATA 50
AC1 4 MB_ D ATA 49
AE 1 8 MB_ D ATA 48
AD1 8 MB_ D ATA 47
AD2 0 MB_ D ATA 46
AC2 0 MB_ D ATA 45
AF 2 3 MB_ D ATA 44
AF 2 4 MB_ D ATA 43
AF 2 0 MB_ D ATA 42
AE 2 0 MB_ D ATA 41
AD2 2 MB_ D ATA 40
AC2 2 MB_ D ATA 39
AE 2 5 MB_ D ATA 38
AD2 6 MB_ D ATA 37
AA2 5 MB_ D ATA 36
AA2 6 MB_ D ATA 35
AE 2 4 MB_ D ATA 34
AD2 4 MB_ D ATA 33
AA2 3 MB_ D ATA 32
AA2 4 MB_ D ATA 31
G2 4 MB_ D ATA 30
G2 3 MB_ D ATA 29
D2 6 MB_ D ATA 28
C2 6 MB_ D ATA 27
G2 6 MB_ D ATA 26
G2 5 MB_ D ATA 25
E 2 4 MB_ D ATA 24
E 2 3 MB_ D ATA 23
C2 4 MB_ D ATA 22
B2 4 MB_ D ATA 21
C2 0 MB_ D ATA 20
B2 0 MB_ D ATA 19
C2 5 MB_ D ATA 18
D2 4 MB_ D ATA 17
A2 1 MB_ D ATA 16
D2 0 MB_ D ATA 15
D1 8 MB_ D ATA 14
C1 8 MB_ D ATA 13
D1 4 MB_ D ATA 12
C1 4 MB_ D ATA 11
A2 0 MB_ D ATA 10
A1 9 MB_ D ATA 9
A1 6 MB_ D ATA 8
A1 5 MB_ D ATA 7
A1 3 MB_ D ATA 6
D1 2 MB_ D ATA 5
E 1 1 MB_ D ATA 4
G1 1 MB_ D ATA 3
B1 4 MB_ D ATA 2
A1 4 MB_ D ATA 1
A1 1 MB_ D ATA 0
C1 1
M A_ DAT A6 3
AA1 2 M A_ DAT A6 2
AB1 2 M A_ DAT A6 1
AA1 4 M A_ DAT A6 0
AB1 4 M A_ DAT A5 9
W 1 1 M A_ DAT A5 8
Y 1 2 M A_ DAT A5 7
AD1 3 M A_ DAT A5 6
AB1 3 M A_ DAT A5 5
AD1 5 M A_ DAT A5 4
AB1 5 M A_ DAT A5 3
AB1 7 M A_ DAT A5 2
Y 1 7 M A_ DAT A5 1
Y 1 4 M A_ DAT A5 0
W 1 4 M A_ DAT A4 9
W 1 6 M A_ DAT A4 8
AD1 7 M A_ DAT A4 7
Y 1 8 M A_ DAT A4 6
AD1 9 M A_ DAT A4 5
AD2 1 M A_ DAT A4 4
AB2 1 M A_ DAT A4 3
AB1 8 M A_ DAT A4 2
AA1 8 M A_ DAT A4 1
AA2 0 M A_ DAT A4 0
Y 2 0 M A_ DAT A3 9
AA2 2 M A_ DAT A3 8
Y 2 2 M A_ DAT A3 7
W 2 1 M A_ DAT A3 6
W 2 2 M A_ DAT A3 5
AA2 1 M A_ DAT A3 4
AB2 2 M A_ DAT A3 3
AB2 4 M A_ DAT A3 2
Y 2 4 M A_ DAT A3 1
H2 2 M A_ DAT A3 0
H2 0 M A_ DAT A2 9
E 2 2 M A_ DAT A2 8
E 2 1 M A_ DAT A2 7
J 1 9 M A_ DAT A2 6
H2 4 M A_ DAT A2 5
F 2 2 M A_ DAT A2 4
F 2 0 M A_ DAT A2 3
C2 3 M A_ DAT A2 2
B2 2 M A_ DAT A2 1
F 1 8 M A_ DAT A2 0
E 1 8 M A_ DAT A1 9
E 2 0 M A_ DAT A1 8
D2 2 M A_ DAT A1 7
C1 9 M A_ DAT A1 6
G1 8 M A_ DAT A1 5
G1 7 M A_ DAT A1 4
C1 7 M A_ DAT A1 3
F 1 4 M A_ DAT A1 2
E 1 4 M A_ DAT A1 1
H1 7 M A_ DAT A1 0
E 1 7 MA _D AT A9
E 1 5 MA _D AT A8
H1 5 MA _D AT A7
E 1 3 MA _D AT A6
C1 3 MA _D AT A5
H1 2 MA _D AT A4
H1 1 MA _D AT A3
G1 4 MA _D AT A2
H1 4 MA _D AT A1
F 1 2 MA _D AT A0
G1 2
MB_ D M7
AD1 2 MB_ D M6
AC1 6 MB_ D M5
AE 2 2 MB_ D M4
AB2 6 MB_ D M3
E 2 5 MB_ D M2
A2 2 MB_ D M1
B1 6 MB_ D M0
A1 2
MB_ D QS _ H7
AF 1 2
MB_ D QS _ L 7
AE 1 2
MB_ D QS _ H6
AE 1 6
MB_ D QS _ L 6
AD1 6
MB_ D QS _ H5
AF 2 1
MB_ D QS _ L 5
AF 2 2
MB_ D QS _ H4
AC2 5
MB_ D QS _ L 4
AC2 6
MB_ D QS _ H3
F 2 6
MB_ D QS _ L 3
E 2 6
MB_ D QS _ H2
A2 4
MB_ D QS _ L 2
A2 3
MB_ D QS _ H1
D1 6
MB_ D QS _ L 1
C1 6
MB_ D QS _ H0
C1 2
MB_ D QS _ L 0
B1 2
M A_ DM 7
Y 1 3 M A_ DM 6
AB1 6 M A_ DM 5
Y 1 9 M A_ DM 4
AC2 4 M A_ DM 3
F 2 4 M A_ DM 2
E 1 9 M A_ DM 1
C1 5 M A_ DM 0
E 1 2
M A_D QS _H 7
W 1 2
MA_ D QS _ L 7
W 1 3
M A_D QS _H 6
Y 1 5
MA_ D QS _ L 6
W 1 5
M A_D QS _H 5
AB1 9
MA_ D QS _ L 5
AB2 0
M A_D QS _H 4
AD2 3
MA_ D QS _ L 4
AC2 3
M A_D QS _H 3
G2 2
MA_ D QS _ L 3
G2 1
M A_D QS _H 2
C2 2
MA_ D QS _ L 2
C2 1
M A_D QS _H 1
G1 6
MA_ D QS _ L 1
G1 5
M A_D QS _H 0
G1 3
MA_ D QS _ L 0
H1 3
R 97
1K _ 0 4 _1 %
0 . 9 V
0 . 9 V
1. 8 V
0 . 9 V
CP U _M _ VRE F _ S U S
M E M_ MA_ AD D[0 . . 1 5 ] 7
1. 8 V
ME M_ MA_ BAN K 1 7
ME M_ MA_ BAN K 0 7
M E M_ M B_D AT A[0 . . 63 ] 8
ME M_ MA_ W E # 7
ME M_ MA_ C AS # 7
ME M_ MA_ R AS # 7
ME M_ MA_ BAN K 2 7
M E M_ M A0 _C S #0 7
M E M_ M A0 _O DT 1 7
M E M_ M A0 _O DT 0 7
M E M_ M A_ CK E 1 7
M E M_ M A_ CK E 0 7
M E M_ M A0 _C S #1 7
ME M_ MA_ C LK 7 _ P 7
ME M_ MA_ C LK 1 _ N 7
ME M_ MA_ C LK 1 _ P 7
ME M _ MB0 _ OD T1 8
ME M _ MB0 _ OD T0 8
ME M_ MA_ C LK 7 _ N 7
ME M _ MB_ CK E 1 8
ME M _ MB_ CK E 0 8
ME M _ MB0 _ CS #1 8
ME M _ MB0 _ CS #0 8
ME M _ MB_ CL K 7 _ P 8
ME M _ MB_ CL K 1 _ N 8
ME M _ MB_ CL K 1 _ P 8
ME M _ MB_ BAN K 0 8
ME M _ MB_ ADD [0 . . 1 5] 8
ME M _ MB_ CL K 7 _ N 8
ME M _ MB_ RAS # 8
ME M _ MB_ BAN K 2 8
ME M _ MB_ BAN K 1 8
ME M _M B_ DM [0. . 7] 8
ME M _ MB_ W E # 8
ME M _ MB_ CAS # 8
ME M _M B_ DQ S 1 _N 8
ME M _M B_ DQ S 1 _P 8
ME M _M B_ DQ S 0 _N 8
ME M _M B_ DQ S 0 _P 8
ME M _M B_ DQ S 3 _P 8
ME M _M B_ DQ S 2 _N 8
ME M _M B_ DQ S 2 _P 8
ME M _M B_ DQ S 4 _N 8
ME M _M B_ DQ S 4 _P 8
ME M _M B_ DQ S 3 _N 8
ME M _M B_ DQ S 6 _P 8
ME M _M B_ DQ S 5 _N 8
ME M _M B_ DQ S 5 _P 8
ME M _M B_ DQ S 7 _N 8
ME M _M B_ DQ S 7 _P 8
ME M _M B_ DQ S 6 _N 8
ME M _M A_ DQ S 0_ N 7
ME M _M A_ DQ S 0_ P 7
M E M_ MA_ D M[0 . . 7 ] 7
M E M_ MA_ D AT A[0. . 63 ] 7
ME M _M A_ DQ S 2_ P 7
ME M _M A_ DQ S 1_ N 7
ME M _M A_ DQ S 1_ P 7
ME M _M A_ DQ S 3_ N 7
ME M _M A_ DQ S 3_ P 7
ME M _M A_ DQ S 2_ N 7
ME M _M A_ DQ S 5_ P 7
ME M _M A_ DQ S 4_ N 7
ME M _M A_ DQ S 4_ P 7
ME M _M A_ DQ S 6_ N 7
ME M _M A_ DQ S 6_ P 7
ME M _M A_ DQ S 5_ N 7
ME M _M A_ DQ S 7_ N 7
ME M _M A_ DQ S 7_ P 7
Pl acecloseto socket
T
o

S
O
-
D
I
M
M

0
s
o
c
k
e
t
T
o

S
O
-
D
I
M
M
1
s
o
c
k
e
t
Width 20 mil , lengt h <6 in ch
C 13 8
1 0U _1 0 V_ 0 8
VTT
750m A
VTT
75 0mA
Z0 4 01
Z0 4 02
Z0 4 03
Z0 4 04
Z0 4 05
Z0 4 06
Z0 4 1 0
Z0 4 0 9
Z0 4 0 8
Z0 4 1 1
Z0 4 1 2
M _ ZN
M_ZP
M E M_ MB_ D AT A6
M E M_ MB_ D AT A1
M E M_ MB_ D AT A11
M E M_ MB_ D AT A16
M E M_ MB_ D AT A20
M E M_ MB_ D AT A14
M E M_ MB_ D AT A12
M E M_ MB_ D AT A13
M E M_ MB_ D AT A7
M E M_ MB_ D AT A4
M E M_ MB_ D AT A15
M E M_ MB_ D AT A21
M E M_ MB_ D AT A19
M E M_ MB_ D AT A0
M E M_ MB_ D AT A10
M E M_ MB_ D AT A9
M E M_ MB_ D AT A2
M E M_ MB_ D AT A18
M E M_ MB_ D AT A5
M E M_ MB_ D AT A3
M E M_ MB_ D AT A17
M E M_ MB_ D AT A8
ME M_ MA_ D ATA5 4
ME M_ MA_ D ATA0
ME M_ MA_ D ATA1
ME M_ MA_ D ATA2
ME M_ MA_ D ATA5 5
ME M_ MA_ D ATA3
ME M_ MA_ D ATA5 6
ME M_ MA_ D ATA5
ME M_ MA_ D ATA7
ME M_ MA_ D ATA4
ME M_ MA_ D ATA8
ME M _M A_ DAT A1 2
ME M _M A_ DAT A1 0
ME M_ MA_ D ATA6
ME M_ MA_ D ATA5 7
ME M_ MA_ D ATA9
ME M_ MA_ D ATA1 5
ME M _M A_ DAT A1 1
ME M_ MA_ D ATA1 3
ME M_ MA_ D ATA1 6
ME M_ MA_ D ATA1 9
ME M_ MA_ D ATA5 8
ME M_ MA_ D ATA1 7
ME M_ MA_ D ATA1 4
ME M_ MA_ D ATA1 8
ME M_ MA_ D ATA5 9
ME M_ MA_ D ATA2 0
ME M_ MA_ D ATA2 2
ME M_ MA_ D ATA2 1
ME M_ MA_ D ATA2 6
ME M_ MA_ D ATA2 3
ME M_ MA_ D ATA2 5
ME M_ MA_ D ATA2 4
ME M_ MA_ D ATA2 9
ME M_ MA_ D ATA2 8
ME M_ MA_ D ATA3 1
ME M_ MA_ D ATA3 2
ME M_ MA_ D ATA2 7
ME M_ MA_ D ATA3 0
ME M_ MA_ D ATA3 6
ME M_ MA_ D ATA4 0
ME M_ MA_ D ATA3 4
ME M_ MA_ D ATA3 3
ME M_ MA_ D ATA3 5
ME M_ MA_ D ATA6 0
ME M_ MA_ D ATA3 9
ME M_ MA_ D ATA4 1
ME M_ MA_ D ATA3 8
ME M_ MA_ D ATA3 7
ME M_ MA_ D ATA4 5
ME M_ MA_ D ATA4 3
ME M_ MA_ D ATA4 6
ME M_ MA_ D ATA4 4
ME M_ MA_ D ATA6 1
ME M_ MA_ D ATA4 2
ME M_ MA_ D ATA5 3
ME M_ MA_ D ATA4 7
ME M_ MA_ D ATA5 1
ME M_ MA_ D ATA4 8
ME M_ MA_ D ATA5 0
ME M_ MA_ D ATA4 9
ME M _M B_ ADD 1 4
ME M _M B_ ADD 3
ME M _M B_ ADD 1 2
ME M_ MA_ D ATA6 2
ME M_ MA_ D ATA5 2
ME M _M B_ ADD 6
ME M _M B_ ADD 1
ME M _M B_ ADD 7
ME M _M B_ ADD 1 0
ME M _M B_ ADD 1 3
ME M _M B_ ADD 1 1
ME M _M B_ ADD 8
ME M _M B_ ADD 4
ME M _M B_ ADD 2
ME M _M B_ ADD 5
ME M _M B_ ADD 9
ME M _M B_ ADD 0
ME M _M B_ ADD 1 5
ME M_ MA_ D ATA6 3
Z0 4 0 7
ME M _ MA_ AD D1 4
ME M _ MA_ AD D1 3
ME M _ MA_ AD D3
ME M _ MA_ AD D1 2
ME M _ MA_ AD D1 0
ME M _ MA_ AD D1 1
ME M _ MA_ AD D2
ME M _ MA_ AD D1
ME M _ MA_ AD D8
ME M _ MA_ AD D9
ME M _ MA_ AD D5
ME M _ MA_ AD D6
ME M _ MA_ AD D7
ME M _ MA_ AD D4
ME M _ MA_ AD D0
ME M _ MA_ AD D1 5
M E M _M A_ DM5
ME M _ MA_ RE S E T #
M E M _M A_ DM6
M E M _M A_ DM2
M E M _M A_ DM4
M E M _M A_ DM1
M E M _M A_ DM0
M E M _M A_ DM3
M E M _M A_ DM7
M E M_ MB 1_ O DT 0
ME M _ MA1 _ OD T0
ME M _ MA1 _ OD T1
M E M_ MB_ D M5
M E M_ MB_ D M6
M E M_ MB_ D M4
M E M_ MB_ D M3
M E M_ MB_ D M7
M E M_ MB_ D M2
M E M_ MB_ D M1
M E M_ MB_ D M0
M E M_ MB _R E S E T #
M E M_ MB_ D AT A40
M E M_ MB_ D AT A26
M E M_ MB_ D AT A37
M E M_ MB_ D AT A23
M E M_ MB_ D AT A31
M E M_ MB_ D AT A41
M E M_ MB_ D AT A28
M E M_ MB_ D AT A38
M E M_ MB_ D AT A43
M E M_ MB_ D AT A42
M E M_ MB_ D AT A32
M E M_ MB_ D AT A39
M E M_ MB_ D AT A34
M E M_ MB_ D AT A24
M E M_ MB_ D AT A22
M E M_ MB_ D AT A29
M E M_ MB_ D AT A35
M E M_ MB_ D AT A33
M E M_ MB_ D AT A30
M E M_ MB_ D AT A62
M E M_ MB_ D AT A25
M E M_ MB_ D AT A27
M E M_ MB_ D AT A36
M E M_ MB_ D AT A52
M E M_ MB_ D AT A55
M E M_ MB_ D AT A56
M E M_ MB_ D AT A54
M E M_ MB_ D AT A53
M E M_ MB_ D AT A50
M E M_ MB_ D AT A51
M E M_ MB_ D AT A46
M E M_ MB_ D AT A48
M E M_ MB_ D AT A44
M E M_ MB_ D AT A49
M E M_ MB_ D AT A45
M E M_ MB_ D AT A60
M E M_ MB_ D AT A59
M E M_ MB_ D AT A63
M E M_ MB_ D AT A47
M E M_ MB_ D AT A57
M E M_ MB_ D AT A61
M E M_ MB_ D AT A58
Processor Memory I nt er face
Schematic Diagrams
B - 6 CPU-3
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
CPU-3
Sheet 5 of 35
CPU-3

EX I T B A L L F I EL D ) A N D 5 0 0 m i l s L ON G .
50 m i l s WI D E ( U S E 2 x 2 5 m i l T RA C E S T O
CP U_S V D_R
K e ep t r ac e f r om r es is o r to C P U wi t h i n 0 . 6"
k ee p t r a ce f r o m ca p s t o C P U w i t hi n 1. 2"
CP U_ME MHOT#_1. 8 V
L A Y OU T : R OU T E V D D A T R A C E A P P R O X .
CPU_ V DDA_ RUN
CPU_HTREF0
R2 85 * 0_0 40 2_ 10m i l _s hort
C4 7
. 1U_X 7R_ 10 V_ 04
C83
10 U_1 0V_0 6
P R3 6 2. 2_ 1%_ 06
R4 3
*0_ 040 2_ 5mi l _sh ort
R3 20
3 00_ 04
R8 5
3 00 _04
R319
*0 _04 02 _5m i l _s ho r t
R4 5
* 220 _0 4
R191
300 _0 4
Q39
2N39 04
B
E C
U13
ADM1 03 2A RM
S CL K
8
SDA T A
7
AL ERT #
6
GND
5
T HERM#
4 D-
3 D+
2 V DD
1
R3 16
*0_ 04 02_ 5m i l _s hort
Q38
*2N3 90 4
B
E C
R30 8
10 0K _0 4
R274
*0 _04
R30 0
4. 7 K_ 04
R3 17
3 90 _0 4
P R109 2. 2 _1 %_0 6
R36
1K _0 4
R89
*2 .2 K _04
Q11
MTN7 00 2Z HS3
G
D S
R63 44 . 2_1 %_ 04
R94
*22 0_ 04
C47 5
1 U_1 6V_0 6
R56 44 . 2_1 %_ 04
R44
*0 _0 40 2_5 mi l_ sh ort
R32 4
1K _ 04
R31 4
30 0_ 04
R6 4
300 _0 4
P R37 2 . 2_ 1%_ 06
R88 *0 _04
P R10 8 2. 2_ 1%_ 06
U1 5D
S OCK ET _6 38 _P I N
VDDA 1
F 8
VDDA 2
F 9
RES E T_ L
B7
PW ROK
A 7
LDT ST OP_ L
F1 0
SI C
AF 4
SI D
AF 5
HT_ RE F 1
P 6 HT_ RE F 0
R6
VDD0 _F B_H
F 6
VDD0 _F B_L
E 6 V DDI O_ F B_ H
W 9
V DDI O_F B_L
Y 9
THE RMTRI P _L
A F6
PROCHOT _L
A C7
RSVD2
A 5
LDT RE Q_ L
C6
S VC
A 6
S VD
A 4
RS VD6
C5 RSVD4
B5
RSVD1
A 3
CLK I N_H
A 9
CLK I N_L
A 8
DBRDY
G1 0
TMS
AA 9
TCK
AC9
TRS T_ L
AD9
TDI
AF 9
DBRE Q_L
E 10
T DO
A E9
TE S T25 _H
E 9
TE S T25 _L
E 8
TE S T19
G9 TE S T18
H1 0
RS VD8
A A7
TE S T9
C2
TE ST 17
D7
TE ST 16
E 7
TE ST 15
F 7
TE ST 14
C7
TE S T12
AC8
TE S T7
C3
TE S T6
AA 6
THE RMDC
W 7
THE RMDA
W 8
VDD1 _F B_H
Y 6
VDD1 _F B_L
AB6
T ES T2 9_ H
C9
TE S T29 _L
C8
TE S T24
AE 7
TE S T23
AD7
TE S T22
AE 8
TE S T21
AB8
TE S T20
AF 7
T ES T2 8_ H
J 7
TE S T28 _L
H8
TE S T27
AF 8
AL E RT _L
AE 6
TE ST 10
K 8
TE S T8
C4
RSVD3
B3
RSVD5
C1
V DDNB_ F B_ H
H6
VDDNB_F B_L
G6
RS VD7
D5
K EY 2
W 18
MEMHOT _L
A A8
RS VD10
H18
RS VD9
H19
K EY 1
M11
Q1 2
MT N70 02 ZHS 3
G
D S
R32 5
*10 K _04
P R11 6 2 . 2_1 %_ 06
R3 5
1 K_ 04
P WRGD
R76
*0 _0 40 2_5 mi l_ sh ort
C4 8
.1 U_X7R_ 10V_ 04
R48
* 220 _0 4
R318
300 _0 4
R32 1
39 0_0 4
PR1 13 2. 2_ 1% _06
R2 92 10K _ 04
C75
39 00 P_ X7 R_50 V_ 04
CP U_T HERMT RIP #_1. 8 V
R2 78
300 _0 4
R8 0
* 0_0 40 2_ 5mi l_ sh ort
C4 59 . 1U_ X7R_ 10 V_ 04
R9 1 34 . 8K _0 4
R75 3 00 _04
C4 63
4. 7U_ 6. 3V_0 6
R84
300 _0 4
R9 5
1 0K _0 4
C4 74
10 00P _ X7R_ 50 V_ 04
R9 0 20 K_ 04
R83
4. 7K _ 04
R31 2
0_ 04
R189
300 _0 4
R3 22
* 10K _0 4
R29 9
4. 7K _ 04
C4 64
.2 2U_ 16 V_ 06
LDT _RS T#
C8 4
390 0P _X 7R_ 50 V_ 04
R4 7
*0_ 040 2_ 5mi l _sh ort
L4 8 F CM16 08K T-3 00 T07
R32 3
1 0K _0 4
R6 2
1 69 _1 %_0 4
R315
*0 _04 02 _5m i l _s ho r t
R4 6
*0_ 040 2_ 5mi l _sh ort
R2 79 * 0_0 40 2_ 10m i l _s hort
C76
39 00 P_ X7 R_50 V_ 04
1. 8V
1 .8 V
L DT_RS T #
1 .8 VS
2. 5V _CP U
1 .8 V
1. 8 V
VDD3
THM_ VDD
1 .8 VS
1 .8 VS
p l a ce t h e m t o C P U w i t h i n 1 . 5 "
T HM_V DD
3. 3 V
LDT _RS T#_HDT
3. 3 V
3. 3 VS
3. 3V S
CP U_V DD1
CP U_ V DD0
CP U_ VDDNB
3 . 3VS
1. 2 VS
1. 8V
1. 8 V
1. 8 V
CPU_ SV C 27
CP U_ME MHOT # 7, 8
CP U_THE RMT RIP # 1 5
CPU_ CLK P 2
CPU_ CLK N 2
CPU_ SV D 27
S CL K3 15
SDAT A3 15
CP U_L DT_S T OP# 10 ,1 4
CP U_L DT_RS T # 1 4
S MC_CP U_ THE RM 2 4
CP U_ LDT_ RE Q# 10
CP U_P WRGD 1 4
T HERM_ A LE RT# 1 5, 24
S MD_CP U_ THE RM 2 4
CP U_ V DD1_ RUN_ F B_H 2 7
CP U_ V DD0_ RUN_ F B_L 2 7
CP U_ V DD1_ RUN_ F B_L 2 7
CP U_V DDNB_RUN_ FB_ H 2 7
CP U_V DDNB_RUN_ FB_ L 27
CP U_ V DD0_ RUN_ F B_H 2 7
CP U_P WRGD_ S VI D_ REG 27
CP U_P ROCHOT # 14
CP U_S V C_R
Z05 02
Z0 50 3
Z0 50 5
CP U_P W RGD_S VID_ RE G
CP U_ AL ERT
Nea r to
ADM 1032
10 M I L E
Layo ut Note :
Rout e H _THE RMD A an d
H_TH ERM DC o n s ame laye r.
10 m il trac e o n 10 mil
spac ing .
10 M I L E
P WRGD
20 m il
Thermal IC
C PU _T DI
C PU _T MS
C PU _DBR EQ#
C PU _T DO
C PU _DBR DY
C PU _T CK
LDT _RS T#_HDT
C PU _T RS T#
KE Y
J 1
*A S P-6 820 0-0 7
1
1 9
2 1
2 3
2
4
6
8
10
12
3
14
16
18
20
22
24
26
5
7
9
1 1
1 3
1 5
1 7
CP U_ DB RE Q#
P WRGD
2008/03/24
Z 05 01
CP U_ LDT_ RE Q#
CPU_ CLK I N_S C_P
CPU_ CLK I N_S C_N
L DT_ RST #
CP U_T DO
CP U_DBRE Q#
CP U_ TE ST 25 _L _B Y PAS SCL K _L
H_ THE RMDA
C L OS E T O S OC K ET
C L OS E T O S OCK E T
H_ THE RMDC
CP U_P ROCHOT#_R
CP U_T ES T 28_ L_ PL LCHRZ_N
CP U_T ES T 17_ BP3
CP U_T ES T 8_DI G_ T
CP U_T ES T 28_ H_P LL CHRZ_ P
CP U_T ES T 16_ BP2
CP U_T ES T 10_ A NAL OGOUT
CP U_T ES T 29_ L_ FBCLK OUT _N
CP U_T ES T 15_ BP1
CP U_T ES T 7_ANA LOG_ T
CP U_T ES T 14_ BP0
CP U_T ES T 29_ H_F BCLK OUT_ P
VDDA
250mA
CP U_ SI D
CPU_HTREF1
CP U_ SI C
CP U_ TE ST 25 _H_ BY P A SS CLK _ H
L DT_ ST OP #
CP U_S V C_R
H_THE RMDA
H_THE RMDC
P WRGD
CPU_ S VC
CP U_S V D_R
CP U_ TE ST 12 _S CA NS HI F TE NB
CP U_ TE ST 9_ ANAL OGI N
CP U_T DI
CP U_ TE ST 20 _S CA NCL K 2
CP U_ TE ST 27 _S I NGLE CHAI N
CP U_T RS T#
CP U_T E ST 23 _TS TUP D
CPU_ S VD
CP U_ TE ST 21 _S CA NE N
CP U_DBRDY
CP U_T MS
CP U_T CK
CP U_ TE ST 6_ DI ECRA CK MON
CP U_ TE ST 22 _S CA NS HI F TE N
CP U_ TE ST 24 _S CA NCL K 1
CP U_T E ST 18 _P LLT E ST 1
CP U_T E ST 19 _P LLT E ST 0
PW RGD1
CPU_ LDT _RE Q#
CP U_T HERMDC
CP U_T HERMDA
CP U_S I D
CP U_S I C
VID Override Circuit
L DT_S T OP#
AMD CHECK
tolerance 10% 1113
P WRGD
HDT Connector 1 . 8V
K n o w n l o w t e m p e r at u r e P G i s s u e ,
Re p l ac e w i t h an o t he r p ar t
P W RGD
Schematic Diagrams
CPU-4 B - 7
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
CPU-4
Sheet 6 of 35
CPU-4

C 5 94
* 1 0U _6 . 3 V_ 0 6
VDD IO
2A
C5 95
* 1 0U _ 6. 3 V_ 0 6
C 5 96
*1 0 U_ 6 . 3 V_0 6
C 12 9
4 . 7 U_ 6 . 3V _0 6
C1 1 4
. 0 1 U_ 1 6 V_0 4
C 1 21
. 0 1U _ 16 V_ 0 4
C 1 25
4 . 7U _ 6. 3 V_ 0 6
C1 1 7
. 2 2 U_ 1 0V _0 4
C9 1
. 22 U _1 0 V_ 0 4
C 11 6
. 2 2 U_ 1 0V _0 4
U 15 F
S OC K E T _6 3 8 _P I N
V S S 1
AA4
V S S 2
A A11
V S S 3
A A13
V S S 4
A A15
V S S 5
A A17
V S S 6
A A19
V S S 7
AB2
V S S 8
AB7
V S S 9
AB9
V S S 10
A B23
V S S 11
A B25
V S S 12
AC 11
V S S 13
AC 13
V S S 14
AC 15
V S S 15
AC 17
V S S 16
AC 19
V S S 17
AC 21
V S S 18
AD6
V S S 19
AD8
V S S 20
AD 25
V S S 21
A E 11
V S S 22
A E 13
V S S 23
A E 15
V S S 24
A E 17
V S S 25
A E 19
V S S 26
A E 21
V S S 27
A E 23
V S S 28
B4
V S S 29
B6
V S S 30
B8
V S S 31
B9
V S S 32
B11
V S S 33
B13
V S S 34
B15
V S S 35
B17
V S S 36
B19
V S S 37
B21
V S S 38
B23
V S S 39
B25
V S S 40
D6
V S S 41
D8
V S S 42
D9
V S S 43
D 11
V S S 44
D 13
V S S 45
D 15
V S S 46
D 17
V S S 47
D 19
V S S 48
D 21
V S S 49
D 23
V S S 50
D 25
V S S 51
E 4
V S S 52
F 2
V S S 53
F 11
V S S 54
F 13
V S S 55
F 15
V S S 56
F 17
V S S 57
F 19
V S S 58
F 21
V S S 59
F 23
V S S 60
F 25
V S S 61
H7
V S S 62
H9
V S S 63
H 21
V S S 64
H 23
V S S 65
J 4
VS S 66
J 6
VS S 67
J 8
VS S 68
J 1 0
VS S 69
J 1 2
VS S 70
J 1 4
VS S 71
J 1 6
VS S 72
J 1 8
VS S 73
K 2
VS S 74
K 7
VS S 75
K 9
VS S 76
K 1 1
VS S 77
K 1 3
VS S 78
K 1 5
VS S 79
K 1 7
VS S 80
L 6
VS S 81
L 8
VS S 82
L 1 0
VS S 83
L 1 2
VS S 84
L 1 4
VS S 85
L 1 6
VS S 86
L 1 8
VS S 87
M 7
VS S 88
M 9
VS S 89
AC 6
VS S 90
M 17
VS S 91
N 4
VS S 92
N 8
VS S 93
N 10
VS S 94
N 16
VS S 95
N 18
VS S 96
P 2
VS S 97
P 7
VS S 98
P 9
VS S 99
P 1 1
V S S 1 00
P 1 7
V S S 1 01
R 8
V S S 1 02
R 10
V S S 1 03
R 16
V S S 1 04
R 18
V S S 1 05
T 7
V S S 1 06
T 9
V S S 1 07
T 1 1
V S S 1 08
T 1 3
V S S 1 09
T 1 5
V S S 1 10
T 1 7
V S S 1 11
U 4
V S S 1 12
U 6
V S S 1 13
U 8
V S S 1 14
U 10
V S S 1 15
U 12
V S S 1 16
U 14
V S S 1 17
U 16
V S S 1 18
U 18
V S S 1 19
V2
V S S 1 20
V7
V S S 1 21
V9
V S S 1 22
V1 1
V S S 1 23
V1 3
V S S 1 24
V1 5
V S S 1 25
V1 7
V S S 1 26
W 6
V S S 1 27
Y 21
V S S 1 28
Y 23
V S S 1 29
N 6
C6 5
1 8 0 P _N P O_ 5 0 V_ 04
C 78
. 0 1 U_ 1 6V _0 4
C1 2 0
* 4 . 7U _ 6. 3 V_ 0 6
C9 4
. 22 U _1 0 V_ 0 4
C1 2 4
*. 2 2 U_ 1 0V _0 4
C 1 12
1 8 0 P _X7 R_ 5 0 V_ 04
C1 1 8
. 2 2 U_ 1 0V _0 4
C1 2 8
4. 7 U _6 . 3 V_ 06
C 1 11
* . 22 U _1 0 V_ 0 4
C1 1 0
1 80 P _ N P O_ 5 0V_ 0 4
C1 1 3
1 8 0 P _ X7 R_ 5 0 V_ 04
U1 5 E
S O CK E T _ 6 38 _ P I N
VDD 1_ 2 5
AC4
VDD 1_ 2 6
AD2
VD D0 _1
G4
VD D0 _2
H2
VD D0 _3
J 9
VD D0 _4
J 1 1
VD D0 _5
J 1 3
VD D0 _7
K 6
VD D0 _8
K 1 0
VD D0 _9
K 1 2
VD D0 _1 0
K 1 4
VD D0 _1 1
L 4
VD D0 _1 2
L 7
VD D0 _1 3
L 9
VD D0 _1 4
L 1 1
VD D0 _1 5
L 1 3
VD D0 _1 7
M2
VD D0 _1 8
M6
VD D0 _1 9
M8
VD D0 _2 0
M1 0
VD D0 _2 1
N7
VD D0 _2 2
N9
VD D0 _2 3
N1 1
VD D1 _ 1
P 8
VD D1 _ 2
P 1 0
VD D1 _ 3
R4
VD D1 _ 4
R7
VD D1 _ 5
R9
VD D1 _ 6
R1 1
VD D1 _ 7
T 2
VD D1 _ 8
T 6
VD D1 _ 9
T 8
VDD 1_ 1 0
T 10
VDD 1_ 1 1
T 12
VDD 1_ 1 2
T 14
VDD 1_ 1 3
U7
VDD 1_ 1 4
U9
VDD 1_ 1 5
U1 1
VDD 1_ 1 6
U1 3
VDD 1_ 1 8
V6
VDD 1_ 1 9
V8
VDD 1_ 2 0
V1 0
VDD 1_ 2 1
V1 2
VDD 1_ 2 2
V1 4
VDD 1_ 2 3
W 4
VDD 1_ 2 4
Y 2
VD D0 _6
J 1 5
VD DNB _1
K 1 6
VD D0 _1 6
L 1 5
VD DNB _2
M1 6
VD DNB _3
P 1 6
VD DNB _4
T 1 6
VDD 1_ 1 7
U1 5
VD DNB _5
V1 6
VD DI O1
H2 5
VD DI O2
J 1 7
VD DI O3
K 1 8
VD DI O4
K 2 1
VD DI O5
K 2 3
VD DI O6
K 2 5
VD DI O7
L 1 7
VD DI O8
M1 8
VD DI O9
M2 1
VD DI O1 0
M2 3
VD DI O1 1
M2 5
VD DI O1 2
N1 7
VDD I O1 3
P 1 8
VDD I O1 4
P 2 1 VDD I O1 5
P 2 3
VDD I O1 6
P 2 5 VDD I O1 7
R1 7
VDD I O1 8
T 18 VDD I O1 9
T 21
VDD I O2 0
T 23 VDD I O2 1
T 25
VDD I O2 2
U1 7 VDD I O2 3
V1 8
VDD I O2 4
V2 1 VDD I O2 5
V2 3
VDD I O2 6
V2 5
VDD I O2 7
Y 2 5
C3 4
1 0U _ 6. 3 V_ 0 6
C 98
. 0 1 U_ 1 6V _0 4
C 1 04
. 2 2 U_ 1 0 V_0 4
C5 97
* 1 0U _ 6. 3 V_ 0 6
C7 7
1 80 P _ NP O_ 50 V_ 0 4
CP U _VD D0
CP U_ VDD 1
1 . 8V
C P U_ VDD NB
CP U _ VDD 1
CP U_ VDD NB 1. 8 V
1 . 8 V
1 . 8 V
CP U_ VDD 0
C 79
1 0 U_ 6 . 3V _0 6
C 93
1 0 U_ 6 . 3V_ 0 6
BOTTOMSIDEDECOUPLING
C103
1 0 U_ 6 . 3V_ 0 6
C9 2
1 0 U_ 6 . 3 V_ 06
PLACE CLOSE TO PROCESSORAS POSSIBLE
DECOUPLING BETWEENPROCESSOR ANDDIMMs
C 10 0
1 0 U_ 6. 3V_ 0 6
C 97
1 0U _ 6. 3V_ 0 6
C 99
10 U _6 . 3 V_ 06
C 35
1 0 U_ 6 . 3V _0 6
C1 02
1 0 U_ 6 . 3 V_ 06
VDDI O
2A
CPU _VDDN B
3 A
C 13 3
1 0 U_ 6 . 3V _0 6
VDD1CORE
1.375-1.500V
18A
VDD0CORE
0.375-1.500V
18A
C 13 2
1 0 U_ 6 . 3V_ 0 6
Schematic Diagrams
B - 8 DDRII SO-DIMM_0
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
DDRII SO-DIMM_0
Sheet 7 of 35
DDRII SO-DIMM_0

2 0m il s
2 0m il s
MEM_ MA _BA NK2
SO-DIMM 0
MEM_ MA _ADD9
CLO SE TO SO-D IMM _0
MEM_ MA _ADD12
Width 20mi l ,length <6in ch
MVRE F _DI M
Z0 70 4
Z070 2
MEM_ MA _ADD8
Z0 703
MEM_ MA_B ANK2
MEM_ MA_B ANK0
MEM_ MA _ADD1
MEM_ MA _ADD5
MEM_ MA _ADD3
MEM_ MA _ADD10
MEM_ MA _BA NK0
ME M_MA_DAT A2 0
ME M_MA_DAT A5 5
ME M_MA_DAT A3 0
MEM _MA _DQS 0_ N
ME M_MA_DAT A6 3
ME M_MA_DAT A5 2
ME M_MA_DAT A3
ME M_MA_DAT A4 0
ME M_MA_DAT A3 1
MEM _MA _CL K7 _N
MEM_ MA_ DM3
ME M_MA_DAT A1
ME M_MA_DAT A3 8
ME M_MA_DAT A5 7
MEM _MA _DQS 0_ P
ME M_MA_DAT A2 5
ME M_MA_DAT A4 7
ME M_MA_DAT A6
ME M_MA_DAT A5
MEM _MA _DQS 6_ N
ME M_MA_DAT A6 1
ME M_MA_DAT A8
MEM _MA _DQS 5_ P
MEM _MA _DQS 1_ N
MEM_ MA_ DM1
ME M_MA_DAT A4 8
ME M_MA_DAT A5 6
ME M_MA_DAT A4 9
ME M_MA_DAT A6 2
MEM_ MA0_C S#1
ME M_MA_DAT A4 1
ME M_MA_DAT A1 3
ME M_MA_DAT A1 9
MEM _MA _DQS 4_ N
MEM_ MA_ DM5
ME M_MA_DAT A1 4
ME M_MA_DAT A5 8
MEM _MA _CL K7 _P
SA1 _DI M0_ 1
MEM_ MA_ DM7
MEM _MA _CL K1 _N
MEM _MA _CL K1 _P
MEM_ MA_ DM4
MEM _MA _DQS 7_ N
MEM_ MA0_O DT1
ME M_MA_DAT A3 2
ME M_MA_DAT A2 6
ME M_MA_DAT A2 3
ME M_MA_DAT A1 1
MEM _MA _DQS 2_ P
SDAT A0
MEM_ MA_ DM0
ME M_MA_DAT A1 8
ME M_MA_DAT A1 5
ME M_MA_DAT A7
ME M_MA_DAT A5 1
ME M_MA_DAT A0
ME M_MA_DAT A6 0 MEM _MA _DQS 3_ N
MEM _MA _CK E 0
MEM_ MA_W E#
MEM_ MA_ DM6
ME M_MA_DAT A2 9
ME M_MA_DAT A4 5
MEM_ MA_RAS #
MEM _MA _DQS 7_ P
ME M_MA_DAT A4
ME M_MA_DAT A9
ME M_MA_DAT A4 2
SCL K 0
MEM _MA _DQS 6_ P
ME M_MA_DAT A3 3
ME M_MA_DAT A3 7
ME M_MA_DAT A3 9
ME M_MA_DAT A2 1
ME M_MA_DAT A2
SA0 _DI M0_ 1
MEM_ MA0_O DT0
MEM _MA _DQS 2_ N
ME M_MA_DAT A3 4
ME M_MA_DAT A2 4
ME M_MA_DAT A4 6
ME M_MA_DAT A1 2
MEM_ MA_CAS #
MEM _MA _DQS 4_ P
ME M_MA_DAT A2 2
ME M_MA_DAT A1 6
ME M_MA_DAT A4 4
ME M_MA_DAT A1 0
MEM _MA _CK E 1
MEM_ MA_ DM2
MEM _MA _DQS 5_ N
ME M_MA_DAT A1 7
ME M_MA_DAT A3 5
ME M_MA_DAT A5 4
ME M_MA_DAT A4 3
ME M_MA_DAT A2 8
ME M_MA_DAT A3 6
ME M_MA_DAT A5 0
ME M_MA_DAT A2 7
ME M_MA_DAT A5 9
MEM _MA _DQS 1_ P
MEM _MA _DQS 3_ P
MEM_ MA0_C S#0
ME M_MA_DAT A5 3
MEM_ MA _ADD15
MEM_ MA_A DD5
MEM_ MA_A DD11
MEM_ MA_A DD1
MEM_ MA_A DD9
MEM_ MA_A DD13
MEM_ MA_A DD7
MEM_ MA_A DD2
MEM_ MA_A DD0
MEM_ MA_A DD3
MEM_ MA_A DD8
MEM_ MA_A DD4
MEM_ MA_A DD10
MEM_ MA_A DD6
MEM_ MA_A DD12
MEM_ MA _ADD14
MEM_ MA _ADD7
R1 09
1K _1 %_ 04
C19 1
*10 U_1 0V _0 8
RN1 4
4 P2 RX4 7_ 04
1 4
2 3
C1 79
1 0U_ 10 V_ 08
R11 3
*1 0K _0 4
C1 77
. 1U_ X7 R_10 V_04
C1 23
*. 1U_ X7 R_1 0V _0 4
C1 50
1 U_6 .3 V_04
MEM_ MA_A DD15
C147
.2 2U_ X7 R_0 6
RN6
4 P2 RX4 7_ 04
1 4
2 3
C1 74
. 1U_ X7 R_10 V_04
C1 85 *. 1U_ X7 R_1 0V_0 4
C1 68 . 1U_ X7 R_10 V_04
C1 58
.1 U_X7R_ 10 V_ 04
C1 63 *. 1U_ X7 R_1 0V_0 4
C1 87 *. 1U_ X7 R_1 0V_0 4
MEM_ MA _ADD11
RN2
4 P2 RX4 7_ 04
1 4
2 3
R110 *0 _04
C1 22
. 1U_ X7 R_10 V _04
C10 9
.1 U_X 7R_ 10 V_ 04
C1 84 . 1U_ X7 R_10 V_04
C1 06
1. 5P _ X7R_ 50 V_04
RN4
4 P2 RX4 7_ 04
1 4
2 3
J _ DI MM_1 B
1-7 34 074 -1
VDD1
112
VDD2
111
VDD3
117
VDD4
96
VDD5
95
VDD6
118
VDD7
81
VDD8
82
VDD9
87
VDD1 0
103
VDD1 1
88
VDD1 2
104
VDDS P D
199
NC1
83
NC2
120
NC3
50
NC4
69
NCT E ST
163
VRE F
1
GND0
201
GND1
202
VS S 1
47
VS S 2
133
VS S 3
183
VS S 4
77
VS S 5
12
VS S 6
48
VS S 7
184
VS S 8
78
VS S 9
71
VS S 10
72
VS S 11
121
VS S 12
122
VS S 13
196
VS S 14
193
VS S 15
8
V S S1 6
18
V S S1 7
24
V S S1 8
41
V S S1 9
53
V S S2 0
42
V S S2 1
54
V S S2 2
59
V S S2 3
65
V S S2 4
60
V S S2 5
66
V S S2 6
12 7
V S S2 7
13 9
V S S2 8
12 8
V S S2 9
14 5
V S S3 0
16 5
V S S3 1
17 1
V S S3 2
17 2
V S S3 3
17 7
V S S3 4
18 7
V S S3 5
17 8
V S S3 6
19 0
V S S3 7
9
V S S3 8
21
V S S3 9
33
V S S4 0
15 5
V S S4 1
34
V S S4 2
13 2
V S S4 3
14 4
V S S4 4
15 6
V S S4 5
16 8
V S S4 6
2
V S S4 7
3
V S S4 8
15
V S S4 9
27
V S S5 0
39
V S S5 1
14 9
V S S5 2
16 1
V S S5 3
28
V S S5 4
40
V S S5 5
13 8
V S S5 6
15 0
V S S5 7
16 2
C1 52
. 1U_X 7R_ 10 V_ 04
C1 72 . 1U_ X7 R_10 V_04
R1 12
1 K _1 %_0 4
R123
10K _ 04
MEM_ MA_A DD14
RN1 5
4 P2 RX4 7_ 04
1 4
2 3
C149
*. 22U _X7 R_0 6
C1 76 *. 1U_ X7 R_1 0V_0 4
RN7
4 P2 RX4 7_ 04
1 4
2 3
C146
10U_ 10 V_ 08
RN1 2
4 P2 RX4 7_ 04
1 4
2 3
C1 01
1. 5 P_ X7 R_50 V_0 4
C1 78 . 1U_ X7 R_10 V_04
R12 5
*0_ 04 02_ 5m i l _s ho rt
C1 34
*. 1 UF_ 16 V_04
C1 54
. 1U_ X7R_ 10 V _04
C1 62
1 U_6 . 3V_0 4
MEM_ MA _ADD6
C1 90
. 1U_X 7R_ 10 V_ 04
R12 6
10 K _04
C1 83 *. 1U_ X7 R_1 0V_0 4
C1 69 . 1U_ X7 R_10 V_04
C1 70
*4 . 7U_ 6. 3V_0 6 R1 11 0_ 04
C16 1
. 1U_ X7 R_1 0V_0 4
C16 0
10 00 P_ X7 R_5 0V_0 4
C1 51
. 01 U_16 V _0 4
C1 26
* . 1 U_X7R_ 10 V_ 04
C1 73 *. 1U_ X7 R_1 0V_0 4
RN1 0
4 P2 RX4 7_ 04
1 4
2 3
C18 2
*1 U_6. 3 V_ 04
C1 65
1 0U_ 10 V_ 08
C1 75 . 1U_ X7 R_10 V_04
+
-
U3 B
*L M35 8 5
6
7
8
4
RN8
4 P2 RX4 7_ 04
1 4
2 3
R1 08 *0_ 04
RN1 3
4 P2 RX4 7_ 04
1 4
2 3
J _ DI MM_1 A
1-7 34 074 -1
A0
102
A1
101
A2
100
A3
99
A4
98
A5
97
A6
94
A7
92
A8
93
A9
91
A1 0/AP
105
A1 1
90
A1 2
89
A1 3
116
A1 4
86
A1 5
84
A1 6_BA 2
85
DQ0
5
DQ1
7
DQ2
17
DQ3
19
DQ4
4
DQ5
6
DQ6
14
DQ7
16
DQ8
23
DQ9
25
DQ1 0
35
DQ1 1
37
DQ1 2
20
DQ1 3
22
DQ1 4
36
DQ1 5
38
DQ1 6
43
DQ1 7
45
DQ1 8
55
DQ1 9
57
DQ2 0
44
DQ2 1
46
DQ2 2
56
DQ2 3
58
DQ2 4
61
DQ2 5
63
DQ2 6
73
DQ2 7
75
DQ2 8
62
DQ2 9
64
DQ3 0
74
DQ3 1
76
DQ3 2
12 3
DQ3 3
12 5
DQ3 4
13 5
DQ3 5
13 7
DQ3 6
12 4
DQ3 7
12 6
DQ3 8
13 4
DQ3 9
13 6
DQ4 0
14 1
DQ4 1
14 3
DQ4 2
15 1
DQ4 3
15 3
DQ4 4
14 0
DQ4 5
14 2
DQ4 6
15 2
DQ4 7
15 4
DQ4 8
15 7
DQ4 9
15 9
DQ5 0
17 3
DQ5 1
17 5
DQ5 2
15 8
DQ5 3
16 0
DQ5 4
17 4
DQ5 5
17 6
DQ5 6
17 9
DQ5 7
18 1
DQ5 8
18 9
DQ5 9
19 1
DQ6 0
18 0
DQ6 1
18 2
DQ6 2
19 2
DQ6 3
19 4
BA 0
107
BA 1
106
RA S #
108
W E #
109
CA S #
113
S 0 #
110
S 1 #
115
CK E 0
79
CK E 1
80
CK 0
30
CK 0 #
32
CK 1
164
CK 1 #
166
S DA
195 S CL
197 S A 1
200 S A 0
198
DM0
10
DM1
26
DM2
52
DM3
67
DM4
130
DM5
147
DM6
170
DM7
185
DQS 0
13
DQS 1
31
DQS 2
51
DQS 3
70
DQS 4
131
DQS 5
148
DQS 6
169
DQS 7
188
DQS 0 #
11
DQS 1 #
29
DQS 2 #
49
DQS 3 #
68
DQS 4 #
129
DQS 5 #
146
DQS 6 #
167
DQS 7 #
186
ODT 0
114
ODT 1
119
MEM_ MA _ADD4
C1 56
*. 1U_ X7 R_1 0V _0 4
C15 3
4. 7 U_6 .3 V_0 6
C1 88 . 1U_ X7 R_10 V_04
C13 0
. 1U_ X7 R_1 0V _0 4
C1 40
1 00 0P F _50 V _0 4
R1 04
*1 0_ 04 _1%
RN3
4 P2 RX4 7_ 04
1 4
2 3
C1 48
1 0U_ 10 V_ 08
C1 71
. 1U_ X7 R_10 V_04
RN1 1
4 P2 RX4 7_ 04
1 4
2 3
C1 55
. 1U_ X7R _10 V_04
C1 81 *. 1U_ X7 R_1 0V_0 4
C127
. 0 1U_ 16 V_ 04
C159
2. 2U_ 6. 3V_ 06
+ C1 67
1 00U_ 6. 3 V_ B 2
RN9
4 P2 RX4 7_ 04
1 4
2 3
RN5
4 P2 RX4 7_ 04
1 4
2 3
C1 86 . 01 U_1 6V_0 4
C18 9
2. 2U _6. 3 V_ 06
C15 7
*. 1U_ X7 R_1 0V_0 4
C1 64 *. 1U_ X7 R_1 0V_0 4
0. 9V
1. 8V
1 . 8V
3 . 3VS
1 . 8 V
1 . 8V
1 .8 V
1 .8 V
0. 9 V
1 .8 V
1 .8 V
1 .8 V
1 .8 V
1. 8 V
1 . 8V
1 .8 V
1 .8 V
MEM_ MA _ADD2
3 . 3 V
MVRE F_ DI M
MEM_ MA _DQS 7_ P 4
ME M_MA 0_ ODT0 4
ME M_MA 0_ ODT1 4
MV REF _ DIM
ME M_MA 0 _CS #0 4
MEM_ MA _DQS 1_ P 4
MEM_ MA _DQS 3_ P 4
MEM_ MA _DQS 6_ P 4
MEM_ MA _DQS 4_ P 4
MEM_ MA _DQS 5_ P 4
MEM_ MA _DQS 2_ P 4
MEM_ MA _DQS 0_ P 4
ME M_MA_C KE 0 4
ME M_MA _ CLK 7_ N 4
ME M_MA_C KE 1 4
ME M_MA 0 _CS #1 4
MEM_ MA _DQS 0_ N 4
MEM_ MA _DQS 4_ N 4
MEM_ MA _DQS 7_ N 4
MEM_ MA_DM[0. . 7 ] 4
MEM_ MA _DQS 3_ N 4
MEM_ MA _DQS 5_ N 4
MEM_ MA _DQS 6_ N 4
ME M_MA_ ADD[0 . .1 5] 4
MEM_ MA _DQS 2_ N 4
MEM_ MA _DQS 1_ N 4
ME M_MA _ CLK 1_ P 4
ME M_MA _ CLK 1_ N 4
ME M_MA _ CLK 7_ P 4
ME M_MA_ CLK 1_ N 4
CP U_ME MHOT# 5 , 8
ME M_MA_BA NK [0 . . 2] 4
MEM_ MA_W E# 4
ME M_MA_ CLK 7_ P 4
ME M_MA_ CLK 7_ N 4
ME M_MA_ CLK 1_ P 4
SCL K 0 2 , 8, 1 5
MEM_ MA_ CAS# 4
MEM_ MA_ RAS# 4
ME M_MA _ CKE 0 4
ME M_MA 0 _CS #1 4
SDAT A0 2 , 8, 1 5
ME M_MA 0 _ODT1 4
ME M_MA _ WE # 4
ME M_MA _ CKE 1 4
ME M_MA 0 _CS #0 4
ME M_MA 0 _ODT0 4
M EM_ MA_ DATA[0. . 63 ] 4
ME M_MA _ CAS # 4
ME M_MA _ RAS # 4
MEM_ MA _ADD0
MEM_ MA _BA NK1
MEM_ MA _ADD13
P L A C E C L O S E T O P R OC E S S OR
W I T H IN 1 . 5 I N C H
P L A C E C L OS E T O S O C K E T ( P ER E M I / EM C )
Z 07 01
MEM_ MA_B ANK1
tole ran ce 10% 11 13
tole ran ce 10% 11 13
MVRE F _DI M
DIMM0 _GND1
DIMM0 _GND0
Schematic Diagrams
DDRII SO-DIMM_1 B - 9
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
DDRII SO-DIMM_1
Sheet 8 of 35
DDRII SO-DIMM_1

20 m i ls
20 m i ls
M EM_ MB _B ANK 2
M EM_ MB _A DD1 2
M EM_ MB _A DD9
ME M_ MB _A DD2
ME M_ MB _A DD1 4
ME M_ MB _RAS #
ME M_ MB _B ANK 2
ME M_ MB _CL K 1_ P
ME M_ MB _A DD3
ME M_ MB _CK E 0
ME M_ MB _CL K 7_ N
M EM_ MB _A DD8
ME M_ MB _CAS #
ME M_ MB _A DD9
ME M_ MB _B ANK 0
ME M_ MB _CL K 1_ N
ME M_ MB _A DD6
ME M_ MB _A DD1 3
ME M_ MB _CK E 1
ME M_ MB _A DD7
ME M_ MB _W E #
ME M_ MB _A DD0
ME M_ MB _A DD4
ME M_ MB 0_ CS #0
ME M_ MB 0_ CS #1
ME M_ MB _A DD1 2
ME M_ MB _B ANK 1
ME M_ MB _A DD8
ME M_ MB _A DD5
ME M_ MB _A DD1 5
ME M_ MB _A DD1 0
ME M_ MB _A DD1 1
ME M_ MB _A DD1
ME M_ MB _CL K 7_ P
M EM_ MB _A DD5
P L A C E C L OS E T O P ROCE S S O R
W I T HI N 1 . 5 I N C H
P L A C E CL OS E T O S OC K ET ( P ER E M I / E M C)
M EM_ MB _A DD3
M EM_ MB _A DD1
M EM_ MB _A DD1 0
M EM_ MB _B ANK 0
M EM_ MB _A DD1 4
M EM_ MB _A DD1 5
M EM_ MB _A DD7
M EM_ MB _A DD1 1
M EM_ MB _A DD6
M EM_ MB _A DD1 3
S DA TA 0
Z0 80 1
S CL K0
S A 0_ DI M1_ 1
ME M_M B_ DATA1 3
ME M_M B_ DATA2 8
ME M_M B_ DATA5 6
ME M_M B_ DATA4 6
ME M_M B_ DATA2 4
ME M_M B_ DATA1 1
ME M_M B_ DATA3 1
ME M_M B_ DATA6 1
ME M_M B_ DATA2 2
ME M_M B_ DATA1 9
ME M_M B_ DATA4 0
ME M_M B_ DATA5 8
ME M_M B_ DATA5 0
ME M_M B_ DATA2
ME M_M B_ DATA5 4
ME M_M B_ DATA6 3
ME M_M B_ DATA1
ME M_M B_ DATA5 7
ME M_M B_ DATA6 0
ME M_M B_ DATA4 1
ME M_M B_ DATA3 7
ME M_M B_ DATA4 4
ME M_M B_ DATA3 0
ME M_M B_ DATA9
ME M_M B_ DATA3 4
ME M_M B_ DATA1 7
ME M_M B_ DATA2 5
ME M_M B_ DATA5
ME M_M B_ DATA3 8
ME M_M B_ DATA7
ME M_M B_ DATA3
ME M_M B_ DATA2 7
ME M_M B_ DATA3 6
ME M_M B_ DATA5 9
ME M_M B_ DATA4 3
ME M_M B_ DATA4 7
ME M_M B_ DATA8
ME M_M B_ DATA3 5
ME M_M B_ DATA3 3
ME M_M B_ DATA6 2
ME M_M B_ DATA4
ME M_M B_ DATA1 5
ME M_M B_ DATA2 6
ME M_M B_ DATA4 2
ME M_M B_ DATA4 8
ME M_M B_ DATA2 9
ME M_M B_ DATA4 5
ME M_M B_ DATA5 5
ME M_M B_ DATA1 6
ME M_M B_ DATA5 3
ME M_M B_ DATA1 0
ME M_M B_ DATA5 2
ME M_M B_ DATA1 8
ME M_M B_ DATA1 2
ME M_M B_ DATA0
ME M_M B_ DATA6
S A 1_ DI M1_ 1
ME M_M B_ DATA2 0
ME M_M B_ DATA3 9
ME M_M B_ DATA4 9
ME M_M B_ DATA2 1
ME M_M B_ DATA5 1
ME M_M B_ DATA2 3
ME M_M B_ DATA3 2
ME M_M B_ DATA1 4
DI MM1 _G ND0
DI MM1 _G ND1
MV RE F _DI M
RN20
4P 2R X4 7_ 04
1 4
2 3
RN16
4P 2R X4 7_ 04
1 4
2 3
C23 1
. 22 U_X7R_ 06
C2 44
1 U_6 . 3V _0 4
C22 8 .1 U_ X7 R_1 0V_0 4
C22 1 *. 1U_ X7 R_1 0V _ 04
C51 9
. 1 U_X 7R_ 10 V_0 4
R1 32
0_ 04
RN28
4P 2R X4 7_ 04
1 4
2 3 C21 8 .1 U_ X7 R_1 0V_0 4
+ C51 5
*22 0U_ 4V _ D
C22 5 *. 1U_ X7 R_1 0V _ 04
R13 1
*0_ 04 02 _5 mi l _ sh ort
RN25
4P 2R X4 7_ 04
1 4
2 3
RN17
4P 2R X4 7_ 04
1 4
2 3
C2 15
. 1U_ X7 R_1 0V _ 04
C20 5 *. 1U_ X7 R_1 0V _ 04
C23 4
*4. 7 U_6 . 3V _ 06
C22 4 .1 U_ X7 R_1 0V_0 4
C19 9
4. 7 U_6 . 3V_0 6
C21 0
* .1 U_X 7R _1 0V _0 4
C21 1 .1 U_ X7 R_1 0V_0 4
RN22
4P 2R X4 7_ 04
1 4
2 3
C2 43
1U _6 .3 V _0 4
C21 2 *. 1U_ X7 R_1 0V _ 04
RN24
4P 2R X4 7_ 04
1 4
2 3
C20 6
* . 1 U_ X7 R_1 0V _0 4
C2 29
10 U_ 10 V_ 08
C2 17
. 1U_ X7 R_1 0V _0 4
C24 2
*1 0U_ 10 V_ 08
C22 7 *. 1U_ X7 R_1 0V _ 04
C1 97
. 1U_ X7 R_1 0V_ 04
C20 7 .1 U_ X7 R_1 0V_0 4
C5 17
.1 U_ X7 R_1 0V_0 4
RN18
4P 2R X4 7_ 04
1 4
2 3
RN23
4P 2R X4 7_ 04
1 4
2 3
C1 08
1. 5 P _X 7R_ 50 V_ 04
RN27
4P 2R X4 7_ 04
1 4
2 3
J _ DI MM_2 A
2-17 34 07 2-2
A0
10 2
A1
10 1
A2
10 0
A3
9 9
A4
9 8
A5
9 7
A6
9 4
A7
9 2
A8
9 3
A9
9 1
A10 / AP
10 5
A11
9 0
A12
8 9
A13
11 6
A14
8 6
A15
8 4
A16 _BA 2
8 5
DQ0
5
DQ1
7
DQ2
17
DQ3
19
DQ4
4
DQ5
6
DQ6
14
DQ7
16
DQ8
23
DQ9
25
DQ10
35
DQ11
37
DQ12
20
DQ13
22
DQ14
36
DQ15
38
DQ16
43
DQ17
45
DQ18
55
DQ19
57
DQ20
44
DQ21
46
DQ22
56
DQ23
58
DQ24
61
DQ25
63
DQ26
73
DQ27
75
DQ28
62
DQ29
64
DQ30
74
DQ31
76
DQ32
12 3
DQ33
12 5
DQ34
13 5
DQ35
13 7
DQ36
12 4
DQ37
12 6
DQ38
13 4
DQ39
13 6
DQ40
14 1
DQ41
14 3
DQ42
15 1
DQ43
15 3
DQ44
14 0
DQ45
14 2
DQ46
15 2
DQ47
15 4
DQ48
15 7
DQ49
15 9
DQ50
17 3
DQ51
17 5
DQ52
15 8
DQ53
16 0
DQ54
17 4
DQ55
17 6
DQ56
17 9
DQ57
18 1
DQ58
18 9
DQ59
19 1
DQ60
18 0
DQ61
18 2
DQ62
19 2
DQ63
19 4
BA 0
10 7
BA 1
10 6
RA S #
10 8
W E #
10 9
CA S #
11 3
S 0#
11 0
S 1#
11 5
CK E 0
7 9
CK E 1
8 0
CK 0
3 0
CK 0#
3 2
CK 1
16 4
CK 1#
16 6
S DA
19 5 S CL
19 7 S A 1
20 0 S A 0
19 8
DM0
1 0
DM1
2 6
DM2
5 2
DM3
6 7
DM4
13 0
DM5
14 7
DM6
17 0
DM7
18 5
DQS 0
1 3
DQS 1
3 1
DQS 2
5 1
DQS 3
7 0
DQS 4
13 1
DQS 5
14 8
DQS 6
16 9
DQS 7
18 8
DQS 0 #
1 1
DQS 1 #
2 9
DQS 2 #
4 9
DQS 3 #
6 8
DQS 4 #
12 9
DQS 5 #
14 6
DQS 6 #
16 7
DQS 7 #
18 6
ODT 0
11 4
ODT 1
11 9
C1 07
1. 5 P _X 7R_ 50 V_ 04
C24 5
10 U_1 0V_ 08
C5 18
. 1 U_X 7R _1 0V _0 4
C23 0
. 22 U_X 7R_ 06
C19 8
2. 2 U_6 . 3V_0 6
C 52 1
. 1U_ X7 R_ 10V _ 04
J _ DI MM_2 B
2-17 34 07 2-2
VDD1
11 2
VDD2
11 1
VDD3
11 7
VDD4
9 6
VDD5
9 5
VDD6
11 8
VDD7
8 1
VDD8
8 2
VDD9
8 7
VDD1 0
10 3
VDD1 1
8 8
VDD1 2
10 4
VDDS P D
19 9
NC1
8 3
NC2
12 0
NC3
5 0
NC4
6 9
NCT E ST
16 3
VRE F
1
GND0
20 1
GND1
20 2
VS S1
4 7
VS S2
13 3
VS S3
18 3
VS S4
7 7
VS S5
1 2
VS S6
4 8
VS S7
18 4
VS S8
7 8
VS S9
7 1
VS S1 0
7 2
VS S1 1
12 1
VS S1 2
12 2
VS S1 3
19 6
VS S1 4
19 3
VS S1 5
8
VS S 16
18
VS S 17
24
VS S 18
41
VS S 19
53
VS S 20
42
VS S 21
54
VS S 22
59
VS S 23
65
VS S 24
60
VS S 25
66
VS S 26
12 7
VS S 27
13 9
VS S 28
12 8
VS S 29
14 5
VS S 30
16 5
VS S 31
17 1
VS S 32
17 2
VS S 33
17 7
VS S 34
18 7
VS S 35
17 8
VS S 36
19 0
VS S 37
9
VS S 38
21
VS S 39
33
VS S 40
15 5
VS S 41
34
VS S 42
13 2
VS S 43
14 4
VS S 44
15 6
VS S 45
16 8
VS S 46
2
VS S 47
3
VS S 48
15
VS S 49
27
VS S 50
39
VS S 51
14 9
VS S 52
16 1
VS S 53
28
VS S 54
40
VS S 55
13 8
VS S 56
15 0
VS S 57
16 2
C20 0
2. 2 U_6 . 3V_0 6
C22 3 *. 1U_ X7 R_1 0V _ 04
RN21
4P 2R X4 7_ 04
1 4
2 3
C22 6 .1 U_ X7 R_1 0V_0 4
C2 01
. 1U_ X7 R_1 0V_ 04
C21 4 .1 U_ X7 R_1 0V_0 4
C20 3
1U_ 6. 3 V_0 4
C2 13
. 1U_ X7 R_1 0V _ 04
RN26
4P 2R X4 7_ 04
1 4
2 3
C19 3
10U _1 0V_0 8
C52 0
. 1U _X7R_ 10 V_ 04
R1 30
4. 7 K _0 4
C20 9 *. 1U_ X7 R_1 0V _ 04
C 19 2
1 0U _10 V _0 8
RN19
4P 2R X4 7_ 04
1 4
2 3
C2 19
. 1U_ X7 R_1 0V_ 04
C2 08
. 1U_ X7 R_1 0V _ 04
C51 6
. 0 1U_ 16 V_ 04
C22 2 .0 1U _1 6V _0 4
RN29
4P 2R X4 7_ 04
1 4
2 3
+ C2 20
1 00 U_6 .3 V _B 2
C2 04
. 1U_ X7 R_1 0V_ 04
C21 6 *. 1U_ X7 R_1 0V _ 04
3 . 3V S
0. 9 V
1 .8 V
0 . 9V
3. 3 V S
1 . 8V
1 . 8V
1 .8 V
1 . 8V
1 . 8V
1 . 8V
1 . 8V
ME M_ MB 0_ ODT1
ME M_ MB _DM6
1. 8 V
1 . 8V
1 . 8V
1 . 8V
ME M_ MB _DM5
ME M_ MB _DM4
M EM_ MB _CL K 1_ P 4
M EM_ MB _CK E 0 4
MV RE F_ DI M
M EM_ MB 0_ CS #0 4
M EM_ MB _CL K 7_ N 4
M EM_ MB _CL K 1_ N 4
M EM_ MB 0_ CS #1 4
ME M_ MB _DM3
ME M_ MB _A DD[0. . 1 5] 4
M EM_ MB _CK E 1 4
M EM_ MB _CL K 7_ P 4
ME M_ MB _DM0 ME M_M B_ DM[0. . 7 ] 4
ME M_M B_ W E# 4
ME M_ MB_ BAN K[0 . . 2] 4
ME M_ MB 0_ ODT0
ME M_MB _ DQS 3_ P 4
M EM_ MB 0_ ODT0 4
M EM_ MB 0_ ODT1 4
ME M_ MB _DM7
ME M_ MB _DM2
ME M_MB _ DQS 7_ N 4
ME M_MB _ DQS 3_ N 4
ME M_MB _ DQS 6_ P 4
ME M_ MB _DM1
ME M_MB _ DQS 0_ N 4
ME M_MB _ DQS 1_ P 4
ME M_MB _ DQS 2_ P 4
ME M_MB _ DQS 4_ P 4
ME M_MB _ DQS 7_ P 4
ME M_MB _ DQS 5_ N 4
ME M_MB _ DQS 4_ N 4
ME M_MB _ DQS 1_ N 4
ME M_MB _ DQS 2_ N 4
ME M_MB _ DQS 6_ N 4
C PU_ ME MHOT # 5, 7
ME M_MB _ DQS 5_ P 4
ME M_MB _ DQS 0_ P 4
ME M_MB_ CLK 7_ N 4
ME M_MB_ CLK 1_ P 4
ME M_MB_ CLK 7_ P 4
S CL K0 2, 7 ,1 5
ME M_MB _ CA S# 4
ME M_MB _ RA S# 4
ME M_MB_ CLK 1_ N 4
MEM _MB_W E # 4
ME M_MB _ DA TA [63 : 0] 4
S DA TA 0 2, 7 ,1 5
MEM _MB0_ ODT 0 4
MEM _MB_C KE 0 4
MEM _MB0_ CS #1 4
MEM _MB0_ ODT 1 4
MEM _MB_C KE 1 4
MEM _MB0_ CS #0 4
MEM _MB_C AS # 4
MEM _MB_R AS # 4
ME M_ MB _DQS 4 _P
ME M_ MB _DQS 3 _N
ME M_ MB _DQS 6 _N
ME M_ MB _DQS 0 _P
ME M_ MB _DQS 1 _N
ME M_ MB _DQS 7 _N
ME M_ MB _DQS 5 _P
ME M_ MB _DQS 2 _N
ME M_ MB _DQS 4 _N
ME M_ MB _DQS 3 _P
ME M_ MB _DQS 0 _N
ME M_ MB _DQS 5 _N
ME M_ MB _DQS 2 _P
ME M_ MB _DQS 7 _P
ME M_ MB _DQS 6 _P
ME M_ MB _DQS 1 _P
SO-DIMM 1
M EM_ MB _A DD2
M EM_ MB _A DD4
to le ran ce 10 % 111 3
to le ran ce 10 % 111 3
M EM_ MB _B ANK 1
M EM_ MB _A DD0
Schematic Diagrams
B - 10 RS780M-1
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
RS780M-1
Sheet 9 of 35
RS780M-1

HDMI_ CLKP
HDMI_ CLKN
C466 0. 1u_10V_X7R_ 04
HDMI_ DATA1P
HDMI_ DATA1N
C467 0. 1u_10V_X7R_ 04
C461 0. 1u_10V_X7R_ 04
C460 0. 1u_10V_X7R_ 04
C453 0. 1u_10V_X7R_ 04
C454 0. 1u_10V_X7R_ 04
C449 0. 1u_10V_X7R_ 04
C448 0. 1u_10V_X7R_ 04
H T_ R X C A LN
H T_ R X C A LP
GFX_ TX0P
GFX_ TX0N
GFX_ TX1P
GFX_ TX1N
GFX_ TX2P
GFX_ TX2N
GFX_ TX3P
GFX_ TX3N
HDMI _DATA0P 13
HDMI _DATA1P 13
HDMI _DATA0N 13
HDMI _DATA1N 13
HDMI _DATA2P 13
HDMI _DATA2N 13
HDMI _CLKP 13
HDMI _CLKN 13
H T_ T XC AL N
H T _ TX C A L P
C431 . 1U_ X7R_16V_04
C439 . 1U_ X7R_16V_04
R303 301_ 1%_04
PART 2 OF 6
P
C
I
E

I
/
F


G
F
X
PCIE I/F GPP
PCIE I/F SB
U10B
RS780(RX780)
SB_TX3P
AD5
SB_ TX3N
AE5
GPP_TX2P
AA2
GPP_ TX2N
AA1
GPP_TX3P
Y1
GPP_ TX3N
Y2
SB_ RX3P
W5
SB_ RX3N
Y 5
GPP_RX2P
AD1
GPP_RX2N
AD2
GPP_RX3P
V5
GPP_RX3N
W6
SB_TX0P
AD7
SB_ TX0N
AE7
SB_TX1P
AE6
SB_ TX1N
AD6
SB_ RX0P
AA8
SB_ RX0N
Y 8
SB_ RX1P
AA7
SB_ RX1N
Y 7
PCE_CALRP(PCE_BCALRP)
AC8
PCE_CALRN(P CE_ BCALRN)
AB8
SB_ TX2N
AC6 SB_ RX2P
AA5
SB_ RX2N
AA6 SB_TX2P
AB6
GPP_RX0P
AE3
GPP_RX0N
AD4
GPP_RX1P
AE2
GPP_RX1N
AD3
GPP_TX0P
AC1
GPP_ TX0N
AC2
GPP_TX1P
AB4
GPP_ TX1N
AB3
GFX_ RX0P
D4
GFX_ RX0N
C4
GFX_ RX1P
A3
GFX_ RX1N
B3
GFX_ RX2P
C2
GFX_ RX2N
C1
GFX_ RX3P
E5
GFX_ RX3N
F5
GFX_ RX4P
G5
GFX_ RX4N
G6
GFX_ RX5P
H5
GFX_ RX5N
H6
GFX_ RX6P
J 6
GFX_ RX6N
J 5
GFX_ RX7P
J 7
GFX_ RX7N
J 8
GFX_ RX8P
L5
GFX_ RX8N
L6
GFX_ RX9P
M8
GFX_ RX9N
L8
GFX_ RX10P
P7
GFX_ RX10N
M7
GFX_ RX11P
P5
GFX_ RX11N
M5
GFX_ RX12P
R8
GFX_ RX12N
P8
GFX_ RX13P
R6
GFX_ RX13N
R5
GFX_ RX14P
P4
GFX_ RX14N
P3
GFX_ RX15P
T4
GFX_ RX15N
T3
GFX_TX0P
A5
GFX_ TX0N
B5
GFX_TX1P
A4
GFX_ TX1N
B4
GFX_TX2P
C3
GFX_ TX2N
B2
GFX_TX3P
D1
GFX_ TX3N
D2
GFX_TX4P
E2
GFX_ TX4N
E1
GFX_TX5P
F4
GFX_ TX5N
F3
GFX_TX6P
F1
GFX_ TX6N
F2
GFX_TX7P
H4
GFX_ TX7N
H3
GFX_TX8P
H1
GFX_ TX8N
H2
GFX_TX9P
J 2
GFX_ TX9N
J 1
GF X_ TX10P
K4
GFX_TX10N
K3
GF X_ TX11P
K1
GFX_TX11N
K2
GF X_ TX12P
M4
GFX_TX12N
M3
GF X_ TX13P
M1
GFX_TX13N
M2
GF X_ TX14P
N2
GFX_TX14N
N1
GF X_ TX15P
P1
GFX_TX15N
P2
GPP_TX4P
Y4
GPP_ TX4N
Y3
GPP_TX5P
V1
GPP_ TX5N
V2
GPP_RX4P
U5
GPP_RX4N
U6
GPP_RX5P
U8
GPP_RX5N
U7
R269 1.27K_1%_ 04
C11 . 1U_X7R_ 16V_ 04
C425 . 1U_X7R_ 16V_ 04
C9 . 1U_ X7R_16V_04
PART 1 OF 6
H
Y
P
E
R

T
R
A
N
S
P
O
R
T

C
P
U

I
/
F
U10A
RS780(RX780)
HT_ RXCAD15P
U19
HT_ RXCAD15N
U18
HT_ RXCAD14P
U20
HT_ RXCAD14N
U21
HT_ RXCAD13P
V21
HT_ RXCAD13N
V20
HT_ RXCAD12P
W21
HT_ RXCAD12N
W20
HT_ RXCAD11P
Y 22
HT_ RXCAD11N
Y 23
HT_ RXCAD10P
AA24
HT_ RXCAD10N
AA25
HT_ RXCAD9P
AB25
HT_ RXCAD9N
AB24
HT_ RXCAD8P
AC24
HT_ RXCAD8N
AC25
HT_ RXCAD7P
N24
HT_ RXCAD7N
N25
HT_ RXCAD6P
P 25
HT_ RXCAD6N
P 24
HT_ RXCAD5P
P 22
HT_ RXCAD5N
P 23
HT_ RXCAD4P
T25
HT_ RXCAD4N
T24
HT_ RXCAD3P
U24
HT_ RXCAD3N
U25
HT_ RXCAD2P
V25
HT_ RXCAD2N
V24
HT_ RXCAD1P
V22
HT_ RXCAD1N
V23
HT_ RXCAD0P
Y 25
HT_ RXCAD0N
Y 24
HT_ RXCLK1P
AB23
HT_ RXCLK1N
AA22
HT_ RXCLK0P
T22
HT_ RXCLK0N
T23
HT_ RXCTL0P
M22
HT_ RXCTL0N
M23
HT_ RXCTL1P
R21
HT_ RXCTL1N
R20
HT_ RXCALP
C23
HT_ RXCALN
A24
HT_TXCAD15P
P18
HT_ TXCAD15N
M18
HT_TXCAD14P
M21
HT_ TXCAD14N
P21
HT_TXCAD13P
M19
HT_ TXCAD13N
L18
HT_TXCAD12P
L19
HT_ TXCAD12N
J 19
HT_TXCAD11P
J 18
HT_ TXCAD11N
K17
HT_TXCAD10P
J 20
HT_ TXCAD10N
J 21
HT_ TXCAD9P
G20
HT_TXCAD9N
H21
HT_ TXCAD8P
F21
HT_TXCAD8N
G21
HT_ TXCAD7P
K23
HT_TXCAD7N
K22
HT_ TXCAD6P
K24
HT_TXCAD6N
K25
HT_ TXCAD5P
J 25
HT_TXCAD5N
J 24
HT_ TXCAD4P
H23
HT_TXCAD4N
H22
HT_ TXCAD3P
F23
HT_TXCAD3N
F22
HT_ TXCAD2P
F24
HT_TXCAD2N
F25
HT_ TXCAD1P
E24
HT_TXCAD1N
E25
HT_ TXCAD0P
D24
HT_TXCAD0N
D25
HT_ TXCLK 1P
L21
HT_TXCLK1N
L20
HT_ TXCLK 0P
H24
HT_TXCLK0N
H25
HT_ TXCTL0P
M24
HT_ TXCTL0N
M25
HT_ TXCTL1P
P19
HT_ TXCTL1N
R18
HT_ TXCALP
B24
HT_ TXCALN
B25
C444 . 1U_X7R_ 16V_ 04
R23 2K_ 1%_ 04
C10 . 1U_ X7R_16V_04
C434 . 1U_ X7R_16V_04
C12 . 1U_X7R_ 16V_ 04
C438 . 1U_ X7R_16V_04
R302 301_ 1%_ 04
C435 . 1U_X7R_ 16V_ 04
C16 . 1U_X7R_ 16V_ 04
C8 . 1U_ X7R_16V_04
C436 . 1U_X7R_ 16V_ 04
HT_CPU_NB_ CAD_ H0 3
VDD_ PCIE
HT_CPU_NB_ CAD_ L1 3
HT_CPU_NB_ CAD_ H1 3
HT_CPU_NB_ CAD_ L0 3
HT_CPU_NB_ CAD_ H2 3
HT_CPU_NB_ CAD_ H3 3
HT_CPU_NB_ CAD_ L2 3
HT_CPU_NB_ CAD_ H7 3
HT_CPU_NB_ CAD_ L5 3
HT_CPU_NB_ CAD_ H4 3
HT_CPU_NB_ CAD_ L6 3
HT_CPU_NB_ CAD_ H6 3
HT_CPU_NB_ CAD_ L4 3
HT_CPU_NB_ CAD_ H5 3
HT_CPU_NB_ CAD_ H8 3
HT_CPU_NB_ CAD_ L7 3
HT_CPU_NB_ CAD_ L3 3
HT_CPU_NB_ CAD_ L9 3
HT_CPU_NB_ CAD_ H9 3
HT_CPU_NB_ CAD_ L8 3
HT_CPU_NB_ CAD_ H11 3
HT_CPU_NB_ CAD_ L10 3
HT_CPU_NB_ CAD_ H10 3
HT_CPU_NB_ CAD_ H14 3
HT_CPU_NB_ CAD_ H12 3
HT_CPU_NB_ CAD_ L11 3
HT_CPU_NB_ CAD_ L12 3
HT_CPU_NB_ CAD_ L15 3
HT_CPU_NB_ CAD_ H13 3
HT_CPU_NB_ CAD_ L14 3
HT_CPU_NB_ CLK_ H0 3
HT_CPU_NB_ CAD_ L13 3
HT_CPU_NB_ CAD_ H15 3
HT_CPU_NB_ CLK_ H1 3
HT_CPU_NB_ CLK_ L1 3
HT_CPU_NB_ CLK_ L0 3
HT_CPU_NB_ CTL_H0 3
HT_CPU_NB_ CTL_L0 3
HT_CPU_NB_ CTL_H1 3
HT_NB_CPU_ CAD_ L0 3
HT_NB_CPU_ CAD_ H0 3
HT_CPU_NB_ CTL_L1 3
HT_NB_CPU_ CAD_ L2 3
HT_NB_CPU_ CAD_ H2 3
HT_NB_CPU_ CAD_ L1 3
HT_NB_CPU_ CAD_ H1 3
HT_NB_CPU_ CAD_ H4 3
HT_NB_CPU_ CAD_ L3 3
HT_NB_CPU_ CAD_ H3 3
HT_NB_CPU_ CAD_ L5 3
HT_NB_CPU_ CAD_ H5 3
HT_NB_CPU_ CAD_ L4 3
HT_NB_CPU_ CAD_ L7 3
HT_NB_CPU_ CAD_ L6 3
HT_NB_CPU_ CAD_ H6 3
HT_NB_CPU_ CAD_ L11 3
HT_NB_CPU_ CAD_ L14 3
HT_NB_CPU_ CAD_ H7 3
HT_NB_CPU_ CAD_ L15 3
HT_NB_CPU_ CAD_ L13 3
HT_NB_CPU_ CAD_ L9 3
HT_NB_CPU_ CAD_ L12 3
HT_NB_CPU_ CAD_ H10 3
HT_NB_CPU_ CAD_ H12 3
HT_NB_CPU_ CAD_ H8 3
HT_NB_CPU_ CAD_ L8 3
HT_NB_CPU_ CAD_ H9 3
HT_NB_CPU_ CAD_ L10 3
HT_NB_CPU_ CAD_ H15 3
HT_NB_CPU_ CAD_ H11 3
HT_NB_CPU_ CAD_ H13 3
HT_NB_CPU_ CLK_L0 3
HT_NB_CPU_ CLK_H0 3
HT_NB_CPU_ CAD_ H14 3
HDMI_ DATA2P
HT_NB_CPU_ CTL_ H0 3
HT_NB_CPU_ CTL_ L1 3
HT_NB_CPU_ CLK_L1 3
HT_NB_CPU_ CLK_H1 3
HDMI_ DATA2N
HT_NB_CPU_ CTL_ L0 3
HT_NB_CPU_ CTL_ H1 3
HDMI_ DATA0P
HDMI_ DATA0N
P CI E_S B_NB_ RX3N 14
P CI E_S B_NB_ RX0N 14
P CI E_S B_NB_ RX2N 14
P CI E_S B_NB_ RX1P 14
P CI E_S B_NB_ RX1N 14
P CI E_S B_NB_ RX3P 14
P CI E_S B_NB_ RX0P 14
P CI E_S B_NB_ RX2P 14
PCI E_ NB_ CARDREADER_ RXN 21
PCI E_ NB_ CARDREADER_ RXP 21
PCIE_ NB_MI NI CARD_ RXP 18
PCIE_ NB_MI NI CARD_ RXN 18
PCIE_ NB_E XPCARD_ RXN 18
PCIE_ NB_E XPCARD_ RXP 18
PCI E_NB_ MI NICARD_ TXN 18
GPP_TX0N_ C
GPP_TX0P_ C
PCI E_NB_ EXPCARD_TXN 18
PCI E_NB_ EXPCARD_TXP 18
PCI E_NB_ SB_ TX0N 14
PCI E_NB_ SB_ TX3N 14
GPP_TX2N_ C
GPP_TX3N_ C
GPP_TX2P_ C
GPP_TX3P_ C
PCI E_NB_ MI NICARD_ TXP 18
PCI E_NB_ SB_ TX1N 14
PCI E_NB_ SB_ TX3P 14
PCI E_NB_ SB_ TX2P 14
PCI E_NB_ CA RDRE ADE R_ TXP 21
PCI E_NB_ CA RDRE ADE R_ TXN 21
PCI E_NB_ SB_ TX0P 14
PCI E_NB_ SB_ TX2N 14
PCI E_NB_ SB_ TX1P 14
A_ TX0N_ C A_ TX0N_ C
A_ TX0P_C A_ TX0P_C
Z0902
A_ TX1N_ C A_ TX1N_ C
A_ TX1P_C A_ TX1P_C
Z0901
A_ TX3P_C
A_ TX3N_ C
A_ TX2N_ C
A_ TX2P_C
Schematic Diagrams
RS780M-2 B - 11
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
RS780M-2

C4 87
4 . 7U_ 6. 3V_ 06
C71
10 U_6 . 3V_0 6
L9 HCB16 08 KF -1 21T 25
R2 95 3K _0 4
NB_L DT_ S TOP #
R67
*0 _0 402 _5 mi l_ sh ort
R70
*0_ 04 02 _2 0mi l _ sh ort
L 52 HCB16 08 KF -12 1T 25
R3 4
* 1. 27 K _0 4
R5 5
* 0_ 040 2_ 5m il _s ho rt
R29 4
1. 8 K_ 1% _0 4
C72
2. 2U_ 6. 3 V_ 06
R2 9
*0 _0 40 2_ 5mi l _sh ort
R60 1 50 _1 %_ 04
C62 2 2P _ 50V_ 04
R54
1 . 27 K _04
L50 HCB16 08 K F-1 21 T2 5
R42 1 40 _1 %_ 04
R61 1 50 _1 %_ 04
R5 8 *0 _04 02 _5 mi l _ sh ort
C5 8
2. 2 U_6 . 3V_0 6
R7 3
1 0K _0 4
C63 2 2P _ 50V_ 04
R 72
* 0_ 040 2_ 5m i l _s ho rt
R2 5 4 .7 K _0 4
C61
2 . 2U_ 6. 3 V_ 06
L1 5 HCB16 08 K F-1 21 T2 5
R2 80 *3K _ 04
R28 1 4 . 7K _0 4
C4 81
2 . 2U_ 6. 3 V_ 06
C9 5
1 0U_ 6. 3V_ 08
R2 87 10 K_ 04
R2 8 *0_ 04
R3 3
*0 _0 40 2_ 5mi l _sh ort
R30 4 * 0_ 04 02_ 5m i l _s ho rt
R2 6 4. 7 K_ 04
P A R T 3 OF 6
P
M
C
L
O
C
K
s
P
L
L
P
W
R
MIS.
C
R
T
/T
V
O
U
T
L
V
T
M
U1 0C
RS 78 0(R X78 0)
VDDA1 8HT PL L
H1 7
SY S RE S ET b
D 8
POW E RGOOD
A1 0
LDTS T OP b
C1 0
AL LOW _L DTS T OP
C1 2
REF CL K_ P /OS CI N(OS CI N)
E 1 1
PL LVDD(NC)
A1 2
HPD(NC)
D10
DDC_DATA0 /AUX0N(NC)
B8 DDC_C L K0 / AU X0P (NC)
A8
THE RMALDI ODE _P
AE 8
T HE RMAL DI ODE _N
AD 8
I2 C_CL K
B9
ST RP _DATA
B1 0
GFX_RE F CLK P
T 2
GFX_RE F CLK N
T 1
GPP _ R EF CL KP
U 1
GPP _ R EF CL KN
U 2
PL LVDD1 8(NC)
D1 4
PL LVS S(NC)
B1 2
TXOUT_ L0 P(NC)
A2 2
T XOUT _L 0N(NC)
B2 2
TXOUT_ L1 P(NC)
A2 1
T XOUT _L 1N(NC)
B2 1
TXOUT_ L2 P(NC)
B2 0
TXOUT _L2 N(DBG_GP I O0)
A2 0
TXOUT_ L3 P(NC)
A1 9
T XOUT _U0 P(NC)
B1 8
TXOUT _L3 N(DBG_GP I O2)
B1 9
TXOUT_ U0N(NC)
A1 8
TXOUT_ U1P (P CI E_ RE SE T _GP I O3)
A1 7
TXOUT_ U1N(P CI E_ RE SE T _GP I O2)
B1 7
T XOUT _U2 P(NC)
D20
TXOUT_ U2N(NC)
D21
TXOUT_ U3P (P CI E_ RE SE T _GP I O5)
D18
TXOUT_ U3N(NC)
D19
T XCL K_ LP (DBG_GP I O1)
B1 6
TXCLK _L N(DBG_GP I O3)
A1 6
TX CLK _UP (P C I E_ RE SE T _GP I O4)
D16
T XCL K _UN(P CI E_ RE SE T _GP I O1)
D17
VDDLT P1 8(NC)
A1 3
VS S LT P1 8(NC)
B1 3
C_P r(DFT _GP I O5)
E 1 7
Y ( DF T _GP I O2)
F 1 7
COMP_ P b(DF T_ GP IO4 )
F 1 5
RED(DF T _GP I O0)
G1 8
TMDS _ HPD(NC)
D9
I2 C_DA T A
A9
TE S TMODE
D13
HT_R EF CL KN
C2 4 HT_R EF CL KP
C2 5
S US _S T AT #(P W M_GP I O5)
D12
GREE N(DF T_ GP I O 1)
E 1 8
BL UE ( DF T _GP I O3)
E 1 9
DAC_ V SY NC(P W M_GP I O6)
B1 1 DAC_ HS Y NC(PW M_ GPI O4 )
A1 1
DAC_ R S ET (PW M_ GPI O1 )
G1 4
AVDD1 (N C)
F 1 2
AVDD2 (N C)
E 1 2
REDb (NC)
G1 7
GREE Nb (NC)
F 1 8
AVDDDI (NC)
F 1 4
AVS S DI(NC)
G1 5
AVDDQ(NC)
H1 5
AVS S Q(N C)
H1 4
VD DLT 18_ 2(NC)
B1 5
VD DLT 33_ 1(NC)
A1 4
VD DLT 33_ 2(NC)
B1 4
VS S LT 1(VS S)
C14
VS S LT 2(VS S)
D15
VD DLT 18_ 1(NC)
A1 5
VS S LT 3(VS S)
C16
VS S LT 4(VS S)
C18
VS S LT 5(VS S)
C20
L VDS_ DI GON(P CE_ TC AL RP)
E9
LVDS _BLON(P CE _RC AL RP)
F7
LVDS _E NA_BL(P W M_GP I O2)
G12
VS S LT 6(VS S)
E2 0
VDDA1 8P CI EP L L1
D 7
VDDA1 8P CI EP L L2
E 7
BL UE b(NC)
F 1 9
AUX_CAL ( NC)
C 8
GPP S B _RE F CLK P (SB_R EF CL KP )
V4
GPP S B _RE F CLK N(S B_ RE FCL K N)
V3
DDC_DATA1 /AUX1N(NC)
A7 DDC_C L K1 / AU X1P (NC)
B7
DAC_ S CL(P CE _RCALRN)
F 8
DAC_ S DA(P CE _T CALRN)
E 8
REF CL K_ N(PW M_ GPI O3 )
F 1 1
VS S LT 7(VS S)
C22
RSVD
G1 1
C4 76
2. 2 U_6 .3 V_0 6
R4 1 *0_ 04 02 _5 mi l _ sh ort
L1 2 HCB16 08 KF -1 21T 25
R6 9
71 5_1 %_ 04
R32
1. 2 7K _0 4
C90
2 . 2U_ 6. 3V_ 06
R3 05
*0 _0 40 2_ 5mi l _s hort
L1 4 HCB16 08 K F-1 21 T2 5
R7 4 *0 _04
R4 0 *0_ 04 02 _5 mi l _ sh ort
C57
2. 2U_ 6. 3 V_ 06
L11 HCB1 608 K F-1 21 T2 5
R28 9 4 . 7K _0 4
R 27 3 0 _0 4
C48 0
. 1U_ 16 V_ 04
C64 2 2P _ 50V_ 04
R 2 96
3 00 _0 4
C73
2. 2U_ 6. 3 V_ 06
S
B
D
_
M
E
M
/
D
V
O
_
I
/
F
P A R 4 O F 6
U10 D
R S 78 0(RX7 80 )
ME M_ A0 (NC)
AB12
ME M_ A1 (NC)
AE 16
ME M_ A2 (NC)
V11
ME M_ A3 (NC)
AE 15
ME M_ A4 (NC)
AA12
ME M_ A5 (NC)
AB16
ME M_ A6 (NC)
AB14
ME M_ A7 (NC)
AD14
ME M_ A8 (NC)
AD13
ME M_ A9 (NC)
AD15
ME M_ A1 0(NC)
AC16
ME M_ A1 1(NC)
AE 13
ME M_ A1 2(NC)
AC14
ME M_ A1 3(NC)
Y 14
ME M_ BA0 (N C)
AD16
ME M_ BA1 (N C)
AE 17
ME M_ BA2 (N C)
AD17
ME M_ RAS b (NC)
W 12
ME M_ CAS b (NC)
Y 12
ME M_ WE b(NC)
AD18
ME M_ CSb (NC)
AB13
ME M_ CKE (NC)
AB18
ME M_ ODT(NC)
V14
ME M_ CKP (NC)
V15
ME M_ CKN(NC)
W 14
ME M_DM0 (NC)
W 17
ME M_ DM1/ DVO_D8 (NC)
AE 19
ME M_DQS 0P / DVO_I DCK P (NC)
Y 1 7
ME M_D QS0 N/ DVO_I DCK N(NC)
W 18
ME M_ DQS 1P (NC)
AD20
ME M_DQ S1 N(NC)
AE 21
ME M_DQ0 /DV O_VS Y NC(NC)
AA18
ME M_DQ1 /DVO_ HS Y NC(NC)
AA20
ME M_DQ2 / DVO _DE (NC)
AA19
ME M_ DQ3/ DVO_D0 (NC)
Y 1 9
ME M_DQ4 (NC)
V17
ME M_ DQ5/ DVO_D1 (NC)
AA17
ME M_ DQ6/ DVO_D2 (NC)
AA15
ME M_ DQ7/ DVO_D4 (NC)
Y 1 5
ME M_ DQ8/ DVO_D3 (NC)
AC20
ME M_ DQ9/ DVO_D5 (NC)
AD19
ME M_DQ1 0/ DVO_D6 (NC)
AE 22
ME M_DQ1 1/ DVO_D7 (NC)
AC18
M EM_ DQ12 (NC)
AB20
ME M_DQ1 3/ DVO_D9 (NC)
AD22
ME M_ DQ14 /DVO_ D10 (NC)
AC22
ME M_ DQ15 /DVO_ D11 (NC)
AD21
ME M_ COMP P(NC)
AE 12
ME M_ COMP N( NC )
AD12
ME M_VRE F (NC)
AE 18
I OP L LVDD18 (NC)
AE 23
I OP LL VS S (NC)
AD23
I OP LL VDD(NC)
AE 24
3. 3 VS
1. 1VS
1. 8VS
1 . 1VS
1. 8 VS
3 . 3VS
1 . 1VS
1 . 8VS
1 . 8 VS
1. 8 VS
1. 8VS
3 . 3VS
1. 8VS
3. 3 VS
3. 3 VS
NBGF X_ CLK P
NB _CRT _DDC_ CLK 1 3
NB_ OS C 2
NB_ PW RGD_ I N 26
NB_VGA_G 13
NB_VGA_R 13
NB _CRT _DDC_ DATA 1 3
NB _L VDS_ TX_L 0N 12
NB _L VDS_ TX_L 0P 12
NB_VGA_B 13
NB _L VDS_ TX_L 2P 12
NB _L VDS_ TX_L 1N 12
NB _L VDS_ TX_L 1P 12
NB _L VDS_ TX_U0 N 12
NB _L VDS_ TX_U0 P 1 2
NB _L VDS_ TX_U2 N 12
NB _L VDS_ TX_L 2N 12
NB _L VDS_ TX_U1 P 1 2
NB _L VDS_ TX_U1 N 12
NB _L VDS_ TX_U2 P 1 2
NB _L VDS_ TX_CL K LP 12
NB _L VDS_ TX_CL K UN 1 2
NB _L VDS_ TX_CL K LN 12
S US_ S TAT# 1 5
TMDS _HP D0 1 3
NB _L VDS_ TX_CL K UP 1 2
NB GF X_ CLK P 2
NBHT _CL K N 2
NBHT _CL K P 2
NB_HDMI _ DDC _DAT A 1 3
S B LI NK _CL K N 2
S B LI NK _CL K P 2
NB GF X_ CLK N 2
NB_LC D_DDC_ CLK 1 2
A_RS T # 14 , 16 , 26
NB_HDMI _ DDC _CL K 1 3
ALL OW _LD TS TOP 14
C PU_ LDT _RE Q# 5
NB_LC D_DDC_ DAT A 1 2
NB_ LCD_ BKL _E N 12
NB_ LCD_ P WR_ EN 1 2
CP U_L DT_ ST OP # 5, 1 4
VS Y NC# 13
HSY NC # 13
NBHT_ C LK P
NB_HDMI _ DDC_C L K
NB_HDMI _ DDC_DAT A
HS Y NC#
Z10 14
Z10 15
Z10 74
NB_AL LOW _L DTS TOP
OC/1 . 8V I N
*
VS Y NC#
T ES T_ E N
Z10 22
Z10 21
600mA
R S 7 40 / R S 7 8 0: E n a b l e s S i d e p o r t m e m o r y
RS740: RS740_DFT_ GPI O0
RS780: HSYNC#
Sel ect s i f Memor y SI DE PORT i s avai l a bl e or not
1 = Memor y Si de por t Not avai l abl e
0 = Memor y Si de por t avai l abl e
Regi st er Readbac k of st r ap:
NB_CLKCFG: CLK_TOP_SPARE_D[ 1]
OC
Z10 18
OC
Z10 05
L DT_ ST OP #
I N(de fa ul t)/I N
Z1 06 0
Z1 05 5
Z1 05 9
Z1 06 1
Z1 05 7
Z1 05 0
Z1 05 1
Z1 05 2
Z1 06 2
Z1 05 3
Z1 05 8
Z1 05 4
Z1 05 6
Z1 06 9
Z1 07 2
Z1 06 5
Z1 06 4
Z1 06 7
Z1 06 6
Z1 07 0
Z1 06 3
Z1 07 1
Z1 06 8
Z10 16
ALL OW _LD TS TOP
OUT (de fau lt)/ I N
2 008/03/18
1. 8 VI N
VS Y NC#
NB_ REF CL K_ N
3 . 3V I N
Z10 79
1 . 8V I N
Z10 20
*, CL MC mod e: NB se nd L DT_ S TOP #, A LL OW _LD TS TOP wil l b ec ome in pu t
Z10 24
Z10 25
Z10 26
Z10 23
Z10 30
Z10 27
Z10 39
Z10 31
Z10 28
Z10 40
Z10 32
Z10 29
Z10 41
Z10 42
Z10 36
Z10 33
Z10 37
Z10 34
Z10 38
Z10 35
Z10 46
Z10 48
Z10 47
Z10 43
Z10 44
Z10 49
Z10 45
NB_ REF CL K_ P
R X 7 4 0/ R S 7 4 0 /R S 78 0 d i f f e r e n ce t a b l e
3 . 3V I N/ OC
*
NB_L CD_DDC_ DATA
HS Y NC#
NB_P W RGD
I N
NB_L CD_DDC_ CLK
S T R A P _ D E B U G_ B U S _ GP I O _E N A B L Eb
Enabl es t he Test Debug Bus usi ng GPI O.
RX780: NB_TV_C; RS740: RS740_DFT_GPI O5; RS780: VSYNC#
RS740/ RS780 RX780
1 Di sabl e Enabl e
0 Enabl e Di sabl e
Z10 04
Z10 73
A VDDD I
RS 7 80
CK _AVDD
1. 8 VI N
Z10 08
Z10 09
Z10 11
Z10 12
Z10 06
Z10 07
150Rtermination<1 inchtrace
P LL VDD18
P LL VDD
RX7 80
Z10 03
VDDA18 P CI EP L L
VDDA18 HTP L L
RS 7 40
3 . 3V I N
Z10 01
Z10 02
NBHT_ C LK N
NBGF X_ CLK N
S BLI NK _ CLK P
S BLI NK _ CLK N
NB_ LDT _S TOP #
A_ RS T#
NB_ ALL OW_ LDT ST OP
Z10 78
Z10 77
Z10 76
Z10 13
ST RP _DATA 29
L16 HCB1 608 K F-1 21 T2 5
R28 2 4 . 7K _0 4
R6 8 3K _0 4
R28 8 4 . 7K _0 4
R2 86 *3K _ 04
Sheet 10 of 35
RS780M-2
Schematic Diagrams
B - 12 RS780M-3
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
RS780M-3

+1. 8 V
+1 . 1 V
VD D _M E M
N C
RS740
N C
+1 . 2 V
+3 . 3 V
N C
AVD D
+1 . 8 V
+1 . 8 V
+1. 8 V
I O P L L VDD 1 8
VD D HT T X
VD DL T P 1 8
+1. 8 V
+1 . 8 V
+1 . 8 V
RS740
N C VD DL T 3 3
+1. 2 V
+1 . 8 V
AVD DD I
+1. 8 V
+3 . 3 V
+1. 1 V
I OP LL V DD +1 . 2 V
+1 . 8 V
VD D C
VD D HT
+1 . 8 V
+1 . 8 V
+3 . 3 V
+1 . 8 V/1 . 5 V
N C
N C
+1 . 2 V
N C
RX780
P L L VDD
+1 . 8 V
+1 . 1 V
VD DL T 1 8
VD D G1 8
+1 . 1 V
+1 . 8 V
+1 . 2 V
+1 . 8 V
RS780
N C VD D G3 3
+1 . 2 V
N C
+1 . 1 V
P I N N AME
+1 . 8 V/1 . 5 V
VD DA1 8 H TP LL
N C
+1 . 8 V
+1 . 8 V
N C
VD D P CI E
+1 . 8 V
+1 . 8 V
VD D HT RX
+1. 1 V
R S740 /R X78 0 /RS 78 0 POWER D IFFEREN CE TA BL E
VD D A 1 8P CI E
+3. 3 V
+1 . 1 V
N C +1 . 2 V
+1. 1 V
+1 . 8 V N C
AVD DQ
+3 . 3 V
P L L VDD 1 8
+1 . 8 V
N C
N C
+1 . 1 V
VD DA1 8 P C I E P L L
N C
RS780
+1 . 8 V
+1 . 2 V +1. 1 V
P I N NA ME RX780
+1 . 8 V N C
N C
VD D 18 _ M E M
VD DA 18 P CI E
C 20
. 1 U_ 1 6 V_ 0 4
C2 3
. 1 U_ 1 6 V_ 0 4
C3 0
. 1 U_ 1 6 V _ 0 4
C4 6 5
1 U_ 1 0 V_ 0 6
C2 4
. 1U _ 1 6V _ 04
C 39
1 U_ 1 0 V_ 0 6
L 5 1 HC B1 6 0 8 K F -1 2 1 T2 5
C 31
4 . 7 U_ 6 . 3 V_ 0 6
C 2 1
1 0 U_ 6 . 3 V_ 0 6
C4 3 0 * 1U _ 1 0 V_ 06
C 32
. 1 U _1 6 V_ 0 4
C4 7 9
. 1 U_ 1 6 V_ 0 4
L 8
HC B1 6 0 8K F -1 2 1T 2 5
C 2 5
. 1 U _ 16 V _0 4 C1 9
. 1 U _ 1 6V _0 4
C 1 7
. 1 U_ 1 6 V_ 0 4
C4 4
. 1 U _ 16 V_ 0 4
C4 5
. 1 U_ 1 6 V_ 0 4
C2 6 4 . 7 U _ 6. 3V _0 6
C4 0
4 . 7 U _ 6. 3V _0 6
C5 4
. 1 U_ 1 6 V_ 0 4
C4 8 6 4 . 7 U_ 6 . 3 V_ 0 6
R 2 7 2 * 0_ 0 6 0 3 _3 2 m i l _s h o rt
L 6 H CB1 6 0 8 K F -1 2 1 T 2 5
C 1 3
. 1U _ 1 6V _ 04
L 7 H CB1 6 0 8 K F -1 2 1 T 2 5
C 82
. 1 U_ 1 6 V_ 0 4
C4 6
4 . 7 U_ 6 . 3 V_ 0 6
C 1 4
. 1 U _1 6 V_ 0 4
L 1 0 HC B1 6 0 8 K F -1 2 1 T2 5
C 22
. 1 U_ 1 6 V_ 0 4
PAR T 6/6
G
R
O
U
N
D
U 1 0F
RS 7 8 0 (R X7 8 0 )
VS S A HT 1
A 25
VS S A HT 2
D 2 3
VS S A HT 3
E 22
VS S A HT 4
G 2 2
VS S A HT 5
G 2 4
VS S A HT 6
G 2 5
VS S A HT 7
H 1 9
VS S A HT 8
J 2 2
VS S A HT 9
L 1 7
VS S A HT 1 0
L 2 2
VS S A HT 1 1
L 2 4
VS S A HT 1 2
L 2 5
VS S A HT 1 3
M 2 0
VS S A HT 1 4
N 2 2
VS S A HT 1 5
P 20
VS S A HT 1 6
R 1 9
VS S A HT 1 7
R 2 2
VS S A HT 1 8
R 2 4
VS S A HT 1 9
R 2 5
VS S A HT 2 1
U 2 2
VS S A HT 2 2
V 19
VS S A HT 2 3
W 22
VS S A HT 2 4
W 24
VS S A HT 2 5
W 25
VS S A HT 2 6
Y 2 1
VS S A HT 2 7
A D2 5
V S S 2
D1 1
V S S 3
G8
V S S 4
E 1 4
V S S 5
E 1 5
V S S 7
J 1 2
V S S 8
K 1 4
V S S 9
M1 1
V S S 1 0
L1 5
V S S 1 1
L 1 2
V S S 1 2
M 1 4
V S S 1 3
N 1 3
V S S 1 4
P 12
V S S 1 5
P 15
V S S 1 6
R 1 1
V S S 1 7
R 1 4
V S S 1 8
T 1 2
V S S 1 9
U 1 4
V S S 2 0
U 1 1
V S S 2 1
U 1 5
V S S 2 2
V 12
V S S 2 3
W 11
V S S 2 4
W 15
V S S 2 5
A C1 2
V S S 2 6
A A1 4
V S S 2 7
Y 1 8
V S S 2 8
A B1 1
V S S 2 9
A B1 5
V S S 3 0
A B1 7
V S S 3 1
A B1 9
V S S 3 2
A E 2 0
V S S AP C I E 1
A 2
V S S AP C I E 2
B 1
V S S AP C I E 3
D3
V S S AP C I E 4
D5
V S S AP C I E 5
E 4
V S S AP C I E 6
G1
V S S AP C I E 7
G2
V S S AP C I E 8
G4
V S S AP C I E 9
H7
V S S AP C I E 1 0
J 4
V S S AP C I E 1 1
R 7
V S S AP C I E 1 2
L 1
V S S AP C I E 1 3
L 2
V S S AP C I E 1 4
L 4
V S S AP C I E 1 5
L 7
V S S 3 4
K 11
V S S AP C I E 1 6
M6
V S S AP C I E 1 7
N4
V S S AP C I E 1 8
P 6
V S S AP C I E 1 9
R 1
V S S AP C I E 2 0
R 2
V S S AP C I E 2 1
R 4
V S S AP C I E 2 2
V 7
V S S AP C I E 2 3
U4
V S S AP C I E 2 4
V 8
V S S AP C I E 2 5
V 6
V S S AP C I E 2 6
W 1
V S S AP C I E 2 7
W 2
V S S AP C I E 2 8
W 4
V S S AP C I E 2 9
W 7
V S S AP C I E 3 0
W 8
V S S AP C I E 3 1
Y 6
V S S AP C I E 3 2
AA 4
V S S AP C I E 3 3
AB 5
V S S AP C I E 3 4
AB 1
V S S AP C I E 3 5
AB 7
V S S AP C I E 3 6
A C3
V S S AP C I E 3 7
A C4
V S S AP C I E 3 8
AE 1
V S S AP C I E 3 9
AE 4
V S S AP C I E 4 0
AB 2
V S S 1
AE 1 4
VS S A HT 2 0
H 2 0
V S S 3 3
A B2 1
V S S 6
J 1 5
C 60
. 1 U_ 1 6 V_ 0 4
C 4 3
. 1 U_ 1 6 V _ 0 4
C5 5 1U _ 1 0V _0 6
C 1 8
4 . 7 U _6 . 3 V_ 0 6
PART 5/ 6
P
O
W
E
R
U1 0 E
RS 7 8 0 (RX7 8 0 )
V DD HT _ 1
J 1 7
V DD HT _ 2
K 1 6
V DD HT _ 3
L 1 6
V DD HT _ 4
M1 6
V DD HT _ 5
P 1 6
V DD HT _ 6
R1 6
V DD HT _ 7
T 1 6
V DD HT T X_ 1
AE 2 5
V DD HT T X_ 2
A D2 4
V DD HT T X_ 3
A C2 3
V DD HT T X_ 4
AB2 2
V DD HT T X_ 5
AA2 1
V DD HT T X_ 6
Y 2 0
V DD HT T X_ 7
W 1 9
V DD HT T X_ 8
V1 8
V DD HT R X_1
H1 8
V DD HT R X_2
G1 9
V DD HT R X_3
F 2 0
V DD HT R X_4
E 2 1
V DD HT R X_5
D2 2
V DD 1 8_ 1
F 9
V DD 1 8_ 2
G9
V DD 1 8_ M E M 1( NC )
AE 1 1
V DD 1 8_ M E M 2( NC )
A D1 1
V DD A1 8 P CI E _ 1
J 1 0
V DD A1 8 P CI E _ 2
P 1 0
V DD A1 8 P CI E _ 3
K 1 0
V DD A1 8 P CI E _ 10
Y 9
V DD A1 8 P CI E _ 11
AA9
V DD A1 8 P CI E _ 12
AB9
V DD A1 8 P CI E _ 13
A D9
V DD A1 8 P CI E _ 14
AE 9
V DD A1 8 P CI E _ 6
W 9
V DD A1 8 P CI E _ 7
H9
V DD P C I E _ 1
A6
V DD P C I E _ 2
B6
V DD P C I E _ 3
C6
V DD P C I E _ 4
D6
V DD P C I E _ 5
E 6
V DD P C I E _ 6
F 6
V DD P C I E _ 7
G7
V DD P C I E _ 8
H8
V DD P C I E _ 9
J 9
V DD A1 8 P CI E _ 4
M1 0
V DD A1 8 P CI E _ 5
L 1 0
VD DC _ 1
K 1 2
VD DC _ 2
J 1 4
VD DC _ 3
U1 6
VDD P C I E _ 1 1
M9
VD DC _ 4
J 1 1
VD DC _ 5
K 1 5
VDD P C I E _ 1 0
K 9
VD DC _ 6
M1 2
VD DC _ 7
L 1 4
VD DC _ 8
L 1 1
VD DC _ 9
M1 3
VD DC _ 1 0
M1 5
VD DC _ 1 1
N1 2
VD DC _ 1 2
N1 4
VD DC _ 1 3
P 1 1
VD DC _ 1 4
P 1 3
VD DC _ 1 5
P 1 4
VD DC _ 1 6
R1 2
VD DC _ 1 7
R1 5
VD DC _ 1 8
T 1 1
VD DC _ 1 9
T 1 5
VD DC _ 2 0
U1 2
VD DC _ 2 1
T 1 4
V DD 3 3_ 1 (N C)
H1 1
V DD 3 3_ 2 (N C)
H1 2
VD D_ M E M1 (N C)
AE 1 0
VD D_ M E M2 (N C)
AA1 1
VD D_ M E M3 (N C)
Y 1 1
VD D_ M E M4 (N C)
AD 1 0
VD D_ M E M6 (N C)
AC 1 0 VD D_ M E M5 (N C)
AB1 0
V DD A1 8 P CI E _ 8
T 1 0
VD DC _ 2 2
J 1 6
VDD P C I E _ 1 2
L 9
V DD A1 8 P CI E _ 9
R1 0
VDD P C I E _ 1 3
P 9
VDD P C I E _ 1 4
R9
VDD P C I E _ 1 5
T 9
VDD P C I E _ 1 6
V9
VDD P C I E _ 1 7
U9
V DD A1 8 P CI E _ 15
U1 0
V DD HT R X_6
B2 3
V DD HT R X_7
A2 3
V DD HT T X_ 9
U1 7
V DD HT T X_ 10
T 1 7
V DD HT T X_ 11
R1 7
V DD HT T X_ 12
P 1 7
V DD HT T X_ 13
M1 7
C 41
. 1 U _1 6 V_ 0 4
C 4 2
. 1U _ 1 6V _ 04
R 5 9
*0 _ 0 60 3 _ 3 2 m i l _ s h or t
C2 7
. 1 U_ 1 6 V_ 0 4
C3 3
. 1 U_ 1 6 V_ 0 4
C 74
. 1 U _1 6 V_ 0 4
C 1 5
1 0 U_ 6 . 3 V_ 0 6
C5 6
. 1U _ 1 6 V_ 04
C 59
. 1 U _1 6 V_ 0 4
1 . 8 VS
1 . 2 VS
1 . 1 VS
1 . 1 VS
3 . 3 VS
1 . 8 VS
1 . 8 VS
1 . 1 VS
VD D_ P C I E
VD D_ P CI E
VD DH T TX
VD DH T RX
Z1 1 0 1
Z1 1 0 3
1. 2V( RS740) 1. 1V( RX780; RS780) 1. 2V( RS740) 1. 1V(RX780;RS780)
Z1 1 0 2
Sheet 11 of 35
RS780M-3
Schematic Diagrams
LVDS, Inverter B - 13
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
LVDS, Inverter
Sheet 12 of 35
LVDS, Inverter

3 . 3V
C36 7
*. 1U_ 10 V_ X7 R_04
NB_LCD_ BK L _E N
Q2 9
P 2 703 BA G
3
2 4
1
5
6
NB_ LV DS_ TX_CL KL N
NB_ LV DS_ TX _L 0P
C4 11
4 . 7u_ 25 V_ X5 R_08
NB_ LV DS_ TX _L 1P
C40 9
0. 1 u_ 16V _Y 5 V_ 04
NB_L VDS _T X_ U2 P
NB_L CD_DDC_ DAT A
NB_ LV DS_ TX_U1 N
C412
0. 1u _1 6V _Y 5V_ 04
I NV _BLON
3. 3 VS
NB_L VDS_ TX_ L2 N
NB_ LV DS_ TX_U1 P
NB_ LV DS_ TX _L 1N
NB_L VDS _T X_ U0 N
NB_ LV DS_ TX_CL KUN
NB_L VDS _T X_ U2 N
NB_L VDS _T X_ U0 P
NB_L CD_DDC_ CLK
NB_ LV DS_ TX _L 0N
NB_ LV DS_ TX_CL KL P
PL VDD
NB_L VDS_ TX_ L2 P
BRI GHTNE SS
NB_ LV DS_ TX_CL KUP
J _ LCD1
87 12 6-30 06
1
1
2
2
3
3
4
4
5
5
6
6
7
7
8
8
9
9
1 0
1 0
1 1
1 1
1 2
1 2
1 3
1 3
1 4
1 4
1 5
1 5
1 6
1 6
1 7
1 7
1 8
1 8
1 9
1 9
2 0
2 0
2 1
2 1
2 2
2 2
2 3
2 3
2 4
2 4
2 5
2 5
2 6
2 6
2 7
2 7
2 8
2 8
2 9
2 9
3 0
3 0
BLON
C4 23
0 . 1u_ 50 V_ Y 5V _0 6
80mil s
VI N
C42 2
0 .1 u_ 50V_ Y5 V _06
C4
0 . 1u _50 V_ Y 5V _0 6
COSTDOWN
L3
HCB16 08 KF -12 1T 25
0 62 4J
C HG "* "
I NV_ BLON
INVERTER CONNECTOR
PANEL CONNECTOR
AMD CHECK
2A
BRI GHT NE SS 24
BRI GHTNE SS
C40 2
0. 1 u_1 6V _Y 5 V_ 04
C4 10
0 . 1u_ 16 V_ Y 5V_0 4
C41 3
10 u_ 6. 3V _X 5R_ 06
+ C4 21
*1 00 u_6 . 3V _B_C
C5
0 .1 u_ 16V _ Y 5V_04
C LOSE TO J_L CD1
Q5
MTN70 02 ZHS 3
G
D
S
R24 7
1M_ 04
U8B
74 LV C08 PW
4
5
6
14
7
R26 0
10 0K _04
C3 78
*0 . 1u _16 V_ Y 5V _0 4 R197 0_0 4
R261
200 _1 %_0 4
U8D
74 LV C08 PW
12
13
1 1
14
7
Q7
MT N70 02ZHS 3
G
D
S
CLOSE T O LVDS CON N.
PIN
R24 6 10 0K _0 4
R1 2
1 M_0 4
Q6
MTN70 02 ZHS 3
G
D
S
U8 A
7 4LV C0 8P W
1
2
3
1
4
7
R2 62
2 00 _1% _0 4
R13 * 2. 7K _0 4
C392
*0. 47 u_ 10V _ Y 5V _04
C419
0. 1u _1 6V _Y 5V _ 04
U8C
74 LV C08 PW
9
10
8
14
7
R24 5
10 0K _04
R244 10K _0 4
R198
*2. 7K _0 4
R14
1M_0 4
3. 3V
3 . 3V
3 . 3V 3. 3V
3. 3V S
S Y S1 5V
PL V DD
S Y S1 5V
NB_L CD_ BKL _E N 10
S B_P WRGD 1 5, 26
S B_ BL ON 1 6
LI D_S W # 15 , 20, 2 4
NB_L CD_P WR_ EN 10
BK L _E N 24
NB_LCD_ DDC_DA TA 10
NB_ LVDS_ TX_U2N 1 0
NB_LCD_ DDC_CL K 10
NB_ LVDS_ TX_U0N 1 0
NB_ LVDS_ TX _U2P 10
NB_LV DS _T X_L 2N 10
NB_ LVDS_ TX _U0P 10
NB_LV DS _T X_L 2P 10
NB_ LVDS_ TX_CLK UP 10
PANEL POWER
NB_ LVDS_ TX_CLK UN 10
NB_ LVDS_ TX_U1N 10
NB_ LVDS_ TX_U1P 10
NB_ LVDS_ TX _CLK LN 10
NB_ LVDS_ TX _CLK LP 10
NB_ LVDS_ TX_L1 P 10
NB_ LVDS_ TX_L1 N 10
NB_ LVDS_ TX_L0 N 10
NB_ LVDS_ TX_L0 P 10
3. 3 VS
D20
*BAV 99
A
C
A C
R196
4. 7K _0 4
Schematic Diagrams
B - 14 HDMI, CRT
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
HDMI, CRT
Sheet 13 of 35
HDMI, CRT

HD MI_ DA TA 2P
HD MI_ DA TA 2N
R45 2 0_0 4
HDMI _DAT A0 N
HDMI _ DAT A 1P
HDMI _ DAT A 1N
HDMI _DAT A0 P
HDMI _CL KP
HDMI _CL KN
HDMI _ DA TA 2P
HS Y NC# 10
VS Y NC# 10
FGRN
HDMI _HP D
05 20 - J
EM I CHG
05 20 - J
EM I CH G
V S Y NC
FBL UE
Q9
2 N39 04
B
E
C
DD CLK
3. 3V S
F RED
F BL UE
F GRN
U2 4
I P 47 72C Z1 6
VCC_ S Y NC
1
VCC_ VIDE O
2
VI DEO_ 1
3
VI DEO_ 2
4
VI DEO_ 3
5
GND
6
VCC_ DDC
7
BY P
8
DDC_OUT 1
9
DDC_I N1
1 0
DDC_I N2
1 1
DDC_OUT 2
12
SY NC_ I N1
1 3
S Y NC_OUT 1
14
SY NC_ I N2
1 5
S Y NC_OUT 2
16
5V S
V SY NC
L 13
HCB10 05 KF -12 T2 0
3. 3 VS
5 VS
C
60
8
0.22
u_
10
V_
Y
5V
_0
4
C
60
6
0
.22
u_
10V
_
Y5
V
_04
HS Y NC
FRE D
R4 38 33 _0 4
COSTDOWN
DDCLK
DDCDATA
R43 6
*1 5mi l _sh ort_ 06
RN3 8
2 . 2K _8 P 4R_0 4 8
1
7
2
65
34
C
6
07
0.2
2u
_1
0V
_Y
5V
_
04
R4 37 33 _0 4
DD CDAT A
HS Y NC
R45 3 0_0 4
Q10
MT N70 02 ZHS 3
G
D
S
3 . 3V S
R45 6 0_0 4
R45 7 0_0 4
NB _V GA_R
05 11- J
ch ang e
HDMI
0 51 7- J
f or l ayo ut s wa p pi n 6, 8
R46 0 *1 5m i l _s ho rt_ 06
Please close to HDMI connector
C60 3
*10
p_
50V
_
N
PO
_
04
C60 5
*10
p_
50
V_
N
P
O
_0
4
C6 04
*1
0p
_5
0V
_N
P
O
_0
4
NB _V GA_G
R29 0
1 K_ 04
NB _V GA_B
D4 0
* BA V99
A
C
A C
5 VS
Q33
MTN7 00 2Z HS 3
G
D S
R31 0
2. 2 K_ 04
R29 7
*2. 2 K_ 04
R30 6
*2. 2 K_ 04
5V S
3. 3 VS
3. 3 VS
HDMI _DDC_ CLK
3 . 3V S
Q32
MTN7 00 2Z HS 3
G
D S
3 . 3V S
5 VS
R29 8
2. 2 K_ 04
5V S
D3 9
* BA V99
A
C
A C
HDMI _ DDC_DA TA
P I N GND1 ~4 =GND
HDMI CONNECTOR
R46 1 *1 5m i l _s ho rt_ 06
NB_ HDMI _DDC_ DATA 1 0
NB_ HDMI _DDC_ CLK 1 0
P LEA SE C LO SE T O CO NN EC TOR
CRT
NB_ VGA_ R 10
NB_ VGA_ G 10
NB_ VGA_ B 10
NB _CRT _DDC_ CLK 1 0
NB _CRT _DDC_ DA TA 1 0
R77 2 00 K_ 04
R3 07
20 0K _0 4
R46 2 *1 5m i l _s ho rt_ 06
3. 3V S
R
82
7
50_
1%
_0
4
C4 20
1
0p
_5
0V
_N
P
O
_
04
L 45 F CM16 08K -1 21T 06
C4 16
2
2p
_5
0V
_N
P
O
_
04
L 44 F CM16 08K -1 21T 06
R264
150
_1
%
_
04
R
86
75
0_1
%
_
04
R
79
75
0_
1%
_04
J _HDMI 1
C12 817 -11 9A5-L
SHI E L D2
2
TMDS DATA1+
4
TMDS DATA1-
6
SHI E L D0
8
TMDS CLOCK +
1 0
TMDS CLOCK -
1 2
RES E RV ED
1 4
SDA
1 6
+5 V
1 8
T MDS DAT A2 +
1
TMDS DATA2-
3
S HI EL D1
5
T MDS DAT A0 +
7
TMDS DATA0-
9
CL K SHI E LD
11
CEC
13
S CL
15
DDC/CEC GND
17
HO T P LUG DE TE CT
19
R
9
3
7
50
_1
%
_0
4
J _CRT 1
C-1 08 AX 15 FS
8
7
6
5
4
3
2
1
9
10
11
12
13
14
15
G
N
D
2
G
N
D
1
C41 5
22
p_
50
V_
N
PO
_
04
C3
10
00
p_5
0V
_X
7
R
_0
4
R
9
2
75
0_
1%
_
04
C4 14
1
0p
_50
V
_N
P
O
_0
4
C41 8
22
p_
50
V_
N
P
O
_0
4
C42 4
10
00
p_
50V
_
X7
R
_0
4
R26 3
15
0_
1%
_04
C6
1
00
0p
_50
V
_X
7R
_
04
C41 7
10
p_
50V
_
N
PO
_
04
C8 8
2 2u _6 . 3V _X5R_ 08
L 2 F CM16 08K -1 21T 06
HD MI_ DATA1P
R
8
7
7
50_
1%
_0
4
TMDS _ HPD0 10
R
78
7
50
_1%
_0
4
HD MI_ CLK N
HDMI _ DA TA 0P
C8 7
*2 2u _6 . 3V _Y 5 V_ 08
C2
1
000
p_
50
V_
X
7R
_
04
R
81
7
50
_1
%
_0
4
R2 65
1
50_
1%
_0
4
CLOS E TO HDMI CONN.
HD MI_ CLK P
HDMI _ DA TA 0N
HDMI _ DA TA 2N
5 VS
HD MI_ DATA1N
HDM I_ DDC_CL K
HDMI _DDC_ DAT A
R45 4 0_0 4
HDMI _HP D
HDMI _DATA1 N 9
HDMI _CL KP 9
HDMI _CL KN 9
HDMI _DATA1 P 9
HDMI _DATA0 P 9
HDMI _DATA0 N 9
HDMI _DATA2 N 9
HDMI _DATA2 P 9
R45 5 0_0 4
052 0- J
EMI C HG
05 20 - J
EM I CH G
R45 8 0_0 4
R45 9 0_0 4
Schematic Diagrams
SB700-1 B - 15
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
SB700-1
Sheet 14 of 35
SB700-1

Z1 8 1 6
Z1 8 0 5
Z1 8 0 9
Z1 8 1 3
Z1 8 2 0
Z1 8 1 0
Z1 8 0 7
Z1 8 1 4
Z1 8 1 1
Z1 8 1 7
Z1 8 0 8
Z1 8 0 6
Z1 8 1 5
Z1 8 1 8
Z1 8 1 2
Z1 8 1 9
Z1 8 2 1
Z1 8 2 2
Z 1 8 23
Z 1 8 24
P C I CL K 5
1
0
X 0
X
IGPonly mode
Power Expr es s mo de
PE_GPIO2
DISPLAY SUPPORT TABLE
IGP+ MXM
MXM( LVDS,VGA,HDMI,TV)
1 *MXM(VGA,HDMI,TV,DP); MXM/IGP( LVDS)
IGP( LVDS,VGA,HDMI,TV) 0
X
0
IGP( LVDS,VGA,HDMI,TV)
0/1
PX_EN
MXM only mo de
X
INT_VGA_TV_EN#
0
DISPLAYOUTPUT
V BAT _ I N A _ VBA T
P X_ E N Z 1 8 30
P X_ E N
X6 *M C -1 46 _ 3 2 . 7 68 K H z
1 4
3 2
S B S RC _ CL K P
P E _ GP I O 0
S B S RC _ CL K N
0514- J
add col ayout X4
PLACE THESE PCIE AC COUPLING
CAPS CLOSE TOSB700
GP I O6 5
C P U _ P R OC H O T # P U 3. 3v B E C AU S E F OR F A N C O N T R OL .
OT H E R W I S E , P U T O V D D I O .
P C I _R E Q #3
P C I _C L K 2
P L A C E T HE S E C O M P ON E N TS C L OS E TO U 6 00 , A N D
US E GR OU N D G U A R D F OR 3 2 K _ X 1 A ND 3 2 K _ X 2
L D RQ #1
L D RQ #0
C608AND C609CLOSE
TO SB700
P C I _C L K 3
RTC CLEAR
P C I R S T #
C5 6 0
18 P _ N P O _0 4
J OP E N1
*O P E N _ 1 0m i l -1 MM
1
2
R 33 9 1 0 0K _ 04
R3 5 1 * 10 K _ 0 4
C 5 3 8 . 1 U _X7 R _ 10 V _ 0 4
R3 3 7 0 _0 4
J _ R TC 1
8 5 20 5 -0 2 R
1
2
R 3 7 0
* 1 K _ 0 4
C 56 3
1 U_ 1 0 V _ 0 6
R3 9 3 2 0 M_ 0 6
C 5 3 9 . 1 U _X7 R _ 10 V _ 0 4
R 1 9 5 * 8 . 2 K _ 04
R 3 4 5 * 8 . 2 K _ 04
R 3 79 * 1 M_ 0 4
R 13 8 *2 . 2 K _ 0 4
D 41
R B7 5 1 V
A
C
C 2 8 7 . 1 U _X7 R _ 10 V _ 0 4
R 3 54 3 3 _ 04
R 1 5 7 * 8 . 2 K _ 04
P C I _ CL K 2
P C I _ CL K 3
R 1 5 6 * 8 . 2 K _ 04
P
C
I E
X
P
R
E
S
S
IN
T
E
R
F
A
C
E
Part1of5
SB700
P
C
I IN
T
E
R
F
A
C
E
L
P
C
R
T
C
C
P
U
R
T
C
X
T
A
L
P
C
I C
L
K
S
C
L
O
C
K
G
E
N
E
R
A
T
O
R
U1 8 A
S B7 0 0
A _R S T #
N2
P CI E _ R X2 P
R2 0
P CI E _ R X2 N
R2 1
P CI E _ R X3 P
R1 8
P CI E _ T X3 N
T 2 2 P CI E _ T X3 P
T 2 3 P CI E _ T X2 N
U2 4 P CI E _ T X2 P
U2 5
P CI E _ R X1 P
U1 9
P CI E _ R X1 N
V1 9
P CI E _ R X0 P
U2 2
P CI E _ R X0 N
U2 1
P CI E _ T X1 N
V2 5 P CI E _ T X1 P
V2 4 P CI E _ T X0 N
V2 2 P CI E _ T X0 P
V2 3
P CI E _ R CL K P / NB _L N K _ CL K P
N2 5
P CI E _ R CL K N / N B_ L NK _ C L K N
N2 4
P CI E _ C A L RP
T 2 5
P CI E _ C A L RN
T 2 4
P CI E _ P V DD
P 2 4
G P P _ C L K 1 N
L 1 9
X1
A3
X2
B3
V BA T
B2
G P P _ C L K 0 N
J 1 8
G P P _ C L K 2 P
M1 9
A LL O W _ L DT S T P
F 2 3
C P U _ HT _ CL K N
M1 8
G P P _ C L K 2 N
M2 0
S LT _ G F X_ CL K P
M2 3
C P U _ HT _ CL K P
P 1 7
L D T _R S T #
G2 4
P C I C LK 0
P 4
P C I C LK 1
P 3
P C I C LK 2
P 1
P C I C LK 3
P 2
P C I R S T #
N1
CB E 0 #
W 2
CB E 1 #
U7
CB E 2 #
AA 7
CB E 3 #
Y 1
F R A ME #
AA 6
D E V S E L #
W 5
I R DY #
AA 5
T R DY #
Y 5
P A R
U6
S T OP #
W 6
P E RR #
W 4
RE Q 0 #
AC 3
RE Q 1 #
AD 4
RE Q 2 #
AB7
R E Q 3#/ G P I O 7 0
AE 6
GN T 0 #
AD 2
GN T 1 #
AE 4
GN T 2 #
AD 5
GN T 3#/ G P I O 7 2
AC 6
S E RR #
V7
C L K R UN #
AD 6
L AD 0
H2 4
L AD 1
H2 3
L AD 2
J 2 5
L AD 3
J 2 4
L F R A ME #
H2 5
L D RQ 0 #
H2 2
S E RI R Q
V1 5
P C I C LK 4
T 4
L P CC LK 0
G2 2
L P CC LK 1
E 2 2
AD 0
U2
AD 1
P 7
AD 2
V4
AD 3
T 1
AD 4
V3
AD 5
U1
AD 6
V1
AD 7
V2
AD 8
T 2
AD 9
W 1
A D 1 0
T 9
A D 1 2
R7
A D 1 3
R5
A D 1 4
U8
A D 1 5
U5
A D 1 6
Y 7
A D 1 7
W 8
A D 1 8
V9
A D 1 9
Y 8
A D 2 0
AA 8
A D 2 1
Y 4
A D 2 2
Y 3
A D 2 3
Y 2
A D 2 4
AA 2
A D 2 5
AB4
A D 2 6
AA 1
A D 2 7
AB3
A D 2 8
AB2
A D 2 9
AC 1
A D 3 0
AC 2
A D 3 1
AD 1
A D 1 1
R6
R E Q 4#/ G P I O 7 1
AB6
GN T 4#/ G P I O 7 3
AE 5
L D RQ 1 #/ GN T 5#/ G P I O 6 8
AB8
G P P _ C L K 1 P
L 2 0
R TC CL K
C3
P CI E _ R X3 N
R1 7
I NT E #/ G P I O 3 3
AD 3
I NT F #/ G P I O 3 4
AC 4
I N T G#/ G P I O 3 5
AE 2
I N T H#/ G P I O 3 6
AE 3
LO CK #
V5
P CI E _ P V S S
P 2 5
P C I CL K 5 / G P I O 4 1
T 3
BM R E Q#/R E Q 5#/ G P I O 6 5
AD 7
N B_ H T_ C L K P
M2 4
L D T _P G
F 2 2
L D T _S TP #
G2 5
G P P _ C L K 3 N
P 2 2
I N T RU DE R_ AL E R T #
C2
N B_ D I S P _ CL K P
K 2 3
2 5 M _4 8 M _ 66 M _ OS C
L 1 8
G P P _ C L K 0 P
J 1 9
N B_ H T_ C L K N
M2 5
S LT _ G F X_ CL K N
M2 2
G P P _ C L K 3 P
N2 2
2 5 M _X1
J 2 1
2 5 M _X2
J 2 0
N B_ D I S P _ CL K N
K 2 2
P RO CH OT #
F 2 4
R 34 3 *2 . 2 K _ 0 4
R 18 3 * 22 _ 0 4
G P I O 6 5
R2 0 6 10 K _0 4
R1 9 0 10 K _0 4
R 39 4
* 10 K _ 0 4
R3 5 5 10 K _0 4
R 19 4 2 2 _ 04
R 3 32 * 1 K _ 04
C 5 4 4 . 1 U _X7 R _ 10 V _ 0 4
R 1 88 *0 _ 0 4
P C I _G N T#3
X4 3 2 . 7 6 8 K Hz
1 4
3 2
P C I _R E Q #1
P C I _R E Q #2
C 5 4 3 . 1 U _X7 R _ 10 V _ 0 4
C 3 0 4 . 1 U _X7 R _ 10 V _ 0 4
C 2 8 8 . 1 U _X7 R _ 10 V _ 0 4
R 3 4 0 * 8 . 2 K _ 04
R 3 4 2 * 8 . 2 K _ 04
R 33 1 0_ 0 4
C 2 8 0 . 1 U _X7 R _ 10 V _ 0 4
C 5 6 1
1 8 P _ N P O_ 0 4
L5 6 BK 1 6 0 8H S 6 0 1
R3 5 2 5 62 _ 1 % _ 0 4
R 34 4
R3 3 3
4 7 0_ 0 4
R 39 5
1 0 K _ 0 4
C 5 48
1 U _1 0 V _ 0 6
R 3 84 5 1 0 _ 0 4
R 3 4 1 * 8 . 2 K _ 04
C5 6 2
. 1 U_ 1 6 V _ 0 4
L P C _C L K 1
R 1 5 5 * 8 . 2 K _ 04
L P C _C L K 0
R 4 03
* 2 0M _ 0 6
C 5 5 3
1 0 U _1 0 V _ 0 8
D 4 2
R B7 5 1 V
A C
R1 7 4 2 . 0 5K _1 % _ 0 6
R3 5 3 10 K _0 4
P CI E _ V D D R
1 . 2 V S
3 . 3 V
1 . 8 VS
3 . 3V S
VD D3
L P C _ CL K 1 2 4
P C I E _ S B_ N B_ R X2 N 9
3 . 3 VS
P C I E _ S B_ N B_ R X1 P 9
P C I E _ S B_ N B_ R X1 N 9
P C I E _ S B_ N B_ R X0 P 9
P C I E _ S B_ N B_ R X2 P 9
A _ RS T # 10 , 1 6 , 2 6
P C I E _ S B_ N B_ R X3 N 9
P C I E _ S B_ N B_ R X3 P 9
P C I E _ S B_ N B_ R X0 N 9
A LL O W _ L DT S T O P 10
S BS R C_ C L K N 2
S BS R C_ C L K P 2
P CI E _N B_ S B_ T X0 P 9
P CI E _N B_ S B_ T X1 N 9
LA D0 2 4
P CI E _N B_ S B_ T X2 N 9
LA D3 2 4
C P U _L D T _ S T OP # 5 , 1 0
P CI E _N B_ S B_ T X2 P 9
P CI E _N B_ S B_ T X0 N 9
CP U _ P W R GD 5
LA D2 2 4
CP U_ L D T_ R S T # 5
P CI E _N B_ S B_ T X3 N 9
C P U _P RO CH OT # 5
L F R A M E # 2 4
P CI E _N B_ S B_ T X3 P 9
P CI E _N B_ S B_ T X1 P 9
LA D1 2 4
S E RI R Q 2 4
C R_ W A K E # 2 1
Z 1 8 28
Z 1 8 27
Z 1 8 29
AMD CHECK
P E _ GP I O 0
Z 1 8 3 7
P C I _A D0
S B_ 1 4 . 3 1 8M _ OS C 2
P C I _F RAM E #
P C I _D E VS E L #
P C I _T R DY #
P C I _I RD Y #
P C I _S E RR #
P C I _P A R
P C I _S T OP #
P C I _P E RR #
P C I _R E Q #0
LP C_ C LK 1
L P C_ C L K 0
L P C_ C L K 1 _ R
I NT R UD E R _ A L E RT #
PC I_C BE#1
A _ R X3N _ C
P CI _ R E Q#3
A _ R X3P _ C
PC I_C BE#3
A _ R X2N _ C
A _ R X2P _ C
P CI _ R E Q#2
A _ R X0N _ C
A _ R X1P _ C
A _ R X1N _ C
P CI _ R E Q#4
A _ R X0P _ C
P C I _A D1 9
P CI _ R E Q#1
P CI _ R E Q#0
Z 1 80 3
P C I _A D2 1
P C I _A D2 4
P C I _A D2 3
P C I _A D2 0
Z 1 80 2
P C I _A D2 2
P C I _A D2 5
P C I _A D2 6
Z 1 80 4
P C I _A D2 7
2 5 M_ X1
P C I _A D2 8
P C I _A D2 9
P C I _A D3 0
P C I _A D3 1
P C I _R E Q #4
P C I _A D3
P C I _A D1
P C I _A D2
RT C _ CL K
P C I _A D4
P C I _A D5
32 K _ X2
32 K _ X1
P C I _A D6
P C I _A D9
P C I _A D7
P C I _C L K R UN #
P C I _A D8
P C I _A D1 1
P C I _A D1 3
P C I _A D1 0
P C I _A D1 2
P C I _A D1 4
P C I _A D1 6
P C I _A D1 5
P C I _A D1 8
P C I _A D1 7
PC I_C BE#2
PC I_C BE#0
Z1 8 3 3
P C I _G N T#4
P C I _G N T#0
Z 18 3 4
G P I O 6 5
Z 1 80 1
P C I _I NT B#
P C I _I NT A #
L DR Q#0
L DR Q#1
P C I R S T # 2 6
3 2K _X2
3 2K _X1
Z 1 8 32 L P C _C L K 1
RT C _ CL K
R 44 7
* 0 _ 04 0 2 _ 5 m i l _ s h ort
0514- J
add f or SB710
Schematic Diagrams
B - 16 SB700-2
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
SB700-2

Z19 0 7
Z1 9 02
S BG P I O5
R4 0 7 1 0K _ 0 4
CR _C P P E # 2 1
Z19 0 6
S BGP I O 4
Z19 1 1
AZ_ RS T#
Z1 9 05
Z1 9 04
Z1 9 03
S D A TA1
Z1 9 32
AZ_ S D I N2
S B_ TE S T 2
RS M RS T #_R
Z1 9 31
S BG P I O8
Z19 1 7
Z19 1 5
Z19 1 8
Z19 1 2
Z1 9 72
GP 16
GP 17
Z1 9 71
AZ_ S D I N3
Z1 9 35
Z1 9 36
Z19 1 0
S BG P I O6
Z1 9 25
S C LK 0
S C LK 1
S D A TA0
G P 17
G P 16
A Z_R S T #
Z19 7 3
P W R _B TN #
S B_ TE S T 1
S B_ TE S T 0
RS MRS T#_ R
S BGP I O 6
Z19 1 4
S BG P I O6 6
S B_C R_ C P P E #
S BG P I O1 0
R3 6 6 * 0_ 0 4 02 _ 5 m i l _ sh o rt
R 21 5 * 2. 2 K _ 0 4
R3 9 8 *1 0 K _ 04
R1 8 4 * 0_ 0 4
R 3 78 1 0 K _ 04
U
S
B
2
.0
Part4of 5 S B 700
A
C
P
I / W
A
K
E
U
P
E
V
E
N
T
S
G
P
IO
H
D
A
U
D
IO
U
S
B
O
C
U
S
B
1
.1
U
S
B
M
I
S
C
IN
T
E
G
R
A
T
E
D
u
C
IN
T
E
G
R
A
T
E
D
u
C
U 1 8D
S B 70 0
US BCL K /1 4 M_ 25 M _4 8 M_ O S C
C 8
US B_ R CO MP
G 8
U S B_ OC6 #/ I R_ T X1/ GE VE N T6 #
B9
US B _H S D5 P
C 1 2
US B_ HS D 5 N
D 1 2
US B _H S D4 P
B1 2
US B_ HS D 4 N
A1 2
US B _H S D3 P
G 1 2
US B_ HS D 3 N
G 1 4
US B _H S D2 P
H 1 4
US B_ HS D 2 N
H 1 5
US B _H S D1 P
A1 3
US B_ HS D 1 N
B1 3
US B _H S D0 P
B1 4
US B_ HS D 0 N
A1 4
U S B_ OC4 #/ I R_ R X0 /G P M4 #
A8
U S B_ OC3 #/ I R_ R X1 /G P M3 #
A9
U S B_ OC1 #/ GP M1 #
F 8 U S B_ OC2 #/ GP M2 #
E 5
US B _H S D7 P
G 1 1
US B_ HS D 7 N
H 1 2
US B _H S D6 P
E 1 2
US B_ HS D 6 N
E 1 4
U S B_ OC0 #/ GP M0 #
E 4
D DR3 _ RS T#/ G E VE NT 7 #
G5
S AT A_ I S 0 #/ GP I O 10
AE 1 8
A Z_ S D I N3 /GP I O4 6
M3
P C I _P ME #/GE V E NT 4 #
E 1
R I #/ E XT E VN T0 #
E 2
S L P _ S 3 #
F 5
S L P _ S 5 #
G1
P W R _ BTN #
H2
P W R _ GOO D
H1
S U S _ S TAT #
K 3
T E S T 1
H4
T E S T 0
H3
G A20 I N /GE VE N T 0#
Y 1 5
K BR S T #/G E VE N T1 #
W 1 5
S M BAL E RT #/ TH RM T RI P #/ GE VE NT 2 #
J 6
L P C_ P M E #/ GE VE N T 3#
K 4
L P C_ S M I #/E XT E VN T 1#
K 2 4
S 3 _ S T ATE /GE VE N T 5#
F 1
S Y S _ RE S E T #/ GP M 7 #
J 2
W AK E #/ G E VE N T8 #
H6
R S MR S T#
D3
C LK _ R E Q3 #/ S AT A_ I S 1#/ G P I O6
AD1 8
N B_P W RG D
W 1 4
S M ART VO LT / S AT A_ I S 2 #/GP I O 4
AA1 9
S H UT DO W N#/ G P I O5
Y 1 9
S P K R / GP I O2
W 2 1
S C L0 /G P OC 0#
AA1 8
S D A0 / GP O C1 #
W 1 8
D DC1 _ S C L/ G P I O9
AA2 0
D DC1 _ S D A/GP I O 8
Y 1 8
A Z_ BI T CL K
M1
A Z_ S D OU T
M2
A Z_ S Y NC
L 6
A Z_ R S T#
M4
US B _H S D9 P
A1 1
US B_ HS D 9 N
B1 1
US B _H S D8 P
C 1 0
US B_ HS D 8 N
D 1 0
L L B#/ GP I O 66
C1
A Z_ D OCK _R S T #/ G P M8 #
L 5
S L P _ S 2 /GP M 9#
H7
U S B_ OC5 #/ I R_ T X0/ GP M 5#
B8
B L I NK /GP M 6#
F 2
S C L1 /G P OC 2#
K 1
S D A1 / GP O C3 #
K 2
T E S T 2
H5
C LK _ R E Q0 #/ S AT A_ I S 3#/ G P I O0
W 1 7
A Z_ S D I N2 /GP I O4 4
L 8 A Z_ S D I N1 /GP I O4 3
J 8 A Z_ S D I N0 /GP I O4 2
J 7
C LK _ R E Q1 #/ S AT A_ I S 4#/ F AN OU T 3/ G P I O3 9
V1 7
C LK _ R E Q2 #/ S AT A_ I S 5#/ F AN I N3 /G P I O4 0
W 2 0
U S B_ F S D 13 P
E 6
U S B_ F S D1 3 N
E 7
U S B_ F S D 12 P
F 7
U S B_ F S D1 2 N
E 8
U S B_ HS D 11 P
H 1 1
US B_ H S D1 1 N
J 1 0
U S B_ HS D 10 P
E 1 1
US B_ H S D1 0 N
F 1 1
I MC _G P I O9
B1 8
I MC _P W M0 / I MC _ GP I O 10
F 2 1
S CL 2 / I MC _ GP I O 11
D 2 1
S DA2 / I MC _ GP I O 12
F 1 9
S C L3 _ L V/ I MC _ GP I O 13
E 2 0
S DA3 _ L V/ I MC _ GP I O 14
E 2 1
I MC _P W M1 / I MC _ GP I O 15
E 1 9
I MC _P W M2 /I MC _ GP O 16
D 1 9
I MC _P W M3 /I MC _ GP O 17
E 1 8
I MC _ GP I O 18
G 2 0
I MC _ GP I O 19
G 2 1
I MC _ GP I O 20
D 2 5
I MC _ GP I O 21
D 2 4
I MC _ GP I O 22
C 2 5
I MC _ GP I O 23
C 2 4
I MC _ GP I O 24
B2 5
I MC _ GP I O 25
C 2 3
I M C_ GP I O 0
H1 9
I M C_ GP I O 1
H2 0
S P I _ C S 2#/ I M C_ GP I O2
H2 1
I D E _R S T #/F _R S T #/ I MC_ G P O3
F 2 5
I M C_ GP I O 4
D2 2
I M C_ GP I O 5
E 2 4
I M C_ GP I O 6
E 2 5
I M C_ GP I O 7
D2 3
I MC _G P I O8
A1 8
I MC _ GP I O 26
B2 4
I MC _ GP I O 27
B2 3
I MC _ GP I O 28
A2 3
I MC _ GP I O 29
C 2 2
I MC _ GP I O 30
A2 2
I MC _ GP I O 31
B2 2
I MC _ GP I O 32
B2 1
I MC _ GP I O 33
A2 1
I MC _ GP I O 34
D 2 0
I MC _ GP I O 35
C 2 0
I MC _ GP I O 36
A2 0
I MC _ GP I O 37
B2 0
I MC _ GP I O 38
B1 9
I MC _ GP I O 39
A1 9
I MC _ GP I O 40
D 1 8
I MC _ GP I O 41
C 1 8
R2 0 0 * 0_ 0 4
R 18 0 1 K _ 0 4
R1 9 3 * 0_ 0 4 02 _ 5 m i l _ sh o rt
R1 8 7 * 10 K _ 0 4
R3 7 4 0 _0 4
C 34 6
* 2. 2 U _6 . 3 V _ 0 6
R 33 8 2 0 K _ 04
Z1 9 23
Z1 9 24
R1 6 5 1 0K _ 0 4
S BG P I O9
R 4 00 *0 _ 0 40 2 _ 5m i l _s h o rt
Z1 9 27
Z1 9 28
R 20 5 * 2. 2 K _ 0 4
R 17 2 2 0 K _ 04
Z1 9 30
Z1 9 29
R 3 65 * 0_ 0 4
R 35 9 2 . 2 K _ 04
R 1 86 0 _ 0 4
Z1 9 45
Z1 9 44
Z1 9 42
Z1 9 37
Z1 9 38
Z1 9 33
Z1 9 34
S BG P I O0
R 35 7 1 0 K _ 04
Z1 9 43
Z1 9 41
Z1 9 46
Z1 9 67
Z1 9 49
Z1 9 70
Z1 9 55
Z1 9 57
Z1 9 48
Z1 9 63
Z1 9 60
Z1 9 50
Z1 9 68
Z1 9 56
R 3 67 * 0_ 0 4
Z1 9 51
Z1 9 64
Z1 9 53
Z1 9 69
Z1 9 61
Z1 9 52
Z1 9 66
Z1 9 62
Z1 9 65
Z1 9 47
Z1 9 58
Z1 9 59
Z1 9 54
R 20 4 2 . 2 K _ 04
R 16 4 2 . 2 K _ 04
R1 8 5 1 0K _ 0 4
R 1 99 * 0_ 0 4 02 _ 5 m i l _ sh o rt
R2 2 3 1 0K _ 0 4
R 3 72 0 _ 0 4
R2 1 9 1 1. 8 K _ 1 % _0 6
C 55 4
2 2P _ 5 0 V_ 04
R2 1 8
2 . 2 K _0 4
R 2 17
2 . 2 K _ 0 4
R1 4 8 * 0_ 0 4 02 _ 5 m i l _ sh o rt
R 17 3 2 . 2 K _ 04
R 21 6 2 . 2 K _ 04
R2 1 3 *1 0 K _ 04
R3 9 9 *0 _ 04
R 36 8 2 . 2 K _ 04
R 37 3
* 22 K _ 0 4
R3 5 8 3 3 _ 04
R3 7 1
*1 0 K _ 04
R3 6 4 * 0_ 0 4 02 _ 5 m i l _ sh o rt
R2 0 1 0 _ 0 4
R2 2 4 *0 _ 04
R 3 75 * 0_ 0 4
R3 9 2 0 _0 4
R2 0 3 *1 0 K _ 04
R1 4 9 * 0_ 0 4 02 _ 5 m i l _ sh o rt
R1 6 6
* 0_ 0 4 02 _ 5 m i l _ sh o rt
C5 4 9
* 68 P _ 5 0 V_0 4
3 . 3VS
3 . 3V
3 . 3VS
3 . 3V
3 . 3 V
3 . 3 V
3 . 3 V
I CH _ S P K R 2 3
3 . 3V
S US B# 18 , 2 4 , 2 5, 2 6
U S B_ OCP 0_ 1 # 20
US BN9 1 9
S C LK 2 1 8
C LK _ 4 8 M_ US B 2
T HE RM_ AL E R T# 5 , 24
A Z_S Y NC 2 2, 2 3
AZ_ S DI N 1 2 2
US BP 9 1 9
S CI # 2 4
GA2 0 2 4
K BC _ RS T # 2 4
US BP 4 1 9
US BP 2 2 0
US BN2 2 0
S DAT A0 2 , 7, 8
S DAT A1 1 8
W D _P W RGD 2 6
S CL K 0 2 , 7, 8
S MI # 2 4
S Y S _ RS T # 2 6
A Z_R S T # 2 2, 2 3
US BP 8 2 2
US BN8 2 2
S CL K 1 1 8
US BP 6 1 9
S US _S T AT # 1 0
US BN7 1 8
AZ_ S DI N 0 2 3
US BP 0 2 0
US BN0 2 0
S C LK 3 5
S DAT A2 1 8
US BP 1 2 0
AZ_ S DO UT 2 2, 2 3
US BN1 2 0
US BN4 1 9
S DAT A3 5
SCLK 2, SDATA 2=>P CI E xpr es s Ca r d( NE W CA RD)
US BP 7 1 8
US BN6 1 9
P CI E _ W AK E # 18 , 2 1
P W R_ BT N# 2 4
L I D_ S W # 12 , 2 0 , 24
R S MR S T# 2 4
S W I # 2 4
S US C# 2 4
S B_ P W R GD 1 2 , 26
US BN5 1 8
US BP 5 1 8
S W I # 2 4
CR _C P P E # 2 1
P CI E _ E XP C ARD _C L K RE Q # 2 , 1 8
CP U _T H E RM TR I P # 5
P ME # 2 4
S BGP I O 0
AZ_ BI T CL K 22 , 2 3
P C I E _ E XP CAR D_ P W R E N# 18
P CI E _ E XP C ARD _P W RE N # 1 8
SCLK 3, SDATA 3=>C PU
Z19 7 4
Z19 2 1
SCLK 1, S DA TA 1=>P CI - E Mi ni C ar d ( WLA N, LAN)
35mi l
Z19 0 1
NEWCARD
M/B USB PORT 0, 1
When Exte r nal Clock Gen, us ed as 4 8MCloc k input
When Int er nal Clo ck Ge n, use das 48MClock
ou tp ut
SCLK 0, S DA TA 0=>C l oc k Ge n, DDR 2 , V GA
CP U _T HE RMT R I P #S B
Z 1 9 16
* SC LK 3, SD ATA 3= >C PU
C PU ? P ULL H IG H
Z19 2 0
Z1 9 26
P W R_ BT N#
S US _ S T AT #
USB13 N.C
USB12 N.C
USB11 N.C
USB 10 N.C
USB9 CCD
USB8 Buletooth
USB7 New Card
USB6 CCD? ? DEBUG
USB5 WLAN( PCIEMini Car d)
USB4 3G(PCIEMi ni Car d)
USB3 N.C
USB2 USB Po rt 2 (Au dio /B)
USB1 USB Po rt 1 (M/B)
USB0 USB Po rt 0 (M/B)
STRAPpint o def ine
us e LPC or SPI ROM
R 3 91
* 0_ 0 4 02 _ 5 m i l _ sh o rt
20 08 /0 3/1 8
US B_ O CP 6 _ 7# 1 8
t o CP U T he rm al I C
2008/03/18
Sheet 15 of 35
SB700-2
Schematic Diagrams
SB700-3 B - 17
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
SB700-3
Sheet 16 of 35
SB700-3

PLACESATA AC COUPLING
CAPSCLOSETOSB700
BD_ I D 1
CLOSETOTHE
BALLOFSB700
VI N_ 5
S AT A_ T X 2 +
S AT A_ T X 2 -
S AT A_ R X2 -
S AT A_ R X2 +
S A T A _ T X4 -_ C
S A T A _ T X4 +_ C
HWM_AGNDTRACEAT LEAST
10MI LWIDE
Z2 0 31
S AT A_ R X4 -_ C
S AT A_ R X4 +_ C
VI N_ 6
NOTE:
NOTE: ROUTE TEMP_COMM
ASA 10MIL TRACE
T E MP I N 3
Z2 0 21
PLACESATA_CAL
RES VERY CLOSE TO
BALL OFSB700
BD_ I D 0
Z2 0 32
I DE _P D A1
VI N_ 7
S P I _ CL K
S P I _ DA TA OU T
Z2 0 20
I DE _P D D4
I DE _P D CS 1#
I DE _P D A0
I DE _P D D8
I DE _P D A2
I DE _P D D2
I DE _P D D5
I DE _P D CS 3#
I DE _P D D7
I DE _P D D9
S B _ DP S _L AN
I DE _P D D1 1
I DE _P D D1 4
I DE _P D I O R#
I DE _P D D1 2
I DE _P D D1 5
I DE _P D D0
I DE _P D DA CK #
I DE _P D D1 3
I DE _P D D1 0
I DE _P D D1
I DE _P D I O W #
I DE _P D D6
I DE _P D D3
I DE _P D DR E Q
I DE _I R Q S AT A_ T X0 -
S AT A_ T X0 +
S A T A _ TX 4 -
S A T A _ RX4 -
S A T A _ RX4 +
Z 2 00 3
Z 2 00 1
Z 2 00 6
Z 2 00 8
Z 2 01 3
Z 2 01 6
Z 2 01 5
Z 2 01 4
S AT A_ X2
S A T A _ TX 4 +
Z 2 00 4
Z 2 00 2
Z 2 00 5
Z 2 00 7
S AT A_ X1
S A T A _ CAL
S A T A _T X0 -_ C
S A T A _T X0 +_C
S AT A_ RX 0 -
S AT A_ RX 0 +
SATA_X2
SATA_X1
I DE _P D I O RD Y
S P I _ DA TA I N
Z2 0 17
Z2 0 23
L A N_ R S T#
S P I _ CS #
Z2 0 18
S P I _ HO L D#
S P I _ F L A S H
BD_ I D 1
BD_ I D 0 BD_ID1
L
***
BD_ID0
H
L C4500
H
Z 20 2 8
Z 20 2 7
S A T A _ RX0 -_ C
S A T A _ RX0 +_C
S P I _ H OL D #
S P I _ DAT AO UT
S P I _ CL K
S P I _ CS #_ S E L
3 . 3V _S P I
SST SPI ROM
S P I _ DA TAI N
8Mbit
S P I _ HO LD #_ R
9
J_SPI1
1
S P I _H OL D #_ R S P I _ D A T A I N
S P I _D AT AOU T
10
S P I _C L K
2
S P I _ C S #_S E L
S P I _ F L AS H
Z2 0 19
R 40 1
* 10 K _ 0 4
R 42 6
1 0K _ 0 4
R 3 49
* 1 K _ 04
C 2 53
2 . 2 U_ 6 . 3 V _ 06
R 1 39
* 0_ 0 4 02 _ 5 m i l _ s h ort
R 4 14 *0 _ 04
C5 2 2 . 0 1U _ 1 6V_ 0 4
C2 4 6 . 01 U _1 6 V_ 0 4
NC 2 NC _ 04
C3 3 7
. 1 U_ 1 6V _0 4
J _ S P I 1
*S P UF Z -10 S 3 -VB -0-B _N
1 2
3 4
5 6
7 8
9 1 0
D2 9 RB7 5 1 V
A C
R 1 40
*0 _ 04 0 2 _ 5m i l _ sh o rt
C2 4 7 . 01 U _1 6 V_ 0 4
L 2 3 H CB 16 0 8 K F -1 2 1T 2 5 -0 6
C5 2 3 . 0 1U _ 1 6V_ 0 4
C2 7 5 1 5 P _ NP O _ 04
R 4 1 6
1 K _ 0 4
R 3 81 * 0 _0 4 0 2_ 5 m i l _ s h or t
R 38 3
1 0 K _ 04
C3 2 8
2. 2 U _ 6. 3 V_ 0 6
C 2 35 . 0 1 U_ 1 6 V_ 04
C2 6 6
*1 U _1 0 V_ 0 6
C 5 13 . 0 1 U_ 1 6 V_ 04
R 38 2
1 0 K _ 04
R 4 09 * 0 _0 4 0 2_ 5 m i l _ s h or t
R4 1 5
* 0 _0 4
A
T
A
6
6
/1
0
0
/1
3
3
Part2 of5
SB700
S
A
T
A
P
W
R
S
E
R
I
A
L
A
T
A
S
P
I R
O
M
H
W
M
O
N
IT
O
R
U1 8 B
S B 7 00
I DE _ I O RD Y
A A 24
I DE _ I R Q
A A 25
I DE _ A 0
Y 2 2
I DE _ A 1
A B 23
I DE _ A 2
Y 2 3
I DE _ DA CK #
A B 24
I D E _ DR Q
A D 2 5
I DE _ I O R#
A C 2 5
I D E _I OW #
A C 2 4
I D E _ CS 1 #
Y 2 5
I D E _ CS 3 #
Y 2 4
I D E _ D0 /G P I O1 5
A D 2 4
I D E _ D1 /G P I O1 6
A D 2 3
I D E _ D2 /G P I O1 7
A E 22
I D E _ D3 /G P I O1 8
A C 2 2
I D E _ D4 /G P I O1 9
A D 2 1
I D E _ D5 /G P I O2 0
A E 20
I D E _ D6 /G P I O2 1
A B 20
I D E _ D7 /G P I O2 2
A D 1 9
I D E _ D8 /G P I O2 3
A E 19
I D E _ D9 /G P I O2 4
A C 2 0
I DE _ D 10 /G P I O2 5
A D 2 0
I DE _ D 11 /G P I O2 6
A E 21
I DE _ D 12 /G P I O2 7
A B 22
I DE _ D 13 /G P I O2 8
A D 2 2
I DE _ D 14 /G P I O2 9
A E 23
I DE _ D 15 /G P I O3 0
A C 2 3
XT L V DD _ S A T A
W 12
P LL V D D_ S A T A
AA 11
S ATA _T X2 P
AB 12
S ATA _T X2 N
AC 12
S ATA _R X 2P
AD 12 S ATA _R X 2N
AE 12
S ATA _T X3 P
AD 13
S ATA _T X3 N
AE 13
S ATA _R X 3P
AC 14 S ATA _R X 3N
AB 14
S ATA _T X0 P
A D9
S ATA _T X0 N
A E 9
S ATA _R X 0N
AB 10
S ATA _R X 0P
AC 10
S ATA _T X1 P
AE 10
S ATA _T X1 N
AD 10
S ATA _R X 1N
AD 11
S ATA _R X 1P
AE 11
S ATA _C A L
V 12
S ATA _X 1
Y 12
S ATA _X 2
AA 12
S ATA _A CT #/GP I O 6 7
W 11
S P I _ DI /G P I O1 2
G 6
S P I _ D O/G P I O1 1
D 2
S P I _ CL K /G P I O4 7
D 1
S P I _ H OL D#/G P I O3 1
F 4
S P I _ CS #/G P I O3 2
F 3
F AN OU T1 /G P I O4 8
M 5
F AN OU T2 /G P I O4 9
M 7
F AN I N0 /G P I O5 0
P 5
F AN I N1 /G P I O5 1
P 8
F AN I N2 /G P I O5 2
R 8
L AN_ RS T#/G P I O1 3
U 1 5
RO M_ RS T#/G P I O1 4
J 1
V I N0 /G P I O5 3
A 4
V I N1 /G P I O5 4
B 4
V I N2 /G P I O5 5
C 4
V I N3 /G P I O5 6
D 4
V I N4 /G P I O5 7
D 5
V I N5 /G P I O5 8
D 6
V I N6 /G P I O5 9
A 7
V I N7 /G P I O6 0
B 7
T E M P I N0 /G P I O6 1
B 6
T E M P I N1 /G P I O6 2
A 6
T E M P I N2 /G P I O6 3
A 5
T E M P I N3 / T A L E R T#/G P I O6 4
B 5
F A NO UT 0 /G P I O3
M 8
A VD D
F 6
A VS S
G 7
TE MP _ C OM M
C 6
S ATA _T X4 P
AE 14
S ATA _T X4 N
AD 14
S ATA _R X 4N
AD 15
S ATA _R X 4P
AE 15
S ATA _T X5 P
AB 16
S ATA _T X5 N
AC 16
S ATA _R X 5N
AE 16
S ATA _R X 5P
AD 16
U 7
* S S T 2 5 V F 0 80 B
C E #
1
S O
2
W P #
3
G ND
4
V DD
8
HO LD #
7
S C K
6
S I
5
C 5 12 . 0 1 U_ 1 6 V_ 04
C2 8 4 1 5 P _ NP O _ 04
R 40 2
* 10 K _ 0 4
R 2 12 * 0 _0 4 0 2_ 5 m i l _ s h or t
Z2 0 24
R1 4 1 1 . 0K _1 % _ 0 4
C 2 36 . 0 1 U_ 1 6 V_ 04
R1 6 3
10 M _0 4
X1
25 M Hz
1
2
C 2 6 5
1 U _1 0 V_ 0 6
R 4 13
1 0 K _ 0 4
R 40 8
2 0 K _ 04
R 34 8
2 . 2 K _ 0 4
0623- J
20K?2. 2K
Z2 0 25
R3 8 0
*0 _ 0 4 02 _ 5 m i l _ s ho rt
C 2 7 6
1 U _ 10 V_ 0 6
R2 1 4 *0 _ 04
C3 8 9
*. 1 U _1 6 V _ 0 4
L 2 6 HC B16 0 8 K F -1 2 1 T2 5 -0 6
D 4 3 R B 75 1 V
A C
P L L V DD _ A T A
LAN _ RS T #
Z2 0 26
1 . 2 VS
P L L V DD _ A T A
X T LV DD _A TA
3 . 3 V
3 . 3 VS
X T LV DD _A TA
3 . 3 V_ S P I
3 . 3 V
3 . 3 V
3 . 3 V S
S AT A_ RX 0 +_C 2 2
3 . 3 V 3 . 3 V
P C I E _ RS T # 2 6
S AT A_ RX 0 -_ C 2 2
S AT A_ L E D # 2 2
T E MP I N 3
S AT A_ T X 0-_ C 2 2
S AT A_ T X 0+_ C 2 2
A _ RS T # 1 0, 14 , 2 6
S B_ MU T E # 2 3
S B_ BL ON 1 2
S AT A_ R X4 -_ C 1 9
S AT A_ T X 4-_ C 1 9
S AT A_ T X 4+_ C 1 9
S AT A_ R X4 +_ C 1 9
S B 70 0 _ RO M_ RS T#
ALL HWM CIRCUITS AREONLY FORSB600
R650IS 1K 1% FOR 25MHz
XTAL, 4.99K 1%FOR 100MHz
INTERNAL CLOCK
SATAPORTSDISTRI BUTION:
0- 2.5" HDD
1- eSATA CONN.
2,3,4,5 - NOT USED
S P I _ C S #_ S E L
ALL HWM VALUES ARE TBD
IFTHEREIS NOI DE, TEST
POINTS FORDEBUGBUS
IS MANDATORY
CLOSE TOTHEBALL
OFSB700
Z2 0 22
NOTE:
NOTE:
Schematic Diagrams
B - 18 SB700-4
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
SB700-4

2.5A
SB700 (A11) 1.2V
SB700 (A12) 1.2VS
1. 8V=>FLASH MEMORY MODE(DEFAULT)
3. 3V=>IDE MODE
2A
2A
2A
? ? ? ? M540SE? ? ? ?
PL ACEALL THEDECOUPLINGCAPSON
THISSHEETCLOSETOSB AS
POSSIBLE.
200mA
200mA
200mA
V5 _ VRE F A VDD _ US B
Z2 1 0 2
Z2 1 0 1
AVD D_ S AT A
2A
C2 7 7
1 U_ 1 0 V_ 06
C 30 2
. 1U _ 1 6V_ 0 4
L 2 4
HC B1 6 0 8K F -1 21 T 2 5 _0 6
C 30 1
1 U _1 0 V_ 0 6
C2 5 4
1 U_ 1 0 V_ 06
C 3 08
. 1 U_ 1 6 V_0 4
C 2 56
* 1U _ 10 V_ 0 6
C2 7 8
. 1U _ 1 6V_ 0 4
C5 6 8
. 1 U _1 6 V_ 0 4
C3 4 8
*. 1 U_ 1 6 V_ 0 4
C 2 86
1 U_ 1 0V _0 6
C 3 29
1 U_ 1 0 V_ 0 6
C3 3 0
. 1 U _1 6 V_ 0 4
C 2 48
22 U _6 . 3 V_ 0 8
C3 3 2
*1 U _1 0 V_ 0 6
C3 4 0
. 1 U_ 1 6 V_ 04
D 16
F M 5 82 2
A C
SB700
G
R
O
U
N
D
Part 5of 5
S B7 0 0
U1 8 E
V S S _ 4
D7
V S S _ 2
A2 5
VS S _ 2 1
M1 3
VS S _ 1 0
K 1 6
VS S _ 1 1
L 4
V S S _ 1
A2
VS S _ 1 7
L 16
V S S _ 8
K 9
V S S _ 9
K 1 1
VS S _ 4 6
AB1
VS S _ 1 3
L 10
VS S _ 1 4
L 11
VS S _ 1 5
L 12
VS S _ 1 6
L 14
VS S _ 1 8
M6
VS S _ 1 9
M1 0
VS S _ 2 0
M1 1
VS S _ 2 2
M1 5
VS S _ 2 3
N4
VS S _ 2 6
P 6
VS S _ 2 7
P 9
VS S _ 2 8
P 1 0
VS S _ 2 9
P 1 1
VS S _ 3 2
R1
VS S _ 3 3
R2
VS S _ 3 4
R4
VS S _ 3 6
R1 0
VS S _ 3 7
R1 2
V S S _ 3
B1
VS S _ 3 5
R9
VS S _ 3 0
P 1 3
AVS S _S ATA _1 5
AB1 3
AVS S _S ATA _1 8
AC 8
AVS S _S ATA _5
V1 1
AVS S _S ATA _1 1
Y 1 7
AVS S _S ATA _1 9
AD 8
VS S _ 3 1
P 1 5
VS S _ 2 4
N1 2
AVS S _S ATA _1 4
AB1 1
AVS S _S ATA _2
U 1 0
AVS S _S ATA _3
U 1 1
AVS S _S ATA _1
T 1 0
AVS S _S ATA _1 7
AB1 7
AVS S _S ATA _4
U 1 2
AVS S _S ATA _1 2
A A9
AVS S _S ATA _6
V1 4
AVS S _S ATA _1 0
Y 1 4
AVS S _S ATA _7
W 9
AVS S _S ATA _8
Y 9
AVS S _S ATA _1 6
AB1 5
AVS S _S ATA _2 0
A E 8
AVS S _S ATA _1 3
A B9
AVS S _U S B_ 5
D 9
AVS S _U S B_ 8
D 1 4
AVS S _U S B_ 4
D 8 AVS S _U S B_ 3
C 1 4
AVS S _U S B_ 6
D 1 1
AVS S _U S B_ 7
D 1 3
AVS S _U S B_ 2
B1 5
AVS S _U S B_ 2 1
K 1 0
AVS S _U S B_ 1 0
E 1 5
AVS S _U S B_ 2 0
J 1 5
AVS S _U S B_ 2 2
K 1 2
AVS S _U S B_ 1 1
F 1 2
AVS S _U S B_ 1 2
F 1 4
AVS S _U S B_ 2 3
K 1 4
AVS S _U S B_ 1 6
J 9 AVS S _U S B_ 1 5
H 1 7
AVS S _U S B_ 1 9
J 1 4
AVS S _U S B_ 1 4
H 9
AVS S _U S B_ 1
A1 5
AVS S _U S B_ 2 4
K 1 5
VS S _ 1 2
L 7
AVS S _U S B_ 1 7
J 1 1
AVS S _U S B_ 1 8
J 1 2
V S S _ 7
H8
VS S _ 2 5
N1 4
V S S _ 6
G1 9
AVS S _U S B_ 1 3
G 9
AVS S _U S B_ 9
D 1 5
AVS S CK
L 17
P C I E _ CK _ VS S _3
J 2 2
P CI E _ C K _ VS S _ 1 4
U2 0 P CI E _ C K _ VS S _ 1 3
U1 8 P CI E _ C K _ VS S _ 1 2
T 17
P CI E _ C K _ VS S _ 1 8
W 1 9
P C I E _ CK _ VS S _6
M 1 7
P CI E _ C K _ VS S _ 1 1
R1 9
P C I E _ CK _ VS S _8
P 1 6 P C I E _ CK _ VS S _7
M 2 1
P CI E _ C K _ VS S _ 1 7
V2 1 P CI E _ C K _ VS S _ 1 6
V2 0 P CI E _ C K _ VS S _ 1 5
V1 8
VS S _ 5 0
AE 2 4
P CI E _ C K _ VS S _ 2 1
W 2 5
P CI E _ C K _ VS S _ 1 9
W 2 2
P CI E _ C K _ VS S _ 2 0
W 2 4
AVS S C
F 9
P C I E _ CK _ VS S _2
J 1 7 P C I E _ CK _ VS S _1
H 1 8
P C I E _ CK _ VS S _4
K 2 5
V S S _ 5
F 2 0
P C I E _ CK _ VS S _5
M 1 6
P C I E _ CK _V S S _ 9
P 2 3
P CI E _ C K _ VS S _ 1 0
R1 6
VS S _ 4 9
AE 1
VS S _ 4 4
V6
VS S _ 4 5
Y 2 1
VS S _ 4 2
U4
VS S _ 4 8
AB2 5 VS S _ 4 7
AB1 9
VS S _ 4 1
T 14
VS S _ 4 3
U1 4
VS S _ 3 8
R1 4
VS S _ 3 9
T 11
VS S _ 4 0
T 12
AVS S _S ATA _9
Y 1 1
C 33 1
. 1U _ 1 6V_ 0 4
R 1 47
1 K _ 0 4
C3 5 9
1 0 U_ 1 0V _0 8
C3 2 6
. 1 U_ 1 6 V_0 4
C3 1 3
. 1U _ 1 6V_ 0 4
C 2 68
. 1 U _ 16 V_ 0 4
C3 0 0
1 U_ 1 0V _0 6
C 3 33
1 0U _ 10 V_ 0 8
C3 4 2
2 . 2U _ 6 . 3V _0 6
C2 6 4
22 U _6 . 3 V_ 0 8
C3 1 4
. 1 U_ 1 6 V_0 4
C3 4 3
. 1 U _ 16 V_ 0 4
C2 8 5
. 1 U_ 1 6 V_ 04
C5 5 9
. 1 U _1 6 V_ 0 4
C3 4 9
1 U _1 0 V_ 0 6
C3 1 2
. 1 U _ 16 V_ 0 4
C2 5 2
1 U_ 1 0V _0 6
C3 1 5
1 U _1 0 V_ 0 6
L 5 5
H CB1 6 0 8 K F -1 2 1T 2 5 _ 06
C3 5 8
1 0U _ 10 V_ 0 8
C2 6 7
. 1 U_ 1 6 V_0 4
C 3 25
2 2U _ 6. 3V_ 0 8
C 2 99
1 U _1 0 V_ 0 6
C2 5 5
2 2 U_ 6 . 3 V_ 0 8
C3 3 8
. 1U _ 1 6V_ 0 4
C2 6 9
. 1U _ 1 6V_ 0 4
C 31 0
1 U_ 1 0V _0 6
C2 7 9
. 1 U_ 1 6 V_ 04
L 2 7
HC B1 6 0 8K F -1 21 T 2 5 _0 6
C5 3 5
2 2 U_ 6 . 3 V_ 0 8
C3 1 8
1 U_ 1 0V _0 6
C 2 57
* 1U _ 10 V_ 0 6
R3 9 7 * 0_ 0 6 0 3_ 3 2 m i l _ s ho rt
C 3 44
. 1 U_ 1 6 V_0 4
C3 3 5
1 U_ 1 0V _0 6
C3 0 5
2 . 2 U_ 6 . 3 V_ 06
C3 3 9
2. 2 U _ 6. 3 V_ 0 6
C3 2 7
. 1 U_ 1 6 V_ 04
R3 4 6
*0 _ 08 0 5 _ 50 m i l_ s h o rt
L 3 6
H CB1 6 0 8 K F -1 2 1T 2 5 _ 06
C5 6 7
2 2 U_ 6 . 3 V_ 08
C3 1 7
1U _ 10 V_ 0 6
HC B1 00 5 K F -1 2 1 T 20 _ 0 4
L3 5
C3 1 9
2 2 U_ 6 . 3 V_ 08
HC B1 0 0 5K F -1 21 T 2 0 _0 4
L 2 9
C3 5 4
1U _ 10 V_ 0 6
Part3of5
SB700
POWER
P
C
I/G
P
IO
I/O
C
O
R
E
S
0
3
.3
V
_
S
5
I/O
C
O
R
E
S
5
A
-L
IN
K
I/O
S
A
T
A
I/O
U
S
B
I/OP
L
L
C
L
K
G
E
N
I/O
ID
E
/F
L
S
H
I/O
U 18 C
S B7 0 0
VD DQ _2
M 9
VD DQ _6
U 1 7
VD DQ _3
T 1 5
VD DQ _1 1
A B5
VD DQ _1
L 9
VD DQ _4
U 9
VD DQ _5
U 1 6
VD DQ _1 2
AB2 1
VD DQ _1 0
A A4
VD DQ _7
V8
VD DQ _8
W 7
VD DQ _9
Y 6
S 5 _ 3 . 3 V_ 1
A1 7
S 5 _ 3 . 3 V_ 2
A2 4
S 5 _ 3 . 3 V_ 3
B1 7
S 5 _ 3 . 3 V_ 4
J 4
S 5 _ 3 . 3 V_ 5
J 5
S 5 _ 1 . 2 V_ 2
G4 S 5 _ 1 . 2 V_ 1
G2
U S B_ P H Y _ 1 . 2 V_ 1
A1 0
U S B_ P H Y _ 1 . 2 V_ 2
B1 0
V5 _VR E F
AE 7
AVDD C K _3 . 3 V
J 1 6
AVDD C K _1 . 2 V
K 1 7
A VDD C
E 9
AVD DT X_ 0
A1 6
AVD DT X_ 1
B1 6
AVD DT X_ 2
C 1 6
AVD DT X_ 3
D 1 6
AVD DT X_ 5
E 1 7 AVD DT X_ 4
D 1 7
AVD DR X_2
F 1 8
AVD DR X_0
F 1 5
AVD DR X_5
G 1 8 AVD DR X_4
G 1 7
P C I E _ VDD R_ 4
P 2 1 P C I E _ VDD R_ 3
P 2 0
P C I E _ VDD R_ 7
R 2 5
P C I E _ VDD R_ 2
P 1 9
P C I E _ VDD R_ 5
R 2 2
P C I E _ VDD R_ 1
P 1 8
P C I E _ VDD R_ 6
R 2 4
AVD D_ S AT A_ 1
AA1 4
AVD D_ S AT A_ 4
AB1 8
AVD D_ S AT A_ 2
AA1 5
AVD D_ S AT A_ 3
AA1 7
AVD D_ S AT A_ 5
AC 1 8
AVD D_ S AT A_ 6
AD 1 7
AVD D_ S AT A_ 7
AE 1 7
VDD _ 1
L1 5
VDD _ 2
M1 2
VDD _ 3
M1 4
VDD _ 4
N1 3
VDD _ 5
P 1 2
VDD _ 6
P 1 4
VDD _ 7
R1 1
VDD _ 9
T1 6 VDD _ 8
R1 5
AVD DR X_1
F 1 7
AVD DR X_3
G 1 5
VD D3 3 _ 18 _ 2
AA2 1
VD D3 3 _ 18 _ 4
AE 2 5 VD D3 3 _ 18 _ 3
AA2 2
VD D3 3 _ 18 _ 1
Y 2 0
C K VD D_ 1 . 2 V_ 2
L2 2 C K VD D_ 1 . 2 V_ 1
L2 1
C K VD D_ 1 . 2 V_ 4
L2 5 C K VD D_ 1 . 2 V_ 3
L2 4
S 5 _ 3 . 3 V_ 7
L2 S 5 _ 3 . 3 V_ 6
L1
C3 0 3
. 1U _ 1 6V_ 0 4
C3 1 6
1 U_ 1 0 V_ 06
C 2 74
1U _ 10 V_ 0 6
C 34 1
* 1U _ 10 V_ 0 6
L 3 4
H CB1 6 0 8 K F -1 2 1T 2 5 _ 06
C3 5 5
. 1 U _ 16 V_ 0 4
C3 0 9
. 1 U _1 6 V_ 0 4
C3 3 6
1 U_ 1 0 V_ 0 6
C3 1 1
1 U _1 0 V_ 0 6
C3 4 7
. 1 U _1 6 V_ 0 4
H CB 10 0 5 K F -1 2 1 T2 0 _ 0 4
L 3 0
3 . 3 V
1. 2 V
1 . 2V _U S B_ P H Y _ R
1 . 2 V_ CK VD D
AV DD CK _ 1 . 2 V
1 . 2 V_ US B_ P H Y _ R
3 . 3V S
1. 2 V_ C K VD D
1 . 2 V
3. 3 VS
5 VS
AV DD CK _ 3 . 3 V
1. 2 VS
AV DD CK _ 1 . 2 V
3 . 3 VS
3 . 3 V_ AVD DC
AVD DC K _ 3 . 3 V
3 . 3 VS
3 . 3 V 3 . 3 V_ AVD DC
1 . 2 VS
1 . 2 VS
3 . 3 V
P CI E _V DD R
1 . 2 VS
Sheet 17 of 35
SB700-4
Schematic Diagrams
New Card, Mini PCIE B - 19
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
New Card, Mini PCIE
Sheet 18 of 35
New Card, Mini
PCIE

R4 4 8 0 _ 0 4
MINI CARD (WLAN,Port 5)
0514- J
add BT_DET#
BT _E N 22 , 2 4
BU F _P LT _ RS T #
NC _3 . 3 VAUX
NC _ P E RS T #
48mils
NC _C P P E #
NC _C P US B #
R4 4 9 * 0 _0 4
R4 3 9 * 0_ 0 4
NC _3 . 3 V
NC _R S T #
NC _1 . 5 V
36mils
ENEP2231NFE2 pin1,8, 9,10,20 ha s
inte r nal ly pulled hig h(110~330K
Ohm)
48mils
R3 7 7 * 10 m i l_ s h ort_ 0 4
R3 7 6 * 10 m i l_ s h ort_ 0 4
R4 4 1 *1 5m i l _s h o rt_0 6
R 44 3 * 0_ 0 4
R4 4 0 0 _ 04
NEW CARD(Port 8)
UI M _P W R_ 1
P CI E _ W AK E #
UI M _D AT A_1
UI M _C L K _1
R 3 69 1 0 K _ 04
UI M _R S T _1
UI M _VP P _1
R 44 2 * 0_ 0 4
3 . 3 VS
Lay out Show "WLAN(Wimax, 802.11N) " Note
2 0mi ls
20mils
R4 1 8 1 0 K _0 4 3. 3VS
BT_ E N
C 5 79
* 0. 1u _ 16 V_ Y 5 V _ 0 4
C 5 83
0 . 1u _ 1 6V _Y 5V _0 4
C 52 9
1 0 u_ 6 . 3 V_X5 R_ 0 6
R3 6 1 * 0 _0 4
R 4 10 * 1 5m i l _ s h ort_ 0 6
C 5 45
* 0 . 1u _ 1 6V_ Y 5V _ 0 4
U 22
* MC7 4 VHC 1 G0 8 DF T 1 G
1
2
5
4
3
R 4 22 1 0K _ 0 4
C 59 3
* 0. 1 u _ 16 V_ Y 5 V _ 0 4
R3 6 0 * 0 _0 4
C5 80
*0 . 1u _ 1 6V _Y 5V _0 4
C 55 1
* 0. 1 u _ 16 V_ Y 5 V _ 0 4
C5 3 0
0. 1 u _ 16 V_ Y 5 V_ 0 4
L4 1
*W CM2 0 1 2F 2S -1 6 1 T0 3 -s ho rt
1
4
2
3
C5 8 1
*1 0 u_ 6 . 3 V_X 5 R_ 0 6
R 4 27 *1 0 K _ 04
C5 5 0
*0 . 1 u _1 6 V_ Y 5 V_ 04
C5 8 4
1 0u _ 6 . 3V_ X5 R_ 0 6
R 42 4
*1 0 0 K _ 04
C5 8 2
*0 . 1 u_ 1 6 V_ Y 5 V_ 04
R3 4 7 1 0 K _0 4
R 4 2 3
*1 0 0K _ 0 4
C5 8 8 * 0. 1 u _ 16 V_ Y 5 V_ 0 4
J _ NE W 1
* 13 5 8 01 5 1- 5
CP P E #
1 7
GN D
1
GN D
2 3 GN D
2 0
GN D
2 6
RE F CL K +
1 9
RE F CL K -
1 8
P E T p 0
2 2
P E T n 0
2 1
P E R p0
2 5
P E R n0
2 4
+3. 3 V
1 4
+3. 3 V
1 5
+3. 3 VAU X
1 2
+1. 5 V
1 0
P E R S T#
1 3
W AK E #
1 1
S MB _D AT A
8
S MB _C LK
7
CL K R E Q#
1 6
R E S E R VE D
5
R E S E R VE D
6
US B _D +
3
US B _D -
2
CP U S B#
4
+1. 5 V
9
C 5 31
0 . 1 u _1 6 V_ Y 5 V _ 04
R4 2 1
*1 0 K _ 04
U2 3
*W 8 3 L3 5 1 Y G
S Y S RS T#
6
S HDN #
2 0
S TBY #
1
3. 3 VO UT
3
P E RS T #
8
N C
4
G ND
7
CP U S B#
9 C P P E #
1 0
1. 5 VO UT
1 1
1 . 5 VI N
1 2
AUXO UT
1 5
A UXI N
1 7
RCL K E N
1 8 O C#
1 9
N C
5
N C
1 3
N C
1 4
NC
1 6
3 . 3 VI N
2
E G ND
2 1
1 . 5VS
3 . 3 V
3 . 3 V 1. 5 VS 3. 3 VS
3 . 3 V
3 . 3 V
3 . 3V
1 . 5V S
1 . 5 VS
3 . 3 V 3 . 3V S
B T_ DE T# 2 2 , 24
3. 3 V
3 . 3 V 3. 3 V
3 . 3V
3 . 3 V
3 I N 1 24
VD D3
P CI E _ NB _M I NI C A RD _T X P 9
P CI E _N B_ MI NI CA RD _ TX N 9
P C I E _N B_ E XP CA R D_ TX P 9
S US B # 15 , 2 4 , 2 5, 2 6
P C I E _E XP C ARD _ CL K P 2
P C I E _E XP C ARD _ CL K N 2
P C I E _N B_ E XP CA R D_ TX N 9
P CI E _ NB _M I NI C ARD _R XP 9
W LA N_ DE T # 2 4
BUF _P L T _ RS T # 2 1 , 2 4, 2 6
P CI E _ NB _M I NI C ARD _R XN 9
P C I E _ E XP CARD _ CL K RE Q # 2 , 15
P C I E _ W AK E # 1 5 , 2 1
P C I E _ NB_ E XP CA R D_ R XP 9
U S B _ OCP 6 _ 7 # 15
P C I E _ NB_ E XP CA R D_ R XN 9
S C L K 2 1 5
S D AT A 2 1 5
P C I E _ E XP C A RD _ P W RE N # 15
W LA N _ E N 2 2 , 2 4
P CI E _ W L AN_ CL K N 2
U S BN7 1 5
U S BP 7 1 5
W LAN _ CL K RE Q # 2
S CL K 1 1 5
P CI E _ W L AN_ CL K P 2
U S B P 5 1 5
U S B N 5 1 5
S DA T A1 1 5
8 0C LK 2 4
W DT _ E N 2 4
NC _C P US B #
NC _C P P E #
KEY
J _M I NI 1
8 89 0 8 -52 0 4 M-0 1
COE X1
3
COE X2
5
CL K RE Q #
7
GND 0
9
RE F C LK -
1 1
RE F C LK +
1 3
W AK E #
1
GND 1
1 5
P E Tn 0
2 3
P E Tp 0
2 5
GND 2
2 1
GND 3
2 7
P E Rn 0
3 1
P E Rp 0
3 3
GND 4
2 9
Re se rv e d 0
1 7
Re se rv e d 1
1 9
W _ DI S AB L E #
2 0
GND 1 2
3 7
3. 3 VAU X_ 3
3 9
3. 3 VAU X_ 4
4 1
GND 1 3
4 3
Re se rv e d 2
4 5
Re se rv e d 3
4 7
Re se rv e d 4
4 9
Re se rv e d 5
5 1 LE D_ W L AN#
4 4 L E D _W W AN#
4 2
GN D6
1 8
UI M _ VP P
1 6 UI M _R E S E T
1 4
3 . 3 VAU X_ 0
2
UI M _ CL K
1 2 UI M _D AT A
1 0 UI M_ P W R
8 1. 5V_ 0
6
GN D5
4
P E RS E T #
2 2
3 . 3 VAU X_ 1
2 4
GN D7
2 6
1. 5V_ 1
2 8
S M B_ CL K
3 0
S M B_D AT A
3 2
GN D8
3 4
US B _D -
3 6
U S B _ D+
3 8
GN D9
4 0
L E D _W P AN#
4 6
1. 5V_ 2
4 8
GN D1 0
5 0
3 . 3 VAU X_ 2
5 2
GND 1 1
3 5
8 0 DE T # 24
W LA N _ LE D # 22 , 2 4
0511- J
change
0511- J
change
CL _ CL K 1
CL _ DAT A1
CL _ RS T #1
R3 6 3 *1 0 m il _ s ho rt
R3 6 2 *1 0 m il _ s ho rt
NEW CARD ONLY C4500 SUPPORT
BUF _ P L T _R S T #
MI N I _S C L K 1
Schematic Diagrams
B - 20 CCD, 3G, SATA ODD
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
CCD, 3G, SATA ODD
Sheet 19 of 35
CCD, 3G, SATA
ODD

KEY
J _ 3G 1
8 8 91 0 -5 20 4 M-0 1
CO E X1
3
CO E X2
5
CL K R E Q#
7
GN D0
9
RE F CL K -
1 1
RE F CL K +
1 3
W AK E #
1
GN D1
1 5
P E T n 0
2 3
P E T p 0
2 5
GN D2
2 1
GN D3
2 7
P E R n0
3 1
P E R p0
3 3
GN D4
2 9
Re s e rv ed 0
1 7
Re s e rv ed 1
1 9
W _ DI S A BL E #
2 0
GN D1 2
3 7
3 . 3V AUX_3
3 9
3 . 3V AUX_4
4 1
GN D1 3
4 3
Re s e rv ed 2
4 5
Re s e rv ed 3
4 7
Re s e rv ed 4
4 9
Re s e rv ed 5
5 1 L E D_ W L A N#
4 4 L E D _W W A N#
4 2
GN D6
1 8
UI M _ V P P
1 6 UI M _R E S E T
1 4
3. 3 VA U X_ 0
2
UI M _ CL K
1 2 UI M _D A T A
1 0 UI M_ P W R
8 1. 5V _ 0
6
GN D5
4
P E RS E T #
2 2
3. 3 VA U X_ 1
2 4
GN D7
2 6
1. 5V _ 1
2 8
S M B _ CL K
3 0
S M B_D A T A
3 2
GN D8
3 4
US B_D -
3 6
U S B_ D+
3 8
GN D9
4 0
L E D _W P A N#
4 6
1. 5V _ 2
4 8
GN D1 0
5 0
3. 3 VA U X_ 2
5 2
GN D1 1
3 5
US BN9 15
US BP 9 15
60mi ls
U I M_ P W R
U I M_ RS T UI M _VP P
J _C CD 2
*8 5 20 5 -0 50 0 1 _R
1
2
3
4
5
Layout Show " 3.5G(HSDPA) " Not e
US BP 6 15
US BN6 15 US BP 6
US BN 6
CCD _ DE T #
SATA ODD
SIM CONN
P I N G ND 1 ~2 =G ND
U I M_ DA T A
MINI CARD 3G(Port 6)
U I M_ VP P
U I M_ P W R
U I M_ RS T
CC D_ DE T # 24
+C5 0 1
1 00 u _ 6. 3 V _ B _ A
U I M_ CL K
CC D_ DE T # 24
U I M_ DAT A
C 5 26
2 2 p _5 0 V_N P O_ 0 4
C5 0 3
*0 . 1 u_ 1 6V _Y 5V _ 0 4
J _ OD D1
C1 8 5 53 -1 01
S 1
S 2
S 3
S 4
S 5
S 6
S 7
P 1
P 2
P 3
P 4
P 5
P 6
Q2 2
AO 3 41 5
G
D S
R 22 6 4. 7 K _ 0 4
R1 3 7 *0 _ 0 8
C 26 3
0 . 1 u_ 1 6V _Y 5V _ 0 4
C5 0 6
0. 1 u _ 16 V _ Y 5 V _ 04
C 3 61
2 2 p _5 0 V_ NP O_ 0 4
C5 07
0. 1 u _ 16 V_ Y 5 V_ 04
+C2 5 1
22 0 u _4 V_ V_ B R 14 6
2 0 K _1 % _ 04
R 39 0
* 10 m il _ s ho rt_ 0 4
C2 5 0
0. 1 u _ 16 V _ Y 5 V _ 04
C5 05
*0 . 1u _ 1 6V _ Y 5 V _ 0 4
C3 6 5
*0 . 1 u _1 6 V _Y 5 V _0 4
R 1 82
*1 5m i l_ s h ort_ 0 6
Q2 3
MT N7 0 02 Z H S 3 G
D
S
C2 62
0. 1 u _ 16 V_ Y 5 V_ 04
R1 36 1 0 0K _ 0 4
C 56 6
2 2 p_ 5 0 V _N P O_ 0 4
C5 0 4
1 u_ 6 . 3V _Y 5V_ 0 4
L 3 3
*W C M2 0 12 F 2 S -1 61 T 0 3-s h o rt
1
4
2
3
C 5 58
0 . 1 u _1 6 V_ Y 5 V_0 4
C 5 56
1 0 u _6 . 3 V_ X5 R_ 0 6 +C 28 3
2 2 0u _ 4 V _V_ B
C5 0 2
1 0u _ 6 . 3V _ X 5R _ 06
C5 28
22 p _5 0 V _ NP O _0 4
R 15 4
1 0 0K _0 4
R3 3 6
*1 0 m il _ sh o rt_ 04
C2 33 1u _ 6 . 3V_ Y 5V_ 0 4
(TOP VIEW)
LOCK
OPEN
J _ S I M 1
C1 77 0 6 61 -1
U I M_ DAT A
C 7
U I M_ VP P
C 6
U I M_ GN D
C 5
U I M_ P W R
C1 U I M_ RS T
C2 U I M_ CL K
C3
C 2 32
1 0 u _1 0 V_ Y 5 V_0 8
3 G_ 3 . 3 V
5 V S
3G _ 3. 3 V
3 G_ 3 . 3 V
3 G_ 3 . 3V
S A T A _ T X4 +_C 1 6
3. 3 V
C 40 8
1 u _6 . 3 V _ Y 5 V _0 4
S A T A _ RX4 -_C 1 6
S A T A _ RX4 +_ C 16
S A T A _ T X4 -_ C 1 6
Q 2 8
MT P 3 4 03 N 3
G
D S
3 G_ P W R 24
U S BP 4 1 5
3 G_ D E T# 2 4
R4
1 00 K _ 0 4
3 G_ E N 2 4
U S BN4 1 5
L 4 3 H CB1 0 05 K F -1 2 1T 2 0
From H8 default HI
48 mil
5V
US BN 9
J _C CD 1
8 52 0 5 -05 0 01 _ R
1
2
3
4
5
US BP 9
C 40 5
1 u _6 . 3 V _ Y 5 V_0 4
C1 0 . 1u _ 10 V_ X7R _ 04
CCD _ DE T #
R6 3 3 0K _ 0 4
MJ_CCD1
R2 59
10 0 K _0 4
5
CC D_ E N
C 40 6
0 . 1 u_ 1 0V _X 7 R_ 0 4
Q3
MT N7 0 0 2ZH S 3 G
D
S
CCD
5V_ CC D
1
C 40 7
1 u _6 . 3 V_ Y 5 V_ 04
CC D_ E N 2 4
3G POWER
From SB GPIO Pin default HI
Power Pl ane: Suspend
S3: Defi ned
3A 120mils 3A 120mils
U I M_ CL K
S I ML OC K
60mi ls
Schematic Diagrams
USB, Fan, TP, Multi-Con B - 21
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
USB, Fan, TP, Multi-Con

HE AD P HO NE -R
S P K _ HP #
MIC 1-L
HE AD P HO NE -L
MI C _S E N S E
V I N MI C 1-R
HP _ S E N S E
0515- J
Add " *"
U S B_O CP 0 _ 1 #
P C 41
0 . 1 u _5 0 V_ X7 R_ 0 4
AP _ O N
U S BN2 _ R
U S BP 2 _R 0512-J
change pi n
layout ? ? ? ?
US BN 2_ R
US BP 2 _ R
LI D _ S W #
P C2 42 *0 . 1 u_ 5 0 V_X7 R_ 0 4
S P K OU T R+
S P K OUT R -
60mils
6-02-09715-920
P C 40
0 . 1u _ 5 0V _ X7R _ 04 AP _ K E Y #
S P K OU T R- 2 3
S P K OU T R+ 2 3
0520-J
EMI CHG 0. 01uF?0. 1uF
+
C 48 2
1 0 0u _ 6 . 3V _ B_ A
0512- J
change
J _T P 2
85 2 01 -0 6 05 1
1
2
3
4
5
6
R4 3 0 *0 _ 0 4
80 mil
US BVC C0 1
Q1 4
*MT N 70 0 2ZH S 3
G
D
S
3 . 3 V
J _ S W 3
88 2 9 6-0 8 L
1
2
3
4
5
6
7
8
3 . 3 VS
MP W R _BT N #
F ON #
F ON #
W E B_ E MA I L #
W E B_ W W W #
W E B_ E M AI L# 2 4
W E B_ W W W # 2 4
L I D_ S W # 12 , 1 5 , 24
R3 0
*4 7 K _ 04
J _S W 1
*5 0 50 0 -0 10 4 1 -00 1 L
1
2
3
4
5
6
7
8
9
10
FOR AUDIO/B
AP _O N
FOR CLICK BOARD
L 5 9
W C M2 0 12 F 2 S -1 61 T 0 3
1
4
2
3
R4 2 9 *0 _ 0 4
R 1 78 0_ 0 6
R4 31 * 0 _0 4
R 43 2 * 0 _0 4
USB PORT*2(Port 0,Port1)
Audio/B CONN.(Port 2)
FOR POWER SWITCH BOARD
C 50 8
0 . 1 u _1 6 V_ Y 5 V_0 4
FAN CONTROL
J _ US B2
C1 0 77 0 -1 04 A3
V+
1
G
N
D
1
G
N
D
1
DAT A_ L
2
DAT A_ H
3
GN D
4
G
N
D
2
G
N
D
2
G
N
D
4
G
N
D
4
G
N
D
3
G
N
D
3
J _ F AN1
85 2 0 5-0 3 7 01
1
2
3
R 7 1
* 1 0K _ 0 4
C8 1
1u _ 6. 3V_ Y 5 V_ 0 4
C 2 98 0 . 0 1u _ 5 0V_ X7 R _ 04
R1 1 7
1 0K _ 0 4
C1 4 2
47 p _ 50 V_ NP O _0 4
R1 1 8 *1 5 mi l _ sh o rt_ 06
U 1 7
G 9 90 P 1 1U
VO UT
3
GN D
5
VI N
2 F O N
1
VS E T
4 GN D
6 GN D
7 GN D
8
Port 0
US BP 1 1 5
C5 1 1
1 0u _ 6. 3 V _ X5R _ 06
L6 0
W CM 20 1 2F 2S -1 6 1 T0 3
1
4
2
3
U 1 4
R T 97 1 5BG S
V OUT 1
6
V OUT 3
8
VI N 2
3
VI N 1
2
V OUT 2
7
GND
1
E N #
4
F L G#
5
US BN 1 1 5
R2 1 1 *1 0 m i l _ sh o rt_ 04
C 80
0 . 1 u_ 1 6V _Y 5V_ 0 4
C 11 9
0 . 1 u_ 1 6V _Y 5V_ 0 4
R 66
1 5 K _1 % _ 04
+C 19 5
1 0 0u _ 6 . 3V _ B_ A
R 65
1 0 K _0 4
R2 1 0 *1 0 m i l _ sh o rt_ 04
Port 1
D1 5 * CH3 5 5 P T
A C
C1 4 4
1 u_ 6 . 3 V_Y 5 V_0 4
C8 9
10 u _6 . 3 V_ X5 R _0 6
C1 43
*1 0u _ 6 . 3V_ X5R _ 06
J _ US B1
C1 0 77 0 -1 04 A3
V+
1
G
N
D
1
G
N
D
1
DAT A_ L
2
DAT A_ H
3
GN D
4
G
N
D
2
G
N
D
2
G
N
D
4
G
N
D
4
G
N
D
3
G
N
D
3
R1 1 6
10 K _ 0 4
C1 3 1
2 2u _ 6 . 3V_ X5 R_ 08
R 32 6
* 0_ 0 4
C1 4 5
4 7p _ 50 V_ NP O _ 04
R 1 24 4. 7 K _ 0 4
J _ AUD I O1
87 2 13 -1 4 R
1
2
3
4
5
6
7
8
10
11
12
13
14
9
C1 8 0
0 . 1u _ 1 6V_ Y 5 V_ 0 4
R1 1 9 * 0_ 0 6
W E B_ E MAI L # 2 4
L I D_ S W # 1 2 , 1 5, 2 4
W E B _E M AI L #
5 VS _F AN 5 VS
5 VS
M P W R_ BT N#
80 mil
US BV CC 01
3 . 3 V
3 . 3 VS
5 VS _ F AN
AP _ K E Y #
L I D_ S W #
W E B_ W W W # 2 4
U S BVCC 01
5 V
W E B _W W W #
5 V
5 VS _ T P
5 VS
CP U _F AN 2 4
5 V
DD_ O N# 25 , 2 6 , 28 , 2 9
AP _ K E Y # 2 4
US B_ OC P 0 _1 # 1 5
CP U _ F ANS E N 24
U S BP 2 1 5
U S BN2 1 5
U S BN0 15
U S BP 0 15
CLICK CONN
MI C _S E NS E 2 3
T P _C L K 24
T P _D AT A 24
H E A DP H ON E -L 2 3
MI C 1-L 2 3
H E A DP H ON E -R 2 3
HP _ S E N S E 2 3
MI C 1-R 2 3
2 0m il
CLOSE TO J_SW1
POWER SWITCH CONN.
2 0m il
1.1A 60mils
3. 3 VS 3 . 3V
V D D3
P C5 2 * 0 . 01 u _5 0 V_ X7 R _0 4
R 32 8
* 0_ 0 4
R4 3 4 1 0 0K _ 0 4
M _ B TN # 2 5
20mil
3
L E D_ P W R # 2 2 , 2 4
L E D_ BAT _ CHG # 22 , 2 4
L E D_ BAT _ F UL L# 2 2 , 24
L E D_ ACI N # 22 , 2 4
JFAN
5V S
1
AP _ K E Y # 2 4
C5500Q Click/B LED Use 0526-J
Add
0520- J
EMI CHG
0520- J
EMI CHG
AP _ ON 2 5
0526- J
l ayout ? ? ? ?
J _T P 1
8 52 0 1 -04 0 5 1
1
2
3
4
60mils
Sheet 20 of 35
USB, Fan, TP,
Multi-Con
Schematic Diagrams
B - 22 Card Reader/LAN JMC261
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
Card Reader/LAN JMC261
Sheet 21 of 35
Card Reader/LAN
JMC 261

ML MX1 +
ML MX0 -
DC_ N P
ML MX0 +
DD_ N P
L AN _ MDI P 1
T X_ CT
M L MX1 +_ R
ML MX1 -
RD _ CT R X_C T
L AN _ MDI N 1
L AN _ MDI P 0 M L MX0 +_ R
T D_ CT
M L MX1 -_R
M L MX0 -_R L AN _ MDI N 0
ML MX0 +_ R
ML MX1 -_ R
ML MX1 +_ R
ML MX0 -_ R
S
D
_
D
2
S
D
_
D
1
S
D
_
D
0
M DI O 1 3
D 1 1
*BA V99 R E CT I F I E R
AC
A
C
S D_ CD #
MS _ I NS #
S D_ C LK
L AN_ L E D0
L AN_ L E D1
L AN_ MD I N2
L AN_ MD I N0
L AN_ MD I N1
L AN_ MD I P 1
L AN_ MD I P 0
D 1 2
*BA V99 R E CT I F I E R
AC
A
C
L AN_ MD I P 3
L AN_ MD I N3
L AN_ MD I P 2
XR S T N
S D _B S
D 1 4
*BA V99 R E CT I F I E R
AC
A
C
D 1 3
*BA V99 R E CT I F I E R
AC
A
C
For JMC251/261
only
S
D
_
D
3
M
D
IO
11
M
D
IO
8
S
D
_
W
P
M
D
IO
7
S
D
_
B
S
M
D
IO
1
2
M
D
IO
1
0
M
D
IO
9
LAN _ S DA
LAN _ S CL
W AK E N
MDI O 1 3
MDI O 1 4
MDIOSingle
End =50Ohm
P CI e Dif fe re nt ia l
P ai rs = 10 0 Oh m
5V S
R
E
G
L
X
D
V
D
D
L
A
N
X
O
U
T
L
A
N
X
IN
L AN _S CL
L AN _S DA
S D _D 1
S D _C D#
Card Reader/Lan (JMC261)
S D_ BS
M S _ I NS #
S D_ W P
S D_ CD #
Near Cardreader CONN
MP D
4 IN 1 SOCKET SD/MMC/MS/MS Pro
(>20 mi l) ( >20mil)
Card Reader Power
S D _B S
C R_ CP P E #
S D _C L K
Near JMC261 Power Pi n
CR1 _ P CT L N
C R1 _ P CT L N
S D _C L K
S D _D 3
L ANXOU T
L ANXI N
S D _C L K
For JMC251/261
only
M S _I N S #
S D _C D#
C2 8
*1 0 p _5 0 V_ NP O _0 4
C 5 55
0 . 1u _ 1 6V _Y 5V _0 4
R4 9 4 . 7 K _ 04
C 5 52
0 . 1u _ 1 6V _Y 5V _0 4
C4 7 0
22 p _ 50 V_ N P O_ 0 4
R 2 77 0 _0 4
L P 2
* W CM 2 01 2 F 2 S -1 61 T 0 3
1
4
2
3
R 51 4 . 7 K _ 04
C 47 3
2 2 u_ 6 . 3 V_ X5 R _0 8
R2 2
7 5_ 1 % _0 4
J _ CAR D-R E V1
M DR 0 19 -C 0-1 0 4 2
D AT2 _ S D
P 2
VS S _ M S
P 2 1
C D/ D AT3 _ S D
P 3
C MD _S D
P 4
VS S _ S D
P 5
VD D_ S D
P 6
C LK _ S D
P 7
VS S _ S D
P 8
D AT0 _ S D
P 9
D AT1 _ S D
P 1 0
W P _ S D
P 1 1
VS S _ M S
P 1 2
VC C_ MS
P 1 3
S C LK _M S
P 1 4
D AT3 _ MS
P 1 5
I N S _ MS
P 1 6
D AT2 _ MS
P 1 7
S D I O/ D AT 0_ M S
P 1 8
D AT1 _ MS
P 1 9
BS _ M S
P 2 0
C D_ S D
P 1
GN D
P 2 3 GN D
P 2 2
C4 7 1 0 . 1 u _1 0 V_ X7 R _0 4
C4 3 2
0. 01 u _ 50 V_ X7R _ 04
R 52 4 . 7 K _ 04
C4 4 6
10 u _ 6. 3 V_ X5 R_ 0 6
R2 1
7 5_ 1 % _0 4
C2 9
*1 0 u_ 6 . 3 V_ X5 R_ 0 6
C4 3 7
0. 01 u _ 50 V_ X7R _ 04
C 44 5
0 . 1 u _1 6 V_ Y 5 V_ 04
X5 X8 A0 2 5 00 0 F G1 H _2 5 MH z 1 2
C 67
0 . 1 u _1 6 V_ Y 5 V_ 04
W AK E N
C5 1
0 . 1u _ 1 6V _Y 5 V_0 4
R5 0 4 . 7 K _ 04
C 53
0 . 1 u_ 1 6 V_ Y 5 V_ 04
R2 7 1
7 5_ 1 % _0 4
C3 7
10 u _ 6. 3 V_ X5 R_ 0 6
C 5 0
0 . 1 u _1 6 V_ Y 5 V_ 0 4
R3 8
* 1 5m i l _s h o rt_0 6
C 45 8
2 2 u _6 . 3 V_ X5 R _0 8
S D _D 2
(LQFP 64)
J MC261
U 11
J MC 2 61
R
E
X
T
1
V
D
D
X
3
3
2
X
IN
3
X
O
U
T
4
G
N
D
5
L
X
6
F
B
1
2
7
V
D
D
R
E
G
8
C
L
K
N
9
C
L
K
P
10
A
V
D
D
H
1
1
R
X
P
12
G
N
D
14
T
X
N
1
5
T
X
P
16
AVD DX
1 7 R S T N
1 8 W AK E N
1 9 MP D
2 0 C RE Q N
2 1 S M B_ S CL / L E D2
2 2 C R_ CD 1 N
2 3 C R_ CD 0 N
2 4
R
X
N
1
3
M
D
IO
8
3
7
V
D
D
IO
38
M
D
IO
7
3
9
M
D
IO
6
40
G
N
D
4
1
M
D
IO
5
42
M
D
IO
4
4
3
M
D
IO
3
44
V
D
D
IO
4
5
M
D
IO
2
46
M
D
IO
1
4
7
M
D
IO
0
48
M
D
IO
9
36
M
D
IO
10
3
5
M
D
IO
1
1
34
M
D
IO
12
3
3
GN D
3 2
M DI O 13
3 1
M DI O 14
3 0
S MB_ S DA / CR _L E D N
2 9
T E S T N
2 8
VDD I O
2 7
VD D
2 6
VCC 3 O
2 5
L E D0
4 9
L E D1
5 0
VD D
5 1
GN D
5 2
VI P _ 1
5 3
VI N _1
5 4
AVD D1 2
5 5
VI P _ 2
5 6
VI N _2
5 7
GN D
5 8
AVD D3 3
5 9
VI P _ 3 (NC )
6 0
VI N _3 (N C)
6 1
AVD D1 2 (NC )
6 2
VI P _ 4 (NC )
6 3
VI N _4 (N C)
6 4
C6 8
0. 1 u _ 1 6V_ Y 5V_ 0 4
L 4 9
S W F 2 5 20 CF -4R 7 M-M
C 38
0 . 1 u_ 1 6 V_ Y 5 V_ 04
C5 2
0. 1 u _ 16 V_ Y 5V_ 0 4
C4 5 6
0. 1 u _ 1 6V_ Y 5V_ 0 4
R3 7 *0 _ 0 4
C 5 57
* 0 . 1u _ 1 6V_ Y 5V _0 4
R 3 9
5 5 _ 1% _ 0 6
RN 1
10 K _ 8 P 4 R_ 0 4
1
2
3
4 5
6
7
8
C 70
0 . 1 u _1 6 V_ Y 5 V_ 04
R2 4
7 5_ 1 % _0 4
R2 8 3 1 M_ 0 4
R 2 75 0 _0 4
C4 6 8
22 p _ 50 V_ NP O_ 0 4
C 46 9
* 10 u _ 6. 3 V_ X5 R_ 06
C 5 40
1 0 u _6 . 3 V_ X5R _ 06
R2 7 2 2 _ 04
C4 2 9
1 00 0 p _2 K V_ X7 R_ 12 _ H1 2 5
C 66
0 . 1 u_ 1 6 V_ Y 5 V_ 04
L P 1
* W CM 2 01 2 F 2 S -1 61 T 0 3
1
4
2
3
S D _W P
L 47
L F -H8 0 P -1
RD+
1
RD-
2 R X+
1 6
RX-
1 5
RD_ C T
3
RX_ CT
1 4
NC
4
N C
1 2
NC
5
TD _C T
6
TD +
7
N C
1 3
T X-
9
TD -
8
TX_ CT
1 1
T X+
1 0
C4 6 2
0. 1u _ 1 6V_ Y 5V_ 0 4
U 12
*H T2 4 L C0 2 18 P B
S CL
6
A0
1
A1
2
A2
3
W P
7
S DA
5
V CC
8
G ND
4
R 2 76 0 _0 4
C 45 0
0 . 1 u_ 1 6 V_ Y 5 V_ 04
C6 9
0. 1 u _ 1 6V_ Y 5V_ 0 4
D 3 8 *R B7 51 V
A C
C4 9
0. 1 u _ 16 V_ Y 5V_ 0 4
C 4 57 0 . 1u _ 1 6V _Y 5V _0 4
P J S -0 8S L 3 B
J _R J 1
DD-
8 DD+
7
DB-
6
DC-
5 DC+
4
DB+
3 DA-
2 DA+
1
s h i e l d
GN D1
s h i e l d
GN D2
R 57
1 2 K _ 1% _ 0 4
R5 3 *1 0 0 K _0 4
C4 7 2 0 . 1 u _1 0 V_ X7 R _0 4
D VDD
DVD D
DVD D
DVD D
VC C_ C ARD
D VDD
D VDD
D VDD
3. 3 V
VCC _ CAR D
VCC _C ARD
VC C_ C ARD
3 . 3 V 3 . 3V
3. 3 V
3. 3 V
S D _D 0
3 . 3 V
3 . 3V 3 . 3 V
3 . 3V
3 . 3V
3 . 3V S
3 . 3 V
3 . 3 V
D VDD
P C I E _ NB_ CA RDR E AD E R_ T XN 9
P C I E _ NB_ CA RDR E AD E R_ T XP 9
BU F _P L T _ RS T # 1 8, 2 4 , 2 6
VCC _ CAR D
P CI E _C R_ CL K P 2
P CI E _ CR _ CL K N 2
P CI E _ NB_ C ARD RE AD E R_ R XP 9
P CI E _ NB_ C ARD RE AD E R_ R XN 9
P CI E _W AK E # 15 , 1 8
C R_ W AK E # 1 4
C R_ C P P E # 15
0.1u
R37 R53
0
( >20mil) (>20mil)
Swit chingRegulator
S D _D 0
EnableD3E(1)
100K
R275
DisableD3E 0
1. ForJ MC251/ JMC261only.
2. MPDconnecttoMai n Power orRSTNfor
D3Eapplicaion, toAUXpowerotherwise.
EnableD3E(2)
C457
NC
Functi on
NC NC NC
NC NC
NC NC
M LM X1 +
M LM X1 -
M LM X0 -
M LM X0 +
S D _D 1
S D _D 2
Place all capacitorsclosedtochip.
The subscript ineachCAP incicatesthe
pinnumber of JMC251/JMC261that
shouldbe closedto.
RE G L X
S D _D 3
Schematic Diagrams
SATA HDD, LED, MDC, BT B - 23
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
SATA HDD, LED, MDC, BT

C 54 2
* 22 p _5 0 V _ NP O _0 4
R 3 89 *3 3_ 0 4
R 3 86 *3 3_ 0 4
C 54 7
*. 1U _1 0 V_ X7 R _0 4
J _ M DC1
*8 8 01 8 -1 20 G
G ND
1
A za li a _S DO
3
G ND
5
A za li a _S Y NC
7
A za li a _S DI
9
A za li a _R S T #
1 1
A za l i a _ BCL K
1 2 GND
1 0 GND
8 3. 3 V M ai n / au x
6 R E S E RV E D
4 R E S E RV E D
2
R 3 88 *2 2_ 0 4
R 3 87 *3 3_ 0 4
From EC default HI
Bluetooth(Port8)
3. 3 V
5 0m il
A Z_ S DI N 1 1 5
HD D_ NC 1
HD D_ NC 2
HD D_ NC 3
L 53
HC B 1 00 5 K F -1 2T 2 0
BT _D E T # 18 , 2 4
0514- J
Add
R 44 4 *1 0 m i l _ sh o rt_ 04
S AT A _T X0 +_ C
S AT A _T X0 -_C
W L A N_ L E D# 1 8, 2 4
S AT A _R X 0-_ C
S AT A _R X 0+_ C
HD D_ NC 0
FOR C4500
3. 3 V
R3 5 6
*0 _ 0 40 2 _1 0 m i l _ s ho rt
BT _E N #
1
CAPS
LOCK
LED
LED
SATA HDD
NUM
LOCK
LED
2
POWER ON
LED
HDD/
CD-ROM
LED
P I N G ND 1 ~2 =G ND
MA Z _S D I N1 _ R
SCROLL
LOCK
LED
MA Z _S Y NC _R
MA Z _S D OU T_ R
MA Z _R S T #_ R
BAT LED
MAZ _ BI T CL K _ R
3
2 4
1
WLAN
LED
BT
LED
12
MJ _MDC1
1
11
10mil
2
C 54 1
0 . 0 1 u_ 5 0V _X 7 R_ 0 4
S
G
Y
D 31
*R Y -S P 15 5 HY Y G4
13
24
C5 46
10 u _6 . 3 V _ X5 R _0 6
Q2
DT C1 1 4E U A
C
E
B
D3
R
Y
-S
P
1
7
2
Y
G
3
4
A
C
R 2
2 2 0_ 0 4
D4
R
Y
-S
P
17
2
Y
G
3
4
A
C
D2
R
Y
-S
P
17
2
Y
G
3
4
A
C
Q1
* DT C1 1 4 E UA
C
E
B
R2 5 4
*2 20 _ 0 4
C5 3 3
0. 1u _ 16 V _ Y 5 V _ 0 4
J _ HDD 1
ACE S -9 1 9 07 -0 22 0 A-H0 1
S 1
S 2
S 3
S 4
S 5
S 6
S 7
P 1
P 2
P 3
P 4
P 5
P 6
P 7
P 8
P 9
P 1 0
P 1 1
P 1 2
P 1 3
P 1 4
P 1 5
R3 2 7 1 0 K _ 04
R 35 0 * 0 _0 4
R2 5 1
*2 2 0_ 0 4
A Z_ BI T CL K
C5 10
0. 1 u _ 16 V_ Y 5 V _ 04
R 8
2 2 0 _0 4
J _BT 1
8 7 2 12 -0 6L
1
2
3
4
5
6
S
G Y
D1
RY -S P 15 5 HY Y G 4
13
24
C5 0 9
10 u _ 6. 3 V_ X 5R _0 6
R 5
2 2 0_ 0 4
R 3
2 2 0 _0 4
+C5 2 4
*1 0 0u _ 6 . 3V _ B_ A
R1
12 0 _0 4
C 53 7
2 2 u_ 6 . 3 V _X 5 R_ 0 8
S
G
Y
D 30
* RY -S P 15 5 HY Y G4
13
24
C5 3 4
0 . 1 u_ 1 6V _Y 5V_ 0 4
R2 5 2
*2 2 0 _0 4
Q4 0
MT N7 0 02 ZH S 3 G
D
S
C 5 32
1 u _ 6. 3 V_ Y 5 V _ 0 4
D5
R
Y
-S
P
1
7
2
Y
G
3
4
A
C
C 53 6
0 . 1 u _1 6 V _ Y 5 V _0 4
R2 5 3
*2 2 0_ 0 4
R 7
2 2 0_ 0 4
3 . 3V S
VDD 3 V D D3
3. 3 V S
5 VS
3 . 3VS
3. 3 VS
V DD 3 V DD 3
3. 3 V S
3 . 3 V
3. 3 V
3 . 3V S 3. 3 VS
3V _ BT 1
LE D_ BA T _F U LL # 2 0, 2 4
L E D_ BA T _ CHG # 20 , 2 4
L E D_ P W R# 2 0 , 24
L E D_ A C I N# 2 0, 2 4
S A T A_ RX0 -_C 1 6
BT _ E N 1 8, 2 4
W L A N _E N 1 8, 2 4
S A T A_ RX0 +_ C 16
U S BP 8 15
U S BN8 15
S A T A_ T X0 +_C 1 6
S A T A_ LE D # 16 L E D _C A P # 24 LE D _ S CRO L L# 2 4
S A T A_ T X0 -_ C 1 6
LE D _ NUM # 24
BT _E N 18 , 2 4
A Z_ BI T CL K 1 5 , 23
A Z_S DOU T 15 , 2 3
A Z_ RS T # 1 5, 2 3
A Z_ S Y N C 1 5, 2 3
Sheet 22 of 35
SATA HDD, LED,
MDC, BT
Schematic Diagrams
B - 24 Audio Codec ALC272
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
Audio Codec ALC272
Sheet 23 of 35
Audio Codec
ALC272

CODEC ( ALC272-GR )
V er y cl ose t o Au di o Cod ec
AMP (TPA6017)
J_INTMIC1
C3 6 4
*0 . 1 u_ 1 0 V_ X7 R _0 4
Layout Note:
C3 5 3
1u _ 6 . 3V _Y 5 V_0 4
L 3 7 H CB1 0 0 5K F -1 21 T 2 0
AUD G
J DR E F
Layout Note:
5 VS _ AU D
5 VS
6- 20- 63120- 102
C5 8 7
0 . 1u _ 1 0V _X7 R_ 0 4
C 4 04
0 . 1 u _1 0 V _ X7R _ 04
C 5 65
0 . 1 u _1 0 V _ X7R _ 04
AUD G
V er y cl os e t o Au di o Co dec
Layout Note:
C3 7 2 *0 . 1 u _ 16 V_ Y 5 V_ 0 4
AU DG
S P K O UT R- 2 0
S P K O UT R+ 2 0
TO AUDIO BOARD
CONN.
MI C 2-VR E F O
AM P _ BY P AS S
R2 2 1 *1 0 0K _ 0 4
R2 2 2 10 0 K _ 04
R2 3 2 *1 0 0K _ 0 4
C 3 75 1 u_ 6 . 3 V_ X5 R _0 4
5 V
C 3 98 1 u_ 6 . 3 V_ X5 R _0 4
C 3 74 1 u_ 6 . 3 V_ X5 R _0 4
R2 3 1 10 0 K _ 04
F R ON T-L
F R ON T-R
C3 6 9 *0 . 1 u _ 16 V_ Y 5 V_ 0 4
G AI N0
C3 7 0 *0 . 1 u _ 16 V_ Y 5 V_ 0 4
C3 7 1 *0 . 1 u _ 16 V_ Y 5 V_ 0 4
A U DG
G AI N1
A UDG
MI C2 _ L
MI C2 _ R
MI C1 _ L
MI C1 _ R
D4 4 S CS 3 5 5 V
A C
1 . 5 VS
A UDG
AU DG
AU DG
S P K O UT L +_ R
R257
VIA1812 ? 5. 1K
ALC272 ? 20K

M I C1 -L 2 0
M I C1 -R 2 0
F R ON T -L
F R ON T -R
C3 8 0
*6 8 0 p_ 5 0 V_ X7 R _0 4
C 5 73 *0 . 1 u _ 10 V_ X7R _ 04
D 2 8
CH3 5 5 P T A C
L I N -
L I N +
R2 4 8 *0 _ 04
R I N-
R I N+
S P K O UT L -_R
MI C1 -VRE F O
R 2 39
* 1 0m i l _s h o rt
AU DG AUD G
0517- J
? ?
C5 7 5 2 . 2 u _ 6. 3 V_ X5R _ 04
C 5 92
1 0 u _ 10 V _ Y 5 V_ 0 8
DIGITAL
ANALOG
U 2 1
AL C2 7 2
D
V
D
D
1
G P I O0 /DM I C-D AT A1 / 2
2
G P I O1 /DM I C-D AT A3 / 4
3
D
V
S
S
1
4
S D ATA -OU T
5
BI T -CL K
6
D
V
S
S
2
7
S D ATA -I N
8
D
V
D
D
-IO
9
S Y NC
1 0
R E S E T #
1 1
P C BE E P -I N
1 2
S e n se A(J D 1)
1 3
L I NE 2 -L
1 4
L I NE 2 -R
1 5
M I C2 -L
1 6
M I C2 -R
1 7
L I NE 1 -VR E F O
1 8
M I C2 -VRE F O
1 9
L I NE 2 -VR E F O
2 0
M I C1 -L
2 1
M I C1 -R
2 2
L I NE 1 -L
2 3
L I N E 1- R
2 4
A
V
S
S
1
2
6
VR E F
2 7
MI C 1 -VRE F O
2 8
C BP
2 9 CB N
3 0 C P VE E
3 1
H P OU T- R
3 2 HP O UT -L
3 3
S e n se B(J D 2)
3 4
L OU T1 -L
3 5
L OU T 1- R
3 6
M ON O-O UT
3 7
L OU T2 -L
3 9
J DR E F
4 0
L OU T 2- R
4 1
A
V
S
S
2
4
2
N C
4 3
D MI C- CL K 3 /4
4 4
S P D I F O2
4 5
D MI C- CL K 1 /2
4 6
E AP D
4 7
S P D I F O1
4 8
A
V
D
D
2
3
8
A
V
D
D
1
2
5
MI C 1 -VRE F O -L
MI C 1 -VRE F O -R
C 38 1
*6 8 0p _ 5 0 V _X7 R_ 0 4
R4 0 5 22 _ 0 4
R 22 9 7 5 _ 1% _ 0 4
C3 9 4 4 . 7 u _ 6. 3 V_ X5 R_ 0 6
R 22 8 7 5 _ 1% _ 0 4
R2 5 0
4 . 7K _ 0 4
R2 3 0
4 . 7 K _0 4
R 2 57 2 0 K _1 % _ 0 4
R 2 35 10 K _ 0 4
C 38 5
1 00 0 p _5 0 V_ X7R _ 04
HE A DP H ON E -L
R2 58
*1 00 K _ 0 4
L 4 2
HC B1 00 5 K F -1 2 1T 2 0
R 24 9 1 K _ 04 C3 9 5 4 . 7 u _ 6. 3 V_ X5 R_ 0 6
C5 7 2 1 u _ 6. 3 V_ Y 5 V_ 0 4
C5 7 1 1 0 u_ 1 0 V_ Y 5 V_ 08
C 3 79
* 0 . 1u _ 1 0V_ X7 R_ 0 4
R 3 96 10 K _ 1 % _0 4
C5 7 6 2 . 2 u _ 6. 3 V_ X5R _ 04
L 39
F C M1 0 0 5K F -12 1 T 03
1 2
C 57 7
0 . 1 u_ 1 0 V_ X7 R _0 4
U9
MC 74 VH C1 G0 8 DF T1 G
1
2
5
4
3
C3 7 6
2. 2 u _ 10 V_ Y 5V_ 0 4
C4 0 3
0 . 1u _ 1 0V _X7 R_ 0 4
C 3 82 1 u_ 6 . 3 V_ X5 R _0 4
C3 5 7 0 . 1 u _5 0 V_ Y 5 V_ 0 6
R 1 15
2 . 2 K _ 0 4
C 1 41
3 3 0 p _5 0 V_ X7 R _0 4
L 4 0 F CM 1 00 5 K F -1 2 1 T0 3 1 2
C 5 74 0. 1 u _ 10 V_ X 7 R_ 0 4
5 VS _ R E AR
3 . 3 VS
3 . 3 VS _ AUD
AU DG
3 . 3 VS _A UD 3. 3VS
AUD G
AU DG
AUD G
D 2 5 * S CS 5 5 1 V-3 0
A C
C 5 86
* 2 2p _ 5 0V_ N P O_ 0 4
R4 5 1 *1 5 m i l _ s ho rt_ 0 6
C3 5 6 0 . 1 u _5 0 V_ Y 5 V_ 0 6
5 VS
AZ _ S DI N 0 _R
M I C2 -VR E F O
R396
VI A1812 ? 5. 1K
ALC272 ? 1K
Gai n Set ti ngs
GAI N0 GAIN1 AV( i nv) I NPUT I MPEDANCE
0 0 6 dB 90 k
0 1 10 dB 70 k
1 0 15. 6 dB 45 k
1 1 21. 6 dB 25 k
2
J_SPK1
R4 3 5
*1 0 m i l _ sh o rt
C3 9 7
*1 u _6 . 3 V _ X5R _ 06
Low mute!
A U DG
C5 9 1
*1 u _6 . 3 V _ X5R _ 06
1
A U DG
C3 8 3
*1 u _ 6. 3V_ X5 R_ 0 6
T
h
e
r
m
a
l

P
a
d
U1 9
TP A60 1 7 A2 P W P R
S D#
19
R I N-
17
L I N -
5
BY P A S S
1 0 G ND
11
G AI N 0
2
R OU T -
1 4
L OU T -
8
P V DD
6
L O UT +
4
G ND
20
G AI N 1
3 RO UT +
1 8
P V DD
1 5
E XP O S E D P AD
21
L I N +
9
G ND
1
G ND
13
NC
1 2
V DD
1 6
R I N+
7
C 3 66 *1 u _ 6. 3V_ X5 R_ 0 6
S P K O UT L -
S P K O UT L +
M I C1 -R MI C 1 -L
HE A DP H ON E -R
R4 5 0 *0 _ 0 6
I NT _ MI C
S P K O UT L +
S P K O UT L -
C5 6 4
1 0 u_ 1 0 V_Y 5 V_ 08
AL C_ VR E F
C3 9 6
1 0 u_ 1 0 V_Y 5 V_ 08
S P K _E N
I N T _M I C
AL C_ GP I O1
AL C_ GP I O0
D 2 7
CH3 5 5 P T A C
S P K OU T L-
S P K OU TL +
S P K O UT R-
S P K O UT R +
MI C 1 _L
MI C 1 _R
AU DG
S P DI F O
BE E P
AUD G
R2 3 6
10 0 K _ 0 4
E A P D _ MO DE
C 3 93 *0 . 1 u_ 1 6 V_Y 5 V_ 04
C3 6 3 4 . 7 u _ 6. 3 V_ X5 R_ 0 6
MI C 2 _L
MI C 2 _R
AUD G
C3 8 6
1 8 0p _ 5 0V _N P O_ 0 4
C3 8 7
1 8 0 p_ 5 0 V_ NP O _0 4
C3 7 3 4 . 7 u _ 6. 3 V_ X5 R_ 0 6
J _ S P K L 1
8 52 0 4 -02 0 0 1
P C B F o o tpr i n t = 8 52 0 4 -02 R
1
2
AUD G
PC B F OO TP RI NT 2 L
J _ I NT MI C 1
8 8 26 6 -0 20 0 1
P C B F o ot pri n t = 8 82 6 6 -2L
1
2
NEAR CODEC
C od ec pi n 1 ~ pi n 11 an d pi n 44 ~ pi n 48
a re D igi ta l si gn al s.
T he o the rs a re A na log s ig na ls .
C 3 62 1u _ 6 . 3V_ Y 5V _0 4
C 3 68 1u _ 6 . 3V_ Y 5V _0 4
5VS
C 57 0
1 0 u _1 0 V_ Y 5 V_ 0 8
C4 0 0
* 10 u _ 6. 3 V _ X5 R_ 06
R4 1 2 5. 1 K _ 1 % _0 4
R2 2 7 20 K _ 1 % _0 4
C5 6 9
*1 0 u_ 1 0 V_ Y 5 V_ 08
1
AU DG
L 5 8
HC B10 0 5 K F -1 21 T 2 0
C 39 9
0 . 1 u _1 6 V_ Y 5 V_ 0 4
BE E P
PC BEEP
3. 3 VS
2
AZ_ RS T# 15 , 2 2
K BC_ BE E P 2 4
I CH _S P K R 1 5
AZ_ BI TC L K 1 5 , 2 2
AZ_ S Y N C 15 , 2 2
AZ_ S DO UT 1 5 , 2 2
S B _M UT E # 1 6
K BC_ M UT E # 2 4
M I C_ S E N S E 20
HP _ S E N S E 20
A Z_ S DI N0 15
H E ADP HON E -R 20
H E ADP HON E -L 2 0
E A P D_ MO DE
P IN 25 ,P IN3 8 ? 1 ? 10 uF /. 1u F
Schematic Diagrams
KBC ITE IT8502E B - 25
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
KBC ITE IT8502E
Sheet 24 of 35
KBC ITE IT8502E

MO DE L_ ID
HI /L OW
*
HI
T HE R M_ R S T#
DD _ ON_ L AT CH 2 5
C4 50 0
L OW
MO DE L
L E D_ CT R L
T P _ CL K 2 0
T P _ DA T A 2 0
E C 85 1 8 _T 3
R 17 1
*1 0 m i l _ s h ort_ 0 4
K B_ BD _I D
R 4 0 6 4 7 _ 04
R 1 61 * 10 0 _ 04
R 1 81 *1 0 _0 4
D 2 3
B AV 9 9 R E C TI F I E R
A
C
AC
C3 9 1 0 . 1 u _ 16 V _ Y 5 V _ 0 4
R 2 08 4 . 7 K _ 04
R 19 2 * 1 0M _ 04
S M C_ V GA _T H E R M
S M D_ V GA _T H E R M
C2 7 1 * 1 u_ 6 . 3 V_ Y 5 V_ 04
R 20 9 0 _ 04
C 4 01 *3 3 p _5 0 V_ NP O_ 0 4
D 1 8
* BAV 9 9 RE C T I F I E R
A
C
AC
C2 7 2 * 1 u_ 6 . 3 V_ Y 5 V_ 04
C2 9 6
0 . 1u _ 1 6 V_Y 5 V_ 04
R 1 44 1 0 K _ 04
C 35 1
0 . 1 u _1 6 V _ Y 5 V _ 0 4
C 2 7 3 * 0. 1 u _ 1 6V_ Y 5V _0 4
R 2 07 4 . 7 K _ 04
3 G_ D E T#
K/B MATRIX
FLASH
LPC
SMBUS
PS/2
PWM
UART
DAC
CLOCK
IT8502E-J
CIR
ADC
WAKE UP
PWM/COUNTER
LPC/WAKE UP
EXT GPIO
GP INTERRUPT
WAKE UP
GPIO
U 5
I T 8 5 02 E -J
W R S T #
1 4
L P CC L K
1 3
L AD0
1 0
L AD1
9
L AD2
8
L AD3
7
L F RA ME #
6
( P D )L P C P D#/ W U I 6 / GP E 6
1 7
S E R I R Q
5
E C S MI #/ G P D4 ( P U )
1 5 E C S CI #/ G P D3 ( P U )
2 3
G A20 / G P B5
1 2 6
K BR S T #/ GP B6 ( P U )
4
K S I 0/ S T B#
5 8
K S I 1 / AF D#
5 9
K S I 2 / I N I T#
6 0
K S I 3 / S L I N#
6 1
K S I 4
6 2
K S I 5
6 3
K S I 6
6 4
K S I 7
6 5
K S O 0 / P D0
3 6
K S O 1 / P D1
3 7
K S O 2 / P D2
3 8
K S O 3 / P D3
3 9
K S O 4 / P D4
4 0
K S O 5 / P D5
4 1
K S O 6 / P D6
4 2
K S O 7 / P D7
4 3
K S O 8 / ACK #
4 4
K S O9 / BU S Y
4 5
K S O1 0 / P E
4 6
K S O 11 / E R R#
5 1
K S O1 2 / S L CT
5 2
K S O 13
5 3
K S O 14
5 4
K S O 15
5 5
V
C
C
1
1
V
S
T
B
Y
2
6
V
S
T
B
Y
5
0
V
S
T
B
Y
92
V
S
T
B
Y
1
1
4
V
S
T
B
Y
1
2
7
V
S
T
B
Y
12
1
V
B
A
T
3
A
V
C
C
7
4
P S 2 C LK 0 /G P F 0 ( P U )
8 5
P S 2 D A T0 /G P F 1 ( P U )
8 6
P S 2 C LK 1 /G P F 2 ( P U )
8 7
( P D )T MR I 0 / W UI 2 / G P C4
1 2 0
( P D )T MR I 1 / W UI 3 / G P C6
1 2 4
L P CR S T #/ W UI 4 / G P D2 ( P U )
2 2
( P D )W U I 5 / GP E 5
3 5
P W R S W / G P E 4 ( P U )
1 2 5
R I 1#/W U I 0 / GP D 0 ( P U )
1 8
R I 2#/W U I 1 / GP D 1 ( P U )
2 1
( P D )RI NG #/ P W RF AI L #/ L P CR S T #/ GP B7
1 1 2
T XD/ G P B1 ( P U )
1 0 9 R XD / GP B 0( P U )
1 0 8
( P D )CT X / GP B2
1 2 3
P S 2 D A T1 /G P F 3 ( P U )
8 8
P S 2 C LK 2 /G P F 4 ( P U )
8 9
P S 2 D A T2 /G P F 5 ( P U )
9 0 ( P D )TA CH0 / G P D6
4 7
( P D )TA CH1 / G P D7
4 8
P W M 0 / GP A0 ( P U )
2 4
P W M 1 / GP A1 ( P U )
2 5
P W M 2 / GP A2 ( P U )
2 8
P W M 3 / GP A3 ( P U )
2 9
P W M 4 / GP A4 ( P U )
3 0
P W M 5 / GP A5 ( P U )
3 1
P W M 6 / GP A6 ( P U )
3 2
P W M 7 / GP A7 ( P U )
3 4
A D C0 / GP I 0
6 6
A D C1 / GP I 1
6 7
A D C2 / GP I 2
6 8
A D C3 / GP I 3
6 9
A D C4 / GP I 4
7 0
A D C5 / GP I 5
7 1
A D C6 / GP I 6
7 2
A D C7 / GP I 7
7 3
V
S
S
1
V
S
S
1
2
V
S
S
27
V
S
S
4
9
V
S
S
12
2
V
S
S
1
1
3
A
V
S
S
7
5
CK 3 2 K E
2
CK 3 2 K
1 2 8
D AC3 / GP J 3
7 9 D AC2 / GP J 2
7 8 D AC1 / GP J 1
7 7 D AC0 / GP J 0
7 6
( P D )E GC S #/ GP E 2
8 3
( P D )E GC LK / GP E 3
8 4
( P D )E GAD / GP E 1
8 2
G I NT / GP D5 ( P U )
3 3
L 8 0L L A T /GP E 7( P U )
2 0
V
S
S
91
D AC5 / GP J 5
8 1 D AC4 / GP J 4
8 0
P W U RE Q #/ GP C 7 ( P U )
1 6
( P D ) CRX/ G P C0
1 1 9
( P D )K S O 16 / G P C3
5 6
( P D )K S O 17 / G P C5
5 7
( P D )I D0 / G P H0
9 3
( P D )I D1 / G P H1
9 4
( P D )I D2 / G P H2
9 5
( P D )I D3 / G P H3
9 6
( P D )I D4 / G P H4
9 7
( P D )I D5 / G P H5
9 8
( P D )I D6 / G P H6
9 9
( P D )I D7 / G P G1
1 0 7
S M CL K 0 / GP B 3
1 1 0
S M DAT 0 / GP B 4
1 1 1
S M CL K 1 / GP C 1
1 1 5
S M DAT 1 / GP C 2
1 1 6
S M CL K 2 / GP F 6( P U )
1 1 7
S M DAT 2 / GP F 7( P U )
1 1 8
F L F R A ME #/ G P G2
1 0 0
F L AD 0 / S CE #
1 0 1
F L A D1 / S I
1 0 2
F L AD 2/ S O
1 0 3
F L AD3 / G P G6
1 0 4
F L CL K / S CK
1 0 5
( P D )F L R S T #/ W UI 7 / T M/ G P G0
1 0 6
( P D )L 8 0H L AT / GP E 0
1 9
C3 2 2
1 0u _ 6 . 3V _X5 R_ 0 6
R 2 3 4 4 7 _ 04
C2 6 1 1 u _ 6. 3 V _ Y 5V _0 4
R 2 5 6 1 5 _ 1% _ 0 4
C2 9 5
0. 1 u _ 1 6V_ Y 5V _0 4
C2 9 7
1u _ 6 . 3 V_Y 5 V_ 04
C2 8 2 0 . 1 u _ 16 V_ Y 5V_ 0 4
U2 0
S S T 25 VF 0 8 0 B
CE #
1 S O
2
W P #
3
VS S
4
S I
5
S CK
6
H OL D #
7
V DD
8
S Y S _ P W R GD _ R
J _ K B 2
* 8 52 0 1 -2 40 5 1
2 4
2 3
2 2
1 4
1 2
1 1
2 1
8
2 0
1 9
6
5
1 8
4
1 7
1 0
9
7
3
2
1
1 6
1 5
1 3
C3 0 7 * 1 0p _ 5 0V _N P O_ 0 4
R2 4 3 4 . 7 K _ 0 4
D 2 4
B AV 9 9 R E C TI F I E R
A
C
AC
D 2 1
* BAV 9 9 RE C T I F I E R
A
C
AC
C3 5 0
0. 1 u _ 16 V _ Y 5V _0 4
C 32 3
0 . 1 u_ 1 6 V_ Y 5 V_ 04
C 3 52
0 . 1 u _ 16 V _ Y 5 V _ 0 4
X3 CM 2 00 S 3 2 7 68 1 2 20 _ 3 2. 76 8 K Hz
1 4
3 2
C 3 77 *3 3 p _5 0 V_ NP O_ 0 4
S M C_ CP U _ TH E R M
D 1 9
B AV 9 9 R E C TI F I E R
A
C
AC
C 29 4
* 0. 1 u _ 16 V_ Y 5V _0 4
N C3
N C_ 0 4
2 1
C 5 78 *3 3 p _5 0 V_ NP O_ 0 4
C 33 4
1 8 p_ 5 0 V_ NP O _ 04
R 1 53 1 0 0_ 0 4
D 1 7
B AV 9 9 R E C TI F I E R
A
C
AC
J _K B1
8 5 2 01 -2 4 0 51
2 4
2 3
2 2
1 4
1 2
1 1
2 1
8
2 0
1 9
6
5
1 8
4
1 7
1 0
9
7
3
2
1
1 6
1 5
1 3
C3 2 4
0. 1 u _ 16 V _ Y 5V _0 4
R 2 4 2 1 5 _ 1% _ 0 4
C3 4 5
1 8 p _5 0 V_ NP O _ 04
R 1 62 * 10 0 _ 04
24
R1 7 7
10 0 K _ 0 4
R2 3 3 1 K _ 0 4
C 3 90 *3 3 p _5 0 V_ NP O_ 0 4
K BC _AG ND
K BC _ AGN D
VD D3
VDD 3
K B C_ AVD D V D D3
VD D3
V DD 3
3 . 3 VS
3G _ DE T # 1 9
P ME # 1 5
BA T _VO L T 31
AC _I N # 3 1
CU R_ S E N S E 31
P W R_ S W # 2 5
B UF _ P L T _ RS T # 1 8, 2 1 , 2 6
B AT _ DE T 31
LP C_ CL K 1 1 4
L E D_ S C RO L L# 2 2
L E D_ BA T _ F UL L # 20 , 2 2
BT _ E N 1 8, 2 2
L E D _ CAP # 2 2
LE D_ ACI N # 2 0 , 2 2
G A2 0 1 5
J_KB1
LE D _ BA T _C HG # 2 0 , 2 2
L E D_ P W R # 20 , 2 2
K B C_ MU T E # 2 3
L E D _N UM # 2 2
W L AN_ E N 1 8, 2 2
K BC _BE E P 2 3
3 G_ P W R 1 9
BR I GH T NE S S 1 2
BK L _E N 1 2
S M C_ BAT 3 1
L AD3 1 4
L AD1 1 4
S M C_ CP U _ TH E R M 5
L AD0 1 4
S M D_ BAT 3 1
S E RI R Q 1 4
L AD2 1 4
LF RAM E # 1 4
W E B _ W W W # 2 0
S M D_ CP U _ TH E R M 5
T OT AL _ CU R 31
W E B _ E MA I L # 2 0
S US B# 1 5, 1 8 , 2 5 , 26
CP U _ F ANS E N 2 0
A P _K E Y #
S US C# 1 5
L I D _S W # 1 2 , 1 5 , 20
TH E RM _ AL E RT # 5 , 1 5
W L A N_ D E T# 1 8
S Y S _ P W R GD 2 6 DD _ ON 2 5
AP _ K E Y # 2 0
P W R _BT N # 15
3 G_ E N 1 9
K BC _R S T # 15
RS M RS T # 1 5
S W I # 1 5
VCO RE _ O N 2 7
CC D_ E N 1 9
S MI # 1 5
S CI # 1 5
CH G_ E N 3 1
1
FOR C5500Q
0623-J
PWR Add
0514- J
add col ayout X3
HO LD #
K B C_ S P I _ S CL K _ R
K B C_ S P I _ S O_ R
L P C_ CL K 2
S P I _ VD D
K B-S O 8
K B-S O 1 5
K B-S I 0
K B-S O 4
K B-S O 1
K B-S O 7
K B-S O 6
K B-S O 1 0
K B-S O 1 4
K B-S O 0
K B-S I 3
K B-S I 6
K B-S I 7
K B-S I 1
K B-S O 1 1
K B-S I 2
K B-S I 5
K B-S O 1 2
K B-S O 5
K B-S I 4
K B-S O 3
K B-S O 1 3
K B-S O 2
K B-S O 9
E C 85 1 8 _T 2
B AT _ V OL T _ R
W L A N_ L E D# 1 8 , 2 2
S Y S _P W RG D_ R
CU R_ S E N S E _ R
BAT _ VOL T _ R BA T _ VOL T
CU R_ S E N S E
T OT AL _C UR
WEB 0-- ->AP KEY
WEB 1-- ->EMAILKEY
WEB 2-- ->WWW K EY
L P C_ C LK 2
X7 *M C-1 4 6 _3 2 . 7 68 K H z
1 4
3 2
R1 6 8 10 K _ 0 4
BT _D E T #
M OD E L _ I D
VDD 3
R1 7 0 *1 0 K _0 4
L 28
HC B1 00 5 K F -1 2 T 20
J S P I 1
*A C A-S P I -0 0 4 -T 03
CE #
1
S O
2
W P #
3
VS S
4
S I
5
S C K
6
HO L D#
7
V DD
8
CP U _ F AN 2 0
K BC _ S P I _ CE #_ R
K BC _ S P I _ S O_ R
W P #
S P I _ VD D
K BC _ S P I _ S CL K _ R
H OL D#
K BC _ S P I _ S I _ R
0517-J
CHG Pi n76 t o 80 f or EC8518
V D D3
P CL K _ K BC _R
T OT AL _ CU R _ R
R 1 67
* 1 0K _0 4
R 1 69
* 1 0K _0 4
K B C_ W R E S E T #
80 C LK
VD D3
80 D E T #
K B_ BD_ I D
J _ 80 D E BUG 1
8 5 20 5 -0 5 00 1
1
2
3
4
5
3I N 1
K B C_ S P I _ S I _ R
K B C_ S P I _ CE #_ R
CO- LAYOUTWITH SPI ROM
0517- J
CHG f or EC8518
CU R_ S E N S E _ R
K B-S I 2
K B-S O 1 1
K B-S I 3
K B-S O 5
K B-S O 2
K B-S I 4
K B-S I 7
K B-S O 1
K B-S O 1 5
K BC _ W RE S E T#
K B-S O 9
K B-S O 1 4
K B-S O 1 3
K B-S O 1 0
K B-S I 0
K B-S O 3
K B-S O 4
K B-S O 0
K B-S O 1 2
K B-S O 7
K B-S I 5
K B-S O 6
K B-S I 1
K B-S O 8
K B-S I 6
K BC _S P I _C E #
W DT _ E N 1 8
KBC_SPI_*_R = 0.1"~0.5"
BT _D E T # 1 8, 2 2
W P #
L CD _ B RI G HT NE S S
LC D_ BR I GH TN E S S
T OT A L _ CU R_ R
K B C_ S P I _ C E #
K B C_ S P I _ S O
K B C_ S P I _ S C L K
K B C_ S P I _ S I
K BC _S P I _S I
K BC _S P I _S O
C K 3 2K
C K 3 2K E
K BC _ S P I _ S CL K
CE L L _ CO NT RO L 3 1
3I N 1 1 8
80 C LK 1 8
8 0D E T # 1 8
N C1 NC _ 04
2 1
K BC _ B E E P
FOR C4500
C CD _D E T # 1 9 MO DE L_ I D
0511-J
Pi n 95 t o WLAN LED
0511-J
Add pi n104 VCHG- SEL
S M D_ B AT
S M C_ B AT
VCH G-S E L 31
S M D_ CP U _ TH E R M
K BC_ S P I _S I
CL K R UN #
L AN_ DS P _E C#
TP _ D AT A
TP _ C LK
B AT _ DE T
CC D_ D E T #
K BC_ S P I _S O
K BC_ S P I _C E #
K BC_ S P I _S C L K
S M C_ BAT
T O TAL _ CU R
BA T_ D E T
C UR _S E NS E
S M D_ BAT
BA T_ VO LT
T H E R M _R S T # 26
Schematic Diagrams
B - 26 System PWR, 2.5V
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
System PWR, 2.5V
Sheet 25 of 35
System PWR, 2.5V

DD _O N# 2 0 , 2 6, 28 , 2 9
DD_ O N 2 4
S US BL 2 6 , 28 , 2 9
S U S B# 1 5 , 18 , 2 4 , 26
P R1 7 1 * 1 00 K _ 0 4
3. 3VS
2 . 5V_ P G
5 V_ E N
Power Pl ane
NM OS
S US B#
5A 2A
3A 5A
0517- J
CHG
3. 3V_ E N
DD _ ON#
M7XJ
TI MMI NG
5A
NMOS
5A
Q 3 5
M T N7 0 02 ZH S 3
G
D
S
C4 96
10 u _6 . 3 V_ X5R _0 6
C 4 94
2 2 0 0p _ 5 0V_ 0 4
From H8
C4 9 7
0 . 1u _ 16 V_ Y 5 V_ 0 4
NMOS
Power Pl ane
NMOS N MOS
Q 37
AO 44 6 8
4
6 2
5
7 3
1
8
R 3 11
2 0 0 K _1 % _ 04
1 . 8V 1 . 8V S S Y S 1 5 V
S U S BL 2 6, 28 , 2 9
P R1 7 2 1 0 0 K _0 4
P Q 4 1
M TN 7 00 2 ZHS 3
G
D
S
5V
S U S BL 26 , 2 8 , 29
P C 20 6
. 0 2 2U _ 16 V_ X7R _0 4
3. 3VS _ E N
S U S BL
5 VS _ E N
S U S BL
Rb
1A
V out = 0 .8V ( 1 + Ra / Rb )
Ra
0514-J
power change
OZ8033 t o AX6610
R 44 5 0 _ 0 4
M _ BTN #
DD_ON" L" TO
" H" FROM EC
ON
P C2 4 0
*0 . 1 u _5 0 V_ Y 5 V_ 06
P C2 3 8
*0 . 1 u_ 5 0 V_Y 5 V_0 6
P U 11
P 2 8 08 A 1
V A
1
V I N
2
M_ BT N#
3
I NS T A N T-O N
4
GN D
5
P W R_ S W #
6
D D_ ON _ LA T C H
7
VI N1
8
P R 22 4
1 0 K _ 1% _ 0 4
P C2 3 9
*0 . 1 u _5 0 V_ Y 5 V_ 06
V I N1
V I N
VA
V I N V A
V DD3
M_ BT N# 20
AP _ ON 20
V I N 1
D D_ ON _L A T CH 2 4
P W R_ S W # 2 4
0512- J
change
P U6
A X66 1 0
GND
1
V C NT L
6
VO UT
3
V I N
5
VO UT
4
VF B
2
P OK
7
E N
8
V I N
9
R4 1 1
10 0 K _ 1% _ 0 4
C 19 6
1 0 u_ 1 0 V _Y 5 V _0 8
P C1 3 8
0. 0 1 u _5 0 V_ X7 R_ 0 4
P C1 5 0
1 0u _ 6. 3V_ X5 R_ 06
C5 8 9
0. 1 u _ 16 V_ Y 5 V_ 0 4
Q4 3
MT N7 0 02 ZH S 3
G
D
S
P C 19 8 0 . 01 u _ 50 V_ X7R _ 04
P C 18 0 *0 . 0 1 u_ 5 0 V_X7 R_ 0 4
R1 2 0
1M _ 04
C2 0 2
0 . 1u _ 1 6V _Y 5V_ 0 4
Q 4 7
A O4 46 8
4
6 2
5
7 3
1
8
P C 42 0 . 01 u _ 50 V_ X7R _ 04
P C1 4 5
10 u _ 6. 3 V _ X5R _ 06
P J 12
O P E N-1 m m
1
2
R 33 5
1 0 K _ 04
C1 9 4
2 2 00 p _5 0 V_ X7 R _0 4
P R1 2 1
1 0K _ 1 % _0 4
C5 8 5
22 0 0 p_ 5 0 V_X7 R_ 0 4
R 1 45
1 0 0 K _ 04
C 38 4
0 . 1 u _1 6 V_ X7 R_ 0 4
R3 3 4
1 M_ 0 4
C5 1 4
2 2 00 p _5 0 V_ X7 R _0 4
Q 19
M TN 70 0 2 ZHS 3
G
D
S
S W 1
* T J G -5 33 -S -T / R
3
1
4
2
56
P C 87 0 . 01 u _ 50 V_ X7R _ 04
C5 9 0
1 0u _ 1 0V_ Y 5V_ 0 8
Q2 4
MT N7 0 02 ZH S 3 G
D
S
P J 3
O P E N- 1m m
1
2
P C 1 46
1 0 u _6 . 3 V_ X5 R _0 6
P C 20 0 *0 . 0 1 u_ 5 0 V_X7 R_ 0 4
Q4 6
MT N7 0 0 2ZH S 3
G
D
S
P C1 4 8
1 u_ 1 0V _0 6
DD _O N#
DD _O N#
Q 21
M TN 7 00 2 ZHS 3 G
D
S
D D_ ON
R2 5 5
1 00 K _ 0 4
P R1 2 3
4 . 7K _ 1 % _0 4
C1 6 6
22 0 0 p_ 5 0 V_X7 R_ 0 4
P C 14 7
0 . 1 u_ 1 6 V_Y 5 V_0 4
R2 3 7
10 K _ 0 4
P C 20 2 0 . 01 u _ 50 V_ X7R _ 04
R1 2 7
6 8 0K _ 1 % _0 4
Q2 0
AO4 4 6 8
4
6 2
5
7 3
1
8
Q4 4
AO4 4 6 8
4
6 2
5
7 3
1
8
Q 42
AO 4 46 8
4
6 2
5
7 3
1
8
Q 16
M TN 7 00 2 ZHS 3
G
D
S
C5 2 5
3 0p _ 5 0V_ N P O_ 0 4
P C 17 6 0 . 01 u _ 50 V_ X7R _ 04
VDD 3 3 . 3 V
5VS
5 V S Y S 15 V
V DD5
VDD 5
3. 3 V
S Y S 1 5V
V DD 3 3 . 3 VS S Y S 15 V
DEB UG U SE
S Y S 5 V
5 VS S Y S 1 5V
5A 5A
2 . 5 V _ CP U
5 VS 3. 3 VS
5A
5A
S Y S 5V
Schematic Diagrams
PWRGD, RST B - 27
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
PWRGD, RST
Sheet 26 of 35
PWRGD, RST

Q4 5
MT N7 0 02 ZHS 3
G
D
S
R4 04
10 0 _1 % _ 06
M1 4
M-MA RK 1
H 2 4
C 6 7D 67
D 3 3 RB7 5 1V
A C
M1 0
M-M ARK 1
H2 6
C1 5 8D 15 8
R1 7 *1 0 K _0 4
Q 3 4
M T N7 00 2 ZHS 3
G
D
S
H1 3
C2 7 6 D1 46 _ 1
H1
C2 3 6D 7 9
H1 6
C2 7 6D 1 86
M1
M-M ARK 1
Q 1 5
M T N7 00 2 ZHS 3
G
D
S
M6
M-M ARK 1
R2 4 0
1 0K _0 4
D 26 *R B7 51 V
A C
M 3
M -MAR K 1
1
H7
MT H 31 5 D1 1 1_ N4 5 6 7
2
3 8
9
H2 1
C2 7 6 D1 46 _ 1
R1 5 2 2_ 0 4
Q1 7
MT N7 0 02 ZHS 3
G
D
S
1
H1 7
M TH 31 5 D1 1 1_ N3 4 6 78
2
5
9
Q 2 7
M T N7 00 2 ZHS 3
G
D
S
M 1 2
M -MAR K 1
H2 0
C2 76 D 14 6 _1
M 7
M -MAR K 1
R3 8 5 *0 _ 04
R1 8 1 0K _ 0 4
1
H9
M TH 3 15 D1 1 1
2
3
4
5 6
7
8
9
1
H6
M TH 3 15 D1 1 1
2
3
4
5 6
7
8
9
1
H 12
M T H3 15 D 11 1
2
3
4
5 6
7
8
9 M2
M-M ARK 1
M1 3
M-M ARK 1
M4
M-MA RK 1
R2 0 0_ 0 4
1
H1 8
M TH 31 5 D1 1 1
2
3
4
5 6
7
8
9
R 1 14
1 0 0 _1 % _ 06
H 4
C 15 8 D1 5 8
D 3 2 RB7 5 1V
A C
H8
C6 7 D6 7
H 1 9
C 2 76 D1 4 6 _1
1
H2 3
M TH 3 15 D1 1 1
2
3
4
5 6
7
8
9
Q2 5
MT N 70 0 2ZH S 3
G
D
S
R 42 0 1 0 K _0 4
M5
M-M ARK 1
Q 4 8
M T N7 00 2 ZHS 3
G
D
S
R4 1 7
1 0K _0 4
R2 41 10 K _ 0 4
R 4 25
1 0 0 _1 % _ 06
M 8
M -MAR K 1
R2 2 5 2 2_ 0 4
R1 22
10 0 _1 % _ 06
M 11
M -MAR K 1
M9
M-MA RK 1
Q2 6
MT N 70 0 2Z HS 3
G
D
S
H 15
C 27 6 D1 8 6
C3 88
*4 . 7u _ 6 . 3V_ X5 R_ 06
1
H 22
M T H3 15 D1 1 1
2
3
4
5 6
7
8
9
R1 6 2 2_ 0 4
C 7
* 2. 2 u _6 . 3 V_ Y 5 V_ 06
1
H2 5
M TH 31 5 D1 1 1
2
3
4
5 6
7
8
9
1
H1 4
M TH 31 5 D1 1 1
2
3
4
5 6
7
8
9
H3
C1 5 8D 15 8
1
H5
M TH 3 15 D1 1 1
2
3
4
5 6
7
8
9
U6
MC7 4 VHC 1G 0 8D F T 1G
1
2
5
4
3
H 10
C 27 6 D1 4 6_ 1
H 2 7
C 1 58 D1 5 8
R1 21
10 0 _1 % _ 06
R 2 38
1 0 0 _1 % _0 6
H 1 1
C 2 76 D1 8 6
R 3 09
1 0 0 _1 % _ 06
Q1 8
MT N7 0 02 ZHS 3
G
D
S
3. 3 VS
5V 3. 3 V
3 . 3 V VD D5
5VS
C PU _VC OR E & V DD_ NB
1. 5 VS 3. 3 VS 5V S 1. 8 VS
3 . 3 VS
3 . 3 V
2. 5 V_ CP U
P C I RS T # 1 4
3 . 3 V
BUF _ P L T_ RS T # 1 8, 2 1 , 2 4
D D_ ON # 20 , 2 5, 2 8 , 2 9
NB_ P W RG D_ I N 10
1 . 1V_ N B_P G 2 9
1 . 2V_ P G 2 9 S B_ P W R GD 12 , 1 5
1 . 8 V_P G 2 8
S Y S _ P W RG D 2 4
1 . 2V_ S B_ P G 2 9
A_ RS T# 1 0, 1 4 , 16
S US BL 2 5 , 28 , 2 9
S Y S _ R S T# 1 5
P W R GD _VC OR E 27
R1 9
3 00 _ 0 4
1 . 8 VS
DD _O N#
PWRGD
D 2 2
*CH 7 51 H-4 0 P T
A C
S U S BL
H2 8
C2 7 6 D1 46 _ 1
R4 1 9 *0 _ 0 4
H 2
C 27 6 D1 4 6_ 1
P C I E _ RS T # 1 6
T HE R M_ RS T # 2 4
R4 3 3 2 2_ 0 4
R4 2 8
10 0 K _ 04
2 . 5V_ C P U
R2 2 0
2 0K _ 0 4
U 16
7 4 AHC1 G 08 GW
2
1
4
3
5
C3 6 0
. 1 U_ 5 0V_ 0 6
3 . 3 V
3 . 3VS
S Y S _ RS T # 15
S U S B# 1 5 , 18 , 2 4 , 25
C P U_ VDD _E N 2 7
W D_ P W R GD 1 5
S Y S _ RS T #
Schematic Diagrams
B - 28 VCORE_Core
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
VCORE_Core

P R20 2 3. 65 K_ 1% _0 4
P
R
2
16
25
5_
1%
_04
P C23 6
1u _1 0V_0 6
P R19 8 6. 8K _ 1%_ 04
P R 1 12
1 00 K _04
P
C
1
36
4
.7u_
25
V_
08
PC
2
10
10
00
P_
50
V_
04
P R18 1
1_ 06
P
R
1
74
2
2K
_
1%
_
04
P
C
20
9
33
P
_50
V
_0
4
PR1 75
10 _0 6
P C23 0
0 . 1u_ 50 V_ X7 R_0 6
P R20 8
1 0_0 6
P
C
21
4
*4
.7
u_
25
V_
08
PR 182 *0 _0 6
P R20 0
*1 5m il _s ho rt
P Q29
MT N700 2ZHS 3 G
D
S
PR 180 0 _06
P C2 08
1 0u _6 .3 V_X5R _0 6
PC
1
03
4.7u
_2
5V
_0
8
PR1 90 *1 5mi l _sh ort
P R18 8
*1 5m il _s ho rt
PC2 20
470 0P _5 0V_ 06
P R19 9
1 _06
P Q3 1B
S P 8K 10 S FD5 TB
4
3
56
P C23 1
0 .1 u_ 50 V_ X7 R_0 6
P
R
2
04
0
_0
6
P L1 0 0. 36 UH_1 0*1 0*4 . 1
P R17 3
1 0_0 6
+
P C13 4
22 0u _4 V_ D
1
2
PQ2 7
AP 9 412 GM
4
6
2
57
3 1
8
P R20 1
*1 5m i l _s ho rt
P
R
17
8
*1
5m
il_s
hort
P
C
22
7
1
0u_
6.3
V_
X
5R
_
06
+
P
C
1
12
3
30
U
F
_2.5
V
_D
3
1
2
P L7 0. 36 UH_1 0*1 0*4 . 1 P R18 5 * 15m i l _s ho rt
C43 3 .1 U_1 6V _0 4
P
R
20
5
*1
5m
il_sh
ort
P
C
20
7
1
00
0P
_5
0V
_0
4
P
C
58
4.7
u_2
5V
_
08
P
C
10
2
4.7
u_
25V
_
08
P
C
228
0.2
2u_
10
V
_Y
5V
_0
4
PQ1 7
IRF 7 41 3ZP BF
4
6
2
57
3 1
8
P R 11 7 0 _0 4
P C13 1
0. 1 u_ 50V_ 06
P
C
2
13
*4
.7u
_2
5V
_0
8
PR1 95
255 _1 %_ 04
P
R
20
7
*1
5m
il_s
hort
P R19 4
1 0K _1 %_ 04
P
C
2
33
47
00
P
_50
V
_0
6
P C21 1
0. 1 u_ 50V_ 06
PC4 5 . 0 1U_5 0V_ 04
C36 *. 01 U_50 V_0 4
PHA S E _NB
+
P
C
5
6
3
30
U
F
_2.5
V
_D
3
1
2
PR
2
06
*15
m
il_
sh
ort
P R21 8
1 0_0 6
P R38
*15 mi l _ sh ort
P R 11 8 *0 _0 4
P R21 3 * 1K _0 6
P C21 9
2 . 2u_ 16 V_X7 R _0 6
P U1
ISL6265_QFN_48 6x6
P GND_ 1
28
P
G
N
D
_N
B
40
P
H
A
S
E_
N
B
3
8
U
G
A
T
E_
N
B
37
P GND_ 0
32
R
T
N
_
1
17
P HAS E_ 0
33
P HAS E_ 1
27
UGAT E_ 0
34
V
SE
N
_
1
18
RBI AS
7
IS
N
_0
14
COMP_ 0
1 1
VDI F F _0
9
VW _0
1 2
OCSE T
8
IS
P
_0
1
3
V
SE
N
_
0
15
ENABLE
6
R
TN
_
0
1
6
SV C
5
PGOOD
2
SV D
4
UGAT E_ 1
26
FB _ 0
1 0
LGAT E_ 1
29
OFS /VFI XE N
1
PV CC
30
LGAT E_ 0
31
BOO T_NB
36
BOOT_ 0
35
G
N
D
49
PW ROK
3
VD
IF
F
_1
1
9
F
B
_1
20
C
O
M
P
_
1
21
LG
A
T
E_
N
B
3
9
VW
_1
2
2
IS
P
_1
2
3
IS
N
_1
24
BOOT_ 1
25
O
C
S
E
T
_N
B
41
R
TN
_
N
B
4
2
V
SE
N
_
N
B
43
F
S
ET
_
N
B
44
C
O
M
P
_N
B
4
5
F
B_
N
B
46
V
C
C
47
VIN
4
8
P R19 3
1 07 K_ 1%_ 04
PR1 87 *1 5mi l _sh ort
PQ2 8
IRF 7 41 3ZP BF
4
6
2
57
3 1
8
P
C
5
7
*
4.7u
_2
5V
_0
8
P R 1 76
1 1. 5 K_ 1% _04
PR1 77
44 .2 K _0 4
P
R
21
0
6.8
K_
1%
_04
PQ1 6
AP 9 412 GM
4
6
2
57
3 1
8
P
C
22
1
*4
.7u_
25
V_
08
P L1 1
4 .7 UH_7 *7* 3. 5
1 2
P
C
217
0.2
2u_
10
V
_Y
5V
_0
4
S VI
P R18 6
1 _06
P R 19 6
1K _ 1%_ 04
Z33 01
P R1 15 10 K_ 04
PC2 23
100 0P _5 0V_ 04
PQ3 1A
SP 8K 1 0S F D5TB
7
8
12
O
O
X
PR1 97
54. 9 K _1% _0 4
PQ2 3
AP 9 412 GM
4
6
2
57
3 1
8
P
C
54
*4.7
u_2
5V
_
08
P R21 9
1 0_0 6
PQ2 6
AP 9 412 GM
4
6
2
57
3 1
8
P
R
215
1K
_1
%
_
04
PC
2
18
0.01
u_
50
V_
X7
R
_0
4
P R21 2
1 0_0 6
PC4 6 *. 0 1U_ 50V _ 04
P R 20 9
4 . 02K _ 1%_ 04
C96 .1 U_1 6V _0 4
PR1 92 0_ 06
PC2 15
0. 1u _5 0V_X 7R_ 06
PR2 20
10 _0 6
P Q3 0
M TN70 02 ZHS3 G
D
S
0.9
P R 21 1
3 . 65K _ 1%_ 04
PC
2
32
10
00P
_
50
V_
04
0
SV C
1
1.0 1
P J 6
OP EN-1 mm
1
2
P C21 2
0. 1 u_ 50V_ X7 R_06
1.1
S VD
0
P R18 9
*1 5m i l _s ho rt
P
C
2
34
1
000
P
_50
V
_0
4
Out put
0
P
R
179
*15
m
il_
sh
ort
P R20 3
4. 0 2K _1 %_ 04
0
PR1 91 *1 5mi l _sh ort
P
C
23
5
18
0P
_5
0V
_0
4
+
PC
1
25
*
15u
_2
5V
_1
.9_
C
PR1 83
*10 K_ 04
P
C
21
6
1
0u_
6.3
V_
X
5R
_
06
P C22 4
18 0P _5 0V_ 04
P R21 4
1 0_0 6
PR 184 *1 0K _0 6
P
R
2
17
5
4.9K
_1
%
_
04
PC
2
29
0.01
u_
50
V_
X7
R
_0
4 P C22 6 10 00P _ 50 V_ 04
P C2 25
0 . 1u _5 0V_X7R_ 06
0.8 1 1
P
C
222
*4.7
u_
25V
_
08
18A
18A
CP U_VDD1
CP U_VDD0
5 V
CP U_ VDD0
M eta l V ID C ode s
1. 8V
CP U_ VDDNB
CP U_VDD1
S GND5
S GND5
S GND5
E N_VCORE
EN_ VCORE
S GND5
S GND5
SGND5
S GND5
S GND5
S GND5
0
SV C
V FIX EN VID Cod es
CPU_ VD DNB
K
BC
V
R
O
N
1.4
1.0
Out put
0.8
CP U_ VDD1
3. 3 VS
5VS
VI N
V I N
1.2
1
5V S
VI N
1
3. 3 VS
CP U_ VDD0
VI N
1
5 VS
0
S VD
0
0
1
CP U_V DD1 _RUN_ FB_ H 5
CP U_S VD 5
CP U_S VC 5
CP U_ VDD1 _RUN_ F B_ L 5
P WRGD_ V CORE 2 6
CPU_ P WRGD_ S VI D_ REG 5
CP U_VDDNB_RUN_ FB_ H 5
CP U_VDDNB_RUN_ FB_ L 5
CP U_ VDD0 _RUN_ F B_ L 5
CP U_VDD0 _RUN_F B_H 5
O
+5 V
Pin 49 is GND Pi n
CP U_VDD_ EN 26
VCOR E _ON 2 4
LGAT E_ 1
LGA TE _NB
X
I S P _0
I SP _ 1
I S N_0
RTN_ 1
I S N_1
O FS/V FIX EN
I S P _0
GN D
P HAS E _1
Of fse t &
Dr oop
P ara lle l
X
PHAS E _0
O
R
T
N
_1
Clo se to
CPU
soc ket
LGAT E_ 0
Clo se to
CPU
soc ket
X
UGA TE _1
+3 .3V
VFIX
0 52 0- J
E MI C HG
UGA TE _0
I S N_0
X
+
P C23 7
3 30U _CAR31 5L
1
2
3A
0 51 4- J
c ha nge M - D 73 43 t o S CA R25 0
3 30 uF t o 5 60 uF
+ P
C
13
2
56
0u_
2.5
V_
6.6
*6.6
*5.9
0 52 0- J
E MI C HG " *"
0 62 4J
D el PC 23
052 0- J
EMI C HG
C59 9
*0. 1 u_ 50V_ X7 R_06
C6 01
10
00
P_
50
V
_X
7R
_
06
C60 2
10
00
P_
50
V_
X
7R
_
06
C59 8
*0. 1 u_5 0V_ X7R_ 06
C60 0
*0. 1 u_ 50 V_ X7 R_0 6
0 51 4- J
c ha ng e M- D 73 43 t o S CA R2 50
3 30 uF t o 5 60 uF
20 09 100 9
EMI
0 52 0- J
E MI C HG
+ P
C
11
7
56
0u_
2.5
V_
6.6
*6.6
*5.9
EMI
UGAT E _NB
Sheet 27 of 35
VCORE_Core
Schematic Diagrams
0.9V, 1.8V, 1.8VS, 1.5VS B - 29
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
0.9V, 1.8V, 1.8VS, 1.5VS
Sheet 28 of 35
0.9V, 1.8V, 1.8VS,
1.5VS

P Q6
MT N 70 0 2 ZHS 3
G
D
S
P R6 2
* 0 _0 4
P C 7 1
. 1 U_ 1 6 V_X7 R _0 6
P Q7
MT N 70 0 2 ZHS 3
G
D
S
P C 7 2
. 1 U_ 1 6 V_X7 R _0 6
P R 60 4 7 K _ 0 4
P R 5 9
4 7 K _ 0 4
P R6 1
0 _ 0 4
5 V
5V
DD _ ON # 2 0 , 2 5, 2 6 , 2 9
D D_ ON # 20 , 2 5 , 2 6, 2 9
S U S BL 2 5 , 2 6, 2 9
1 . 5V S _ P W RG D
P C 16 1
0 . 1 u_ 5 0 V_ Y 5 V_ 0 6
P Q3 3
AP 9 4 1 2G M 4
6
2
57
3 1
8
P R 58
1 0 _0 6
P U 8
S C 4 86
VT T E N
1 1
T ON
2
VD DQ S
3
VS S A
4
VC CA
5
F B
6
P G D
7
R E F
8
C OM P
9
VT T S
1 0
E N /P S V
1
VD DP 2
1 2
VD DP 2
1 3
VT T
1 4
VT T
1 5
P G ND 1
1 6
P G ND 2
1 7
P G ND 1
1 8
D L
1 9
V DD P 1
2 0
I L I M
2 1
L X
2 2
D H
2 3
B S T
2 4
G
N
D
25
P C1 7 1
* 4 . 7 u_ 6 . 3 V_ X5R _ 06
P R 1 5 2
2 K _ 1 % _0 4
P C 16 8
1 u _1 0 V_ 0 6
P Q3 2
AP 9 4 0 8G M 4
6
2
57
3 1
8
+P C 15 8
2 2 0u _ 4 V_ V_ A
P C 1 65
4 . 7 u _6 . 3 V_ X5 R_ 0 6
+ P C1 5 6
*2 2 0u _ 4 V_ V_ A
P R 1 46
0 _ 06
P C 1 70
1 u _1 0 V_ 0 6
P J 2
O P E N-6 m m
1 2
Ra
P L1 2
2 . 5 UH _6 . 8 *7 . 3 * 3. 5
P C1 6 3
1u _ 1 0 V_ 06
Rb
P C1 5 9
0 . 01 u _ 5 0V_ X7 R_ 0 4
P R 1 47
7 . 1 5 K _1 % _ 0 4
P D 17
F M 58 2 2
A
C
P C 6 7
1 u _ 10 V_ 0 6
P C 17 3
*0 . 1 u _ 16 V_ Y 5V _0 4
P C1 7 4
* 0 . 0 68 u _ 50 V_ 0 6
P C 1 7 2
1 u _ 10 V_ 0 6
+P C1 6 0
15 u _ 2 5V_ 6 . 3 *4 . 4 _ C
P R 1 5 3
1 0 _ 06
P D 8
F M 0 54 0 -N
A
C P R 1 4 8 1 0_ 0 6
P R1 4 9
20 K _ 1 % _ 04
P C1 6 7
1 u _ 1 0V _0 6
P R 1 5 1
1 0 K _ 1 %_ 0 4
P R 1 54
1 0 _0 6
P C 16 4
1 0 00 p _ 50 V_ X7 R_ 0 4
P C 1 62
0 . 1 u _5 0 V_ Y 5 V_ 0 6
P C1 6 6
1 0u _ 6 . 3 V_X5 R _0 6
P R 15 0
*1 5 m i l _ s h or t_0 6
P R 14 5
1 M_ 0 4
P J 10
O P E N-3 m m
1 2
P R 15 5
1 0 _0 6
P C 1 6 9
1 0 0 p_ 5 0 V_ NP O _ 04
P C 1 57
0 . 1 u _1 6 V_ Y 5 V_ 0 4
VI N
5 V
VDD Q
5 V
VI N
VD DQ
1. 8 V
VD DQ
0 . 9 V
1. 8V_ P G 2 6
P R 1 68
1 0 K _ 1% _ 0 4
P C 1 93
1 u _ 10 V_ 0 6
P C1 9 5
0. 1u _ 1 6V _Y 5 V_ 04
P R1 6 6 *1 0 K _ 0 4
P R1 6 5 1 0 0K _ 0 4 P R 1 67
8 . 8 7 K _ 1% _ 0 4
P C1 9 4
10 u _ 6 . 3V _X5 R_ 0 6
P C2 0 1
22 0 0 p _5 0 V_ X7R _ 0 4
P Q 3 8
M T N7 0 02 ZH S 3
G
D
S
P C 1 96
1 0 u _6 . 3 V_ X5R _ 0 6
P C 1 97
0 . 1 u _1 6 V_ Y 5 V_ 0 4
P C 2 04
6 8 p _5 0 V_ NP O _ 0 4
P C 1 99
1 0 u _6 . 3 V_ X5R _ 0 6
P U1 0
A X6 6 1 0
G ND
1
V CN TL
6
VO UT
3
VI N
5
VO UT
4
VF B
2
P O K
7
E N
8
VI N
9
5 V
5 V
5V 1 . 8 V
1 . 5 VS
S US B L 25 , 2 6 , 2 9
D D_ ON #
OCP= 11.75A
OCP= 10uA*4.7K /4mohm
10uA* 4.7K=OCP* 4mohm(IRF7832)
O.C. P Fun c ti on De sig n
10u A * R(I li m) = OCP * Rd s(o n)
1 . 8 VE N
VT T E N
VS S A
VS S A
6A
1.5A
0514-J
power change
OZ8033 t o AX6610
Vout =1.5V ( 1+Ra /Rb)
Rb
Vou t = 0. 8V ( 1 + Ra / R b )
2. 5A
Ra
Schematic Diagrams
B - 30 1.1VS, 1.2V, 1.2VS
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
1.1VS, 1.2V, 1.2VS

STRP_DATA
1.1V
Volta ge
1
1.0V 0
EMI
P R 8 7 *0 _ 0 4
P Q1 4
MT N 7 00 2 ZHS 3
G
D
S
P R 77
1 0 K _0 4
P C9 8
. 1 U_ 1 6 V_ 06
P C 95
. 1U _ 16 V_ 0 6
P R7 6
0 _ 04
P Q 1 5
M TN 7 0 02 ZH S 3
G
D
S
P R7 8
4 7K _ 0 4
5V
Rb
5V
S US BL 2 5 , 2 6 , 28
D D_ ON # 2 0 , 2 5 , 26 , 2 8
1 A
Vout = 0.8V( 1 + Ra / Rb )
Ra
+P C1 1 4
*1 5 u _2 5 V_ 1 . 9_ C
P Q 1 2
AP 9 4 0 8 GM
4
6
2
5 7
31
8
P C3 1
0 . 1 u _ 50 V_ Y 5V _0 6
P C 8
0 . 1 u_ 1 6 V_ Y 5 V_ 0 4
P R6 4
20 K _ 1 % _0 4
P C9 3
*1 0 00 p _ 50 V_ X7 R_ 0 4
P C8 2
1 0u _ 6 . 3 V_X5 R _0 6
P C1 3 0 . 1 u _ 16 V_ Y 5V_ 0 4
P Q2 4
* MT N 70 0 2 ZHS 3
G
D
S
5 VS
SC413
P U 4
P
G
N
D
1
1
D L 1
2
V DD P 1
3
I L I M 1
4
L X1
5
D H1
6
B S T1
7
E N/P S V 2
8
TO N2
9
V OU T2
1 0
VC CA2
1 1
F BK 2
1 2
P G OO D2
1 3
A
G
N
D
2
14
P
G
N
D
2
1
5
D L2
1 6
V DD P 2
17
I L I M2
1 8
L X2
1 9
D H2
2 0
BS T2
2 1
E N/P S V 1
22
TO N1
2 3
V OU T1
24
VC CA1
2 5
F BK 1
26
P GO OD 1
27
A
G
N
D
1
2
8
P C8 5
1u _ 1 0V _0 6
P D1 1
F M5 8 22
A
C
P R 85 1 M_ 0 4
+ P
C
1
2
4
*
22
0
u
_
4V
_
V
_
A
P R9 0
1 0K _0 4
P C8 6
* 0 . 1u _ 1 6 V_ Y 5 V_ 04
P C8 3
0 . 1 u _ 16 V_ Y 5V_ 0 4
S T RP _ D AT A 1 0
P Q 1 8
* MT N 70 0 2 ZHS 3
G
D
S
P C1 2 1 00 0 p _ 50 V_ X7 R_ 0 4
+ P
C
1
2
7
22
0
u
_6
.3
V
_
6
.3
*6
_
B
P C 3
0 . 1 u_ 5 0 V_ Y 5 V_ 0 6
P C 9
2 0 p _5 0 V_ NP O_ 0 4
P C 1 22
1 0 0 0p _ 5 0V _X7 R_ 0 4
P R9 2 0_ 0 4
P C 1 4 1 u_ 1 0 V_ 06
P J 8
OP E N-6 m m
1 2
P R 6
10 K _ 1 % _0 4
P R5
12 K _ 1 % _0 4
P C8 1
1 0u _ 6 . 3 V_ X5 R _0 6
P R 7 4
* 10 _ 0 8
+P C 94
2 20 u _ 6 . 3V _6 . 3 *6 _ B
P R 9 8
1 0 _ 08
P Q2 0
AP 9 41 2 G M 4
6
2
57
3 1
8
P C2 7
4. 7 u _ 2 5V_ X5 R_ 0 8
P C2 6
4 . 7 u _ 25 V_ X5 R_ 0 8
P C 7 1 u_ 1 0 V_ 06
P R6 5 1 0K _ 0 4
P U 3
AX6 6 10
GN D
1
VC NT L
6
VOU T
3
VI N
5
VOU T
4
VF B
2
P OK
7
E N
8
VI N
9
P R 8 5 . 3 6 K _ 1% _ 0 6
P C1 1 1 00 0 p _ 50 V_ X7 R_ 0 4
P Q 1 3
AP 9 4 1 2 GM
4
6
2
5 7
31
8
P D 1
F M 05 4 0 -N
A
C
P Q2 1
AP 9 40 8 G M 4
6
2
57
3 1
8
P C 79
6 8p _ 5 0 V_ NP O _0 4
P C8 4
1 0 u _ 6. 3 V_ X5 R_ 0 6
P R 79 7 5 0K _0 4
P Q9
M T N7 0 0 2Z HS 3
G
D
S
P R 1 1 0 _0 4
P C 8 0 0 . 0 1 u_ 5 0 V_ X7 R _0 4
P R 2
1 4K _1 % _ 0 4
P J 5
OP E N -5 m m
1 2
P D 9
F M 5 82 2
A
C
P C 6
4 . 7 u_ 2 5 V_ X5 R _0 8
P C7 8
0 . 1u _ 1 6V _Y 5 V_ 04
P C 5
4 . 7 u_ 2 5 V_ X5 R _0 8
P R 3
1 0K _1 % _ 0 4
P C 7 7 * 0. 0 1 u _5 0 V_ X7 R_ 0 4
P D2
F M0 5 4 0-N
A
C
P R 75
6 . 8 K _1 % _ 0 6
P C9 6 1 u _ 10 V_ 0 6
P L6
2 . 5 U H_ 6 . 8 *7 . 3 *3 . 5
P C1 0 7 1 u _ 10 V_ 0 6
P R8 6
*1 5 mi l _ sh o rt_ 0 6
P L9
2 . 5U H_ 6 . 8 *7 . 3 *3 . 5
P R 7 1 0 _0 4
P R6 3
10 K _ 1 % _0 4
P R 4
*1 5 mi l _ sh o rt_ 0 6
P C1 0
1 00 p _ 5 0V_ N P O_ 0 4
S G ND 1 S GN D2
S G ND 1 S GN D2
S GN D2
S GN D1
S GN D1
S G ND 1
S G ND 2
S GN D2
V I N
1 . 1 VS 1 . 1V_ N B
1 . 2 VS 1 . 2 V_ S B
5 V
3 . 3V
1. 2 V
1 . 2 V
3. 3 V
3 . 3 V
1 . 2 V_ S B_ P G 2 6 1 . 1 V_ NB _P G 26
1 . 2 V_ P G 2 6
D D_ O N# 2 0, 2 5 , 2 6 , 28
S US B L
CLOSETO MOSFET
2A
2A
Rb
Ra
Power Pl ane
Power Plane
6A
5A
Rb
Ra
Vout = 0.5V( 1 + Ra / Rb )
Vout = 0.5V (1 + Ra / Rb )
0514- J
power change
OZ8033 t o AX6610
S US BL 2 5, 2 6 , 2 8
P R8 9
6 0. 4 K _ 1 % _ 04
S G ND 1
S GN D2
Sheet 29 of 35
1.1VS, 1.2V, 1.2VS
Schematic Diagrams
VDD3, VDD5 B - 31
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
VDD3, VDD5
Sheet 30 of 35
VDD3, VDD5

P C1 9 0
*3 3 p _ 50 V_ N P O _0 4
P C 1 81
0 . 1 u _2 5 V_ X7 R_ 0 6
P D2 2 F M 0 5 40 -N
A C
P R1 5 7 2 0 K _ 1% _ 0 6
P R5 1
1 0 K _ 0 4
P R4 7
1 0 K _ 1 % _ 06
P C 7 0
1 u _ 2 5V _ 08
P R 15 6
1 5m i l _ s ho rt
P R1 6 2
1 0 K _ 1 % _ 04
P R1 5 9
* 1 0K _0 4
P C 18 4
4 . 7 u_ 2 5 V_ X5R _ 0 8
P C1 9 1
2 2 0 0 p _5 0 V_ X7 R_ 0 4
P Q3 6
AO4 4 6 8 4
6
2
57
3 1
8
P C 7 4
0 . 1 u _1 6 V_ Y 5V _0 4
P C6 5
1 0 0 0 p_ 5 0 V_ X7 R_ 0 4
P Q8
AO4 4 6 8 4
6
2
57
3 1
8
P C 6 2
*2 2 0 p _ 50 V_ N P O_ 0 4
P R1 6 1
0 _ 0 6
P R 5 2 4 2 2 K _ 1 % _0 6
P C 76
4 . 7 u_ 2 5 V_ X5 R_ 0 8
P R 5 6
1 0 _0 6
P R5 7 1 5 K _ 1 %_ 0 4
P C6 9
1 u _ 1 0V _0 6
P R4 9
* 1 0K _0 4
P C 6 1
1
0
0
0p
_
5
0
V
_
X
7
R
_
0
4
P R 4 4
2 0 K _ 1 % _0 4
P R 4 8
1
3
7K
_1
%
_
0
4
P N C 1 15 m i l _s h o rt
P C 64
2 . 2 u_ 6 . 3 V_ Y 5V _0 6
P D2 0 F M 0 5 40 -N
A C
P C1 7 7
4 . 7 u _ 2 5V _X5 R _ 08
P D1 8
F M 0 54 0 -N
A
C
P C1 8 9
10 0 p _ 50 V_ N P O _0 4
P R 5 3
7 5 K _ 06
P R 4 5
1 0 K _ 1 % _0 4
P C 6 3
*3 0 p _ 5 0V _N P O _0 4
P R1 6 0
* 0 _0 6
P C 17 5
0 . 1 u_ 1 6 V_ Y 5 V_ 0 4
P C1 8 2
0. 1u _ 5 0 V_ Y 5 V_ 0 6
P Q3 5
AO4 4 6 8 4
6
2
57
3 1
8
P C6 0
*
0
.1
u_
2
5
V
_
X
7
R
_
0
6
P U 2
SC418
F
B
1
F
B
L
2
V
D
D
A
3
V
O
U
T
4
N
C
5
VI N
6
VL D O
7
BS T
8
D H
9
L X
1 0
P
G
N
D
1
1
D
L
12
V
D
D
P
1
3
R
P
S
V
1
4
P
G
O
O
D
1
5
I L I M
1 6
E N / P S V
1 7
AG ND
1 8
RT O N
1 9
E N L
2 0 P
A
D
2
1
P R5 4 *1 0 0 K _ 0 4
P U9
S C4 1 2 A
L X
1
BS T
2
VCC
3
DL
4
G
N
D
5
R
T
N
6
N
.
C
7
N
.C
8
F B
9
VO UT
1 0
P G D
1 1
E N
1 2
IL
IM
1
3
N
.
C
1
4
N
.C
1
5
D
H
16
P AD
1 7
P D2 1 F M 0 5 40 -N
A C
P R1 5 8
1 0 K _ 0 4
P R5 0 1 13 K _ 1 % _ 0 4
+
P C7 5
*1 5 u _2 5 V_ 1 . 9 _ C
P D 7
*F M0 5 4 0- N
A
C
+
P C 1 85
1 5 0u _ 6 . 3 V_ V_ A
P C1 7 9
0 . 0 1 u _5 0 V_ X7 R_ 0 4
+P C 7 3
1 5 0 u_ 6 . 3 V_ V_ A
P R 16 4
*1 0 m i l_ s h o rt
P C1 7 8
0 . 0 1 u _5 0 V_ X7 R_ 0 4
P L 4
4 . 7 UH _ 6 . 8 *7 . 3 *3 . 5
1 2
P R1 6 3
2 . 9 4 K _ 1 % _ 04
P C 1 86
1 u _ 1 0V _0 6
P C1 9 2
2 2 0 0 p _5 0 V_ X7 R_ 0 4
P J 1 1
5 m m
1 2
P L 1 3
4 . 7 UH _ 6 . 8* 7 . 3 *3 . 5
1 2
P R4 6
9 1 K _ 1 % _ 06
P C 18 8
0 . 0 1u _ 5 0 V_ X7R _ 0 4
P R5 5 1 0 _0 6
P Q3 4
AO4 4 6 8 4
6
2
57
3 1
8
P J 4
5m m
1 2
P C 66
1 u_ 1 0 V_ 0 6
P C 18 3
4 . 7 u_ 2 5 V_ X5 R_ 0 8
P C5 9
0.0
2
2
u
_
25
V
_
X
7
R
_
06
P D1 9 F M 0 5 40 -N
A C
P C 1 87
0
.01
u
_
5
0
V
_
X
7
R
_
0
4
P C 6 8
1 u _2 5 V_ 0 8
VDD 5
I NT VC C 2
S G ND 4
S Y S 1 0V
S Y S 5 V
S Y S 5 V
I N T VCC 2
VI N
S G ND 4
S G ND 4
S GN D4
S GN D4
S GN D 4
V I N
S Y S 1 5V
I N T VC C2
S GN D4
VD D3
VI N1
S G ND 4
S Y S 5 V
S GN D4
S Y S 3V
S GN D4
SC418
5A
4A
Rb
Ra
C980503
L G AT E 1
L GAT E 1
Schematic Diagrams
B - 32 Charger, DC In
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
Charger, DC In

C E LL S
C TL 1
CHARGER
0. 5V/ 1A
0. 5V/ 1A
# Ch ar ge Vo ltag e 12.6V
# To tal Po we r 6 0W
# Ch ar ge Cu rr e nt 3.0 A
P R2 28
1 0 0 K _0 4
P Q4 3
AO3 4 15
G
D S
P R2 2 6
1 00 K _ 0 4
P Q 44
2 N 70 0 2 W
G
D
S
P Q 45
2N 70 0 2 W
G
D
S
P R 22 7
1 . 5 M_ 0 4
P R2 25
1 8 K _ 1% _ 0 6
S GND 6
CE L L _ CON T ROL 2 4
CE C LM
C E L LS
VO LT _ S E L
PIN25t h
FOR 2SCONNECT TOGND
FOR 3SCONNECT N.C.
FOR 4SCONNECT TOVREFPIN
PIN 17th CONNECT
TOBATCONN.
CHARGE
CURRENT
ADJ
0520-J
EMI ADD
J ACK 1
2 DC -G0 2 6-B2 2
GN D2
GN D1
2
1
V_ BAT
P D4
UD Z1 6B
A C
P C 1 5
0 . 1 u _5 0 V_Y 5 V_0 6
P
C
2
9
0.1
u
_5
0
V
_
Y
5
V
_0
6
P C 55
0 . 1 u_ 5 0 V_Y 5V _0 6
P R8 8
1 0K _ 1 % _0 4
P
R
7
0
0_
0
4
P C 1 7
3 0 P _ 50 V_ 0 4
P R8 4 0 _0 6
0511- J
Add f or 2800mA Bat .
P R1 1
6
0
.4
K
_
1%
_
04
P C 4
0 . 1 u_ 5 0V _Y 5V_ 0 6
P R1 6
3 00 K _ 1% _ 0 4
P L 3 H CB1 0 05 K F -1 2 1T 2 0
P
C
9
9
4
.7
u
_2
5
V
_
X
5R
_
08
P Q 25 A
S P 8 K 1 0 S F D5 T B
7
8
1
2
P
R
69
0
_
04
P C2 8
0. 1 u _5 0 V_ Y 5 V_ 06
P R9 1
0 _0 4
P R8 0
0 . 02 _ 1 %_ 3 2
P
C
9
7
4.7
u
_
25
V
_
X
5
R
_
08
P C1 1 6
1u _ 2 5V_ 0 8
P R 83
1 0 K _ 1% _ 0 4
P
R
25
*0
_
0
4
P Q1 1
AM4 83 5 P
4
6 2
5
7 3
1
8
P
C
8
8
0.1
u
_
50
V
_
Y
5
V
_0
6 P R 2 4
1 3 0 K _1 % _ 04
P J 1
O P E N-1 m m
1
2
P
C
1
0
6
0
.1u
_
5
0V
_
Y
5
V
_
06
P R 82
2 2 K _ 1% _ 0 4
P
C
1
0
5
4
.7u
_
25
V
_
X
5
R
_
0
8
P R 1 5
4 9 . 9 K _1 % _ 04
J BAT T A2
*BT D-0 5 TI 1 G
1
2
3
4
5
P C2 1
0 . 1 u _5 0 V_ Y 5 V_ 06
P R 1 3
2 2 K _ 1% _ 04
P C 11 1 0 . 1 u _5 0 V_ Y 5 V_ 06
P C1 15
*0 . 1u _ 5 0V_ Y 5V_ 0 6
P R2 2
1 00 K _ 0 4
P
R
1
8
2
0
K
_
1%
_
04
P
C
1
0
4
4
.7u
_
2
5V
_
X
5
R
_
0
8
P R2 7
0 _ 0 4
P L 1
H CB1 0 05 K F -1 2 1T 2 0
P D 3
F M 05 4 0-N
A C
P Q 2
M TN 7 00 2 ZHS 3
G
D
S
P R2 6
1 00 K _ 0 4
P
R
7
1
2
00
K
_
0
4
P R2 0 *0 _0 4
P R 68
0 . 0 2_ 1 %_ 3 2
P C 1 01
1 00 0 p _5 0 V_ X7 R_ 0 4
P R2 8
10 K _ 0 4
P C 2 0
0 . 1 u _5 0 V_ Y 5 V_ 06
J BAT T A1
BTD -0 5T I 1 G
1
2
3
4
5
P Q2 2
DT C1 1 4E U A
C
E
B
P
R
21
3
9
.2K
_1
%
_0
4
P
C
1
0
8
4.7
u
_
25
V
_
X
5
R
_
08
P C2 2
0.
1u
_
50
V
_
Y
5
V
_
06
P Q1 9
AM4 8 3 5P
4
6 2
5
7 3
1
8
P C2
0 . 1u _ 50 V_ Y 5 V_ 0 6
P C1 9
3 0P _5 0 V_0 4
P C 92
0 . 1u _ 5 0V_ Y 5V_ 0 6
P C3 2 0 . 1 u_ 5 0 V_Y 5 V_0 6
P Q3
AO3 4 09
G
D S
P C1
0. 1 u _ 50 V_ Y 5 V_ 06
TOTAL
POWER
ADJ
P
C
9
0
4
.7
u
_2
5
V
_
X
5R
_
08
P
R
7
2
1
00
K
_
0
4
P
C
8
9
0
.1
u
_5
0
V
_
Y
5V
_
0
6
P R 81
1 K _ 1 %_ 0 4
P C 2 4 *2 2p _ 5 0V_ N P O_ 04
P R 1 7
1 0 K _ 1% _ 0 4
P Q 4
M TN 70 0 2 ZHS 3
G
D
S
P
C
1
10
0.1
u
_5
0
V
_
Y
5
V
_0
6
P
C
9
1
4.7
u
_
25
V
_
X
5
R
_
08
P
C
10
9
4
.7
u_
2
5
V
_X
5
R
_0
8
P L 2
H CB1 0 05 K F -1 2 1T 2 0
P R 10
0 _ 04
P R 73
1 0 K _0 8
P C3 0
0 . 1 u_ 5 0V _Y 5V_ 0 6
P C 2 5
1 00 p _ 50 V_ NP O _0 4
P Q2 5 B
S P 8 K 10 S F D 5T B
4
3
56
P Q1
MT N7 0 0 2ZH S 3
G
D
S
P
R
1
2
1
0
K
_
1%
_
04
P C 18
3 0 P _5 0 V_ 04
P L 8
1 0 UH_ 6 . 8 *7 . 3* 3 . 5
P C1 6
0 . 01 u _ 50 V_ X7R _0 4
TRERMAL PAD
P U5
MB3 9 A1 32
VCC
1
-I NC1
2
+I N C1
3
ACI N
4
ACOK
5
-I NE 3
6
ADJ 1
7
-IN
E
1
9
O
U
T
C
1
1
0
O
U
T
C

2
1
1
+IN
C
2
1
2
-IN
C
2
1
3
A
D
J
2
14
C
O
M
P
2
1
5
BAT T
1 7 ADJ 3
1 8 C S
1 9 RT
2 0 VR E F
2 1 GND
2 2 C TL 1
2 3
C
E
L
LS
25
P
G
N
D
2
6
O
U
T
-2
27
V
B
2
8
LX
2
9
O
U
T
-1
3
0
C
B
3
1
COM P 1
8
C
O
M
P
3
16
VI N
2 4
C
T
L2
3
2
S GND
3 3
P L5
HC B45 3 2 K F -80 0 T 60
P C 1 00
1 00 0 p _5 0 V_ X7 R_ 0 4
P R 9
2 0 0 K _0 4
S GN D6
S G ND6
S GND 6
S G ND 6
VA
S G ND 6
S G ND 6
S GND 6
S G ND 6
VI N
VA
VDD 3
VA
V I N
VA
VA
V_ BAT
S GN D6
S Y S 5 V
V _BAT
S Y S 5 V
CH G_ E N 2 4
V_ BAT
S Y S 5 V
S MD _ BAT 24
A C_ I N# 2 4
TO T AL_ CU R 2 4
CUR _ S E NS E 2 4
BAT_ D E T 24
S MC _ BAT 24
BAT _ VOL T 2 4
P C 2 41 0. 1 u _ 50 V_ Y 5 V_ 0 6
FOR C5500
FOR C4500
VOL T_ S E L
P R 1 4
1 K _ 1 %_ 0 4
C T L1
0623-J
PWR Add
0623-J
PWR Add
VC HG-S E L 2 4
P R2 2 2
7 6. 8 K _ 1 %_ 0 4
P R2 2 1
10 2 K _ 1% _ 04
P R2 23
2 M _1 % _ 04
P Q4 2
MT N7 0 0 2ZH S 3
G
D
S
Sheet 31 of 35
Charger, DC In
Schematic Diagrams
Click Board B - 33
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
Click Board

CLED_ACI N#
CLED_PWR#
CLED_BAT_CHG#
CLED_BAT_FULL#
CC3
*0.1u_16V_Y5V_04
CJ _TP3
85201-06051
1
2
3
4
5
6
6- 20- 94A70- 104
6- 20- 94A50- 104 CGND
CGND
CVDD3
6- 21- 91A00- 106 6- 21- 91A00- 106
6- 21- 91A10- 106 6- 21- 91A10- 106
6- 20- 94A70- 104
6- 53- 3050B- 240
6- 53- 3050B- 241 6- 53- 3050B- 241 6- 53- 3050B- 241 6- 53- 3050B- 241
6- 53- 3150B- 245 6- 53- 3150B- 245 6- 53- 3150B- 245
6- 53- 3050B- 240 6- 53- 3050B- 240 6- 53- 3050B- 240
6- 20- 94AA0- 104
6- 20- 94A70- 104
6- 53- 3150B- 245
2
POW ER O N
LED
BAT LED
1
CLED_BAT_CHG#
CLED_BAT_FULL#
CLED_ACIN#
CR358
220_04
CLED_PWR#
S
G Y
CD27
RY-SP155HYYG4
13
24
S
G Y
CD26
RY-SP155HYYG4
13
24
CR361
220_04
CR359
220_04
CR360
220_04
CVDD3 CVDD3 CVDD3 CVDD3
E5120Q
6- 52- 55002- 042
6- 52- 55001- 040
6- 52- 55002- 042
6- 52- 55002- 04B 6- 52- 55002- 04B
6- 52- 55001- 040
CLICK BOARD
CTPBUTTON_R CTPBUTTON_L
CSW1
TJ G-533-S-T/R
3
1
4
2
56
CTPBUTTON_R
CSW2
TJ G-533-S-T/R
3
1
4
2
56
CTPBUTTON_L
CSW3
*TJ G-533-S-T/R
3
1
4
2
56
1
CH1
MTH237D91
2
3
4
5 6
7
8
9
CSW4
*TJ G-533-S-T/R
3
1
4
2
56
CGND CGND
1
CH2
MTH237D91
2
3
4
5 6
7
8
9
LI FT
KE Y
1
CH4
MTH237D91
2
3
4
5 6
7
8
9
RI GHT
K EY
1
CH3
MTH237D91
2
3
4
5 6
7
8
9
CGND
CGND CGND
CGND
CGND CGND CGND CGND CGND CGND
LI FT
KE Y
RI GHT
K EY
1
4
3
CSW1~ 4
2
CTP_DATA
CTP_CLK
CTP_CLK
CTPBUTTON_L
CTP_DATA
CTPBUTTON_R
CC2
0.1u_16V_Y5V_04
CJ _TP2
85201-06051
1
2
3
4
5
6
CJ _TP1
85201-04051
1
2
3
4
CC1
*0.1u_16V_Y5V_04
CGND
C5VS C5VS
CGND
CGND
CGND
Sheet 32 of 35
Click Board
Schematic Diagrams
B - 34 Audio Board/USB
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
Audio Board/USB

AMI C 1-R
AS P K O UT R+
AS P K O UT R+_ R
AS P K O UT R-_ R
A MI C_ S E N S E
A S P K OU TR -
AS P K O UT R-
A US B_ P N2
A S P K OU TR +
A MI C1 -L
A MI C1 -R
A US B_ P P 2
A HP _ S E NS E
A HE AD P HON E -R
A S P K _H P #
A HE AD P HON E -L
AUS B_ P P 2
AS P K _ HP #
AHP _ S E N S E
AUS B_ P N2
1
AUS B_ P P 2 _ R
J_SPK1
2
AUS B_ P N2 _ R
AC8
1 80 p _ 50 V_ NP O _0 4
1
AH4
MT H2 7 6 D1 1 1
2
3
4
5 6
7
8
9
L 6 1
*AW CM2 0 1 2F 2 S -1 6 1T 0 3
1
4
2
3
A J _ US B 1
US 0 4 03 6 BCA0 8 1
V+
1
G
N
D
1
G
N
D
1
D ATA_ L
2
D ATA_ H
3
G ND
4
G
N
D
2
G
N
D
2
G
N
D
4
G
N
D
4
G
N
D
3
G
N
D
3
AC5
0. 1u _ 16 V_ Y 5 V_ 0 4
AR1 1 *1 0 mi l _ sh o rt_ 04
AJ _ S P K R1
85 2 0 4-0 2 00 1
P C B F o otp ri n t =8 5 2 04 -0 2 R
1
2
AL 5
HCB1 6 0 8K F -12 1 T 25
A H1
C 5 9D 59
AC6
0 . 1u _ 16 V_ Y 5 V_ 0 4
1
AH 2
M T H2 76 D 11 1
2
3
4
5 6
7
8
9
R
L
AJ _ MI C1
2S J -T 3 51 -S 2 3
2
6
5
3
1
4
AC 4
1 0 0p _ 5 0V_ N P O_ 0 4
AC1 4 0 . 1 u_ 1 6 V_Y 5 V_0 4
C4 5 5
1 80 p _ 50 V_ NP O _ 04
R
L
AJ _ HP 1
2S J -T 3 51 -S 2 3
2
6
5
3
1
4
AU 1
R T 97 1 5B GS
V OUT 1
6
V OUT 3
8
VI N 2
3
VI N 1
2
V OUT 2
7
GND
1
E N #
4
F L G#
5
AC1 3 0 . 1 u_ 1 6 V_Y 5 V_0 4
AC3
1 00 p _ 50 V_ NP O _ 04
AC1 6 0 . 1 u_ 1 6 V_Y 5 V_0 4
AR3 6 8_ 0 4
AR 8
* 1K _ 1 % _0 4
AJ _ AU DI O1
8 7 21 3 -14 0 0 G
1
2
3
4
5
6
7
8
9
1 0
1 1
1 2
1 3
1 4
AR 9
*1 K _ 1 %_ 0 4
+
AC 1
1 0 0u _ 6 . 3V_ B_ A
AR1 *1 0 mi l _s h o rt_0 4
AL 4 F CM1 0 0 5K F -12 1 T 03
AR1 0 *1 0 mi l _ sh o rt_ 04
AC 11
1 0 0 0p _ 50 V_ X7R _ 04
AC1 5 0 . 1 u_ 1 6 V_Y 5 V_0 4
AC1 0
10 0 p _5 0 V_ NP O_ 0 4
AL 3 F CM 10 0 5K F -12 1 T 03
AH3
C5 9 D5 9
AL 2 F CM 10 0 5K F -12 1 T 03
AC2
1 00 p _ 50 V_ NP O _0 4
AL 7
F C M1 0 05 K F -1 2 1T 0 3
1 2
AL8
F C M1 0 05 K F -1 2 1T 0 3
1 2
AC7
0 . 1u _ 1 6V_ Y 5V_ 0 4
AC9
1 0u _ 1 0V_ Y 5V_ 0 8
A_ AUD G
AR5 6 8_ 0 4
AL 6 F CM1 0 0 5K F -12 1 T 03
A_U S BVC C A_ U S BVCC
A_ US BVC C2 A_U S BVC C
AG ND AGN D
AG ND
A_ AUD G
A _5 V
AGN D AG ND A GND
AGN D AG ND
A_AU DG AG ND
AG ND
AG ND A GND
A_ AUD G
A_ AUD G AGN D
A_ 5 V
6- 20-43110- 102
6- 20-43150- 102
6- 20- 53A00- 114
BLACK
AUDIO JACK
6- 21- B49B0-104
6- 21- B49C0-104
6-20- B2800-106
6- 20- B2800- 106
BLACK
6-02-09715-920
50mil s
USB PORT
60 mil
50mi ls
HEADPHONE
PI N SWAP
MIC IN
TO M/B
AMI C _ S E NS E
AHE AD P HO NE -L
AHE AD P HO NE -R
AMI C 1-L
Sheet 33 of 35
Audio Board/USB
Schematic Diagrams
Power Switch Board B - 35
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
Power Switch Board

20 mi l
1 0 pin & 8 pi n co- la y
2 0mi l
S W E B_ E M AI L #
S M _BT N #
S L I D_ S W #
S AP _ O N
S W E B_ W W W #
S M _B TN #
S L I D_ S W #
S AP _ O N
S W E B _W W W #
S W E B _E M AI L #
S J _ S W 1
* 5 05 0 0-0 1 0 41 -0 0 1L
1
2
3
4
5
6
7
8
9
1 0
S _ 3 . 3VS
S _ VI N
S J _ S W 2
8 8 48 6 -0 80 1
1
2
3
4
5
6
7
8
S M GN D
S MG ND
S _ 3 . 3V
S _ 3 . 3 V
S M GN D
HOT KEY
6- 20-94K10- 108
S MG ND
S _ 3. 3 VS
S D3
* HT -1 5 0N B-DT
A
C
20 mi l
S M H2
H 7_ 0 D2 _ 3
S MH5
H 7 _0 D 2_ 3
1
S MH3
MT H2 3 7D 87
2
3
4
5 6
7
8
9
1
S M H1
M T H2 37 D 87
2
3
4
5 6
7
8
9
S M GND
1
S MH 4
MT H2 3 7D 1 18
2
3
4
5 6
7
8
9
S M GND
2
S MGN D S M GN D
S M GN D S MG ND
3
1
SU1, SU2
LID SWITCH IC
S LI D_ S W #
S U1
M H2 4 8- ALF A- E S O
VC C
1
OU T
2
G
N
D
3
S R1 1 0 0 K _1 % _ 04
S C1
*1 00 p _ 50 V_ NP O _ 04
S C 2
0 . 1 u _1 0 V_ X7 R_ 0 4
S MGN D
S _ 3 . 3 V
S MG ND
S D2
*BA V99 R E CT I F I E R
C
AC
A
S _3 . 3 V
S M GN D
6- 02-00268- LC1
6- 02-00248- LC2
S M GND
S W E B_ W W W # S M_ BT N# S W E B_E MAI L # S AP _O N
S R 3
* 10 0 K _ 1% _ 0 4
S C 4
0 . 1 u_ 1 6 V_Y 5 V_0 4
S W W W _S W 1
T J G-5 3 3-S -T /R
3
1
4
2
56
S AP _S W 1
T J G-5 3 3 -S -T/ R
3
1
4
2
56
S C3
0. 1 u _ 16 V_ Y 5 V_ 0 4
POWER SW & LED & HOT KEY
S P W R _ S W 1
T J G-5 3 3-S -T / R
3
1
4
2
56
S R 5
*4 7K _ 0 4 S R4
0 _ 04
S MAI L _ S W 1
TJ G-5 3 3 -S -T / R
3
1
4
2
56
S MG ND S M GN D S MG ND S M GN D
S MG ND
S _ VI N
S M GND
S MG ND S MGN D S MG ND
S M_ BT N#
POWER BUTTON
S MGN D
S P W R _ S W 2
* TJ G- 53 3 -S -T / R
3
1
4
2
56
4
PSW1~8
1
2
3
6- 53-3050B- 240
6- 53-3050B- 241
6- 53-3150B- 245
S C6
0 . 1u _ 1 6V_ Y 5V_ 0 4
Z4 3 0 1
S R2
22 0 _ 04
S M GN D
S MG ND
S D 1
H T-1 5 0N B-DT
A
C
S _ 3 . 3VS
2 0m il
6-52-56001-022
6-52-56000-020
6-52-56001-028
6-52-56001-023
POWER BUTTON
FORE5128Q
POWER
SWITCH
LED
WEB_WWW#
PSW1~8
FORE5128Q FORE4120Q/ E5120Q
2
WEB_EMAIL#
4
3 1
6- 52- 56001- 023
FORE4120Q/E5120Q
AP_KEY#
6- 52- 56001- 022
6- 52- 56000- 020
6- 52- 56001- 028
S C5
0. 1 u _1 6 V_ Y 5 V_ 04
6-53-3150B-245
6- 53-3050B- 240
6- 53-3050B- 241
6- 53-3150B- 245
6-53-3050B-240
6-53-3050B-241
6- 53-3150B- 245
6- 53- 3050B- 240
6- 53- 3050B- 241
6- 53- 3150B- 245
6- 53-3050B- 240
6- 53-3050B- 241
Sheet 34 of 35
Power Switch
Board
Schematic Diagrams
B - 36 External ODD Board
B
.
S
c
h
e
m
a
t
i
c

D
i
a
g
r
a
m
s
External ODD Board

QH2
C67D67
QH4
C237D91
QC1
0.1u_ 16V_ Y 5V_ 04
QJ _ ODD1
242001-1
S1
S2
S3
S4
S5
S6
S7
P1
P2
P3
P4
P5
P6
QH1
C237D91
QC2
0. 1u_ 16V_ Y5V_ 04
QJ _ ODD2
1-162-100562
S1
S2
S3
S4
S5
S6
S7
P1
P2
P3
P4
P5
P6
QH3
C67D67
QGND
QGND QGND
Q_ 5VS
QGND
QGND
Q_ 5VS
QGND QGND
Q_ 5VS
QJ _S ATA_ TXP1
QJ _S ATA_ ODD_ DA#
P IN
GND1~3=QGND
ODD BOARD FOR E5120Q
QJ _S ATA_ TXN1
PI N
GND1~2 =WG ND
QJ _S ATA_ RXP1
QJ _S ATA_ RXN1
QJ _ODD_ DETE CT#
6- 21- 14010- 013
6- 21- 13A00- 013
6- 21- 14030- 013
6- 21- 14020- 013
Sheet 35 of 35
External ODD
Board

You might also like