You are on page 1of 8

1

Lgica Digital Laboratorio # 1 Diseo de Circuitos Combinacionales (Septiembre 2011)


Rodman F. Aguirre Mndez, Diana C. Castao Bustos, Luis A. Moscote Daz.
ResumenEn el presente informe se describe la implementacin de circuitos combinacionales, a travs de herramientas de minimizacin digital para la solucin de dos situaciones diferentes, en la primera se disea y adems se muestra el montaje de un sistema de automatizacin domstico, en donde se controla la iluminacin y la activacin de una alarma antirrobo, y en la otra situacin, se disea un sistema de calificacin para competencia deportiva y se presenta la descripcin y simulacin en VHDL.

circuitos digitales complejos con la menor cantidad de compuertas lgicas posibles, esto responde a la segunda pregunta planteada al comienzo de ste prrafo. En este informe presentamos el diseo de dos circuitos combinacionales que son hechos para dos propsitos diferentes pero que se rigen por el mismo principio, sus respectivas entradas no dependen de la salida, por eso son llamados circuitos combinacionales. En el diseo del sistema de automatizacin domestico se tuvo que tener en cuenta consideraciones practicas a la hora de implementarlo, esto demuestra que los circuitos digitales de algn modo estn ligados a los circuitos anlogos, pues como se sabe nuestro mundo es totalmente analgico, surgiendo asi de este modo, la necesidad de conocer compuertas lgicas especiales, que tienen en cuenta estos detalles, como lo es la Schmitt trigger y la de drenador (colector) abierto. II. PROCEDIMIENTO A. Sistema de automatizacin domstico: control de luces y alarma antirrobo. Desarrolle un circuito de control para la automatizacin de unas nuevas viviendas inteligentes. El sistema de control manipular el encendido automtico de luces y la alarma antirrobo. Los requerimientos para tal diseo son los siguientes: Se necesita que la lmpara principal ubicada en la parte superior de las viviendas se encienda cuando llegue la noche y se apague al amanecer cuando el sol este presente. Habr la posibilidad de encender la lmpara principal con un interruptor de emergencia. Este interruptor har que dicha lmpara se encienda sin importar si es da o de noche. Por otro lado, la lmpara ubicada en la entrada de garaje de la vivienda se encender cuando un carro este ingresando al garaje y se apagar cuando el carro ya ha entrado. Sin embargo, esta lmpara solo se encender si es de noche y deber mantenerse apagada si es de da. Por ltimo, el cliente requiere un sistema de alarma antirrobo. La alarma consta de una alerta sonora que

Palabras claves Minimizacin combinacionales, VHDL.

digital,

circuitos

I. INTRODUCCION

I miramos a nuestro alrededor nos vemos rodeados por una gran cantidad de dispositivos electrnicos digitales, los cuales han marcado una nueva era para la humanidad, desde que nos levantamos (despertadores) e incluso antes de irnos a dormir (Televisores digitales). Los circuitos digitales, realmente han permeado nuestra vida cotidiana, y entran en juego tanto en campos como el del ocio y la diversin, como otros un poco ms acadmicos, tomando as gran importancia en nuestro diario vivir. Pero Cmo es posible que al oprimir un par de veces ciertos botones un dispositivo electrnico digital haga cierta funcin especfica?, Porque estos dispositivos al ser ms pequeos con el pasar del tiempo, pueden adquirir mayor funcionalidad? La primera pregunta la podemos resolver si introducimos el trmino lgica digital, gracias a ella podemos manipular variables elctricas, como la corriente o la tensin, por medio de ceros y unos, para de este modo poder procesarlas por medio de dispositivos que operan con esos valores digitales, dichos dispositivos son llamados compuertas lgicas, que pueden ser configuradas de tal forma que segn ciertas entradas, se pueda obtener una salida deseada, que puede aplicarse a algn relevo (actuador) que controle el encendido de un motor por ejemplo. Con la lgica digital se han desarrollado tcnicas diferentes de diseo, todas encaminadas a la minimizacin digital que en ltimas busca la optimizacin de recursos, lo cual hace que se pueda conseguir

2 se enciende nicamente cuando un intruso intenta entrar al garaje mientras se encuentre un carro estacionado all. Requerimientos tcnicos determinacin de un alto o un bajo en la tecnologa TTL. Comercialmente conseguimos un inversor Schmitt trigger 74LS14, es decir dada una entrada, la salida es el complemento de la entrada, en nuestro diseo decidimos que cuando es de da, la variable de salida de esta compuerta debe ser un alto (de 2.4V a 5V) y cuando es de noche, un bajo (de 0V a 0.8V). Adems segn la hoja del fabricante determinamos los siguientes valores:

El diseo parcial del circuito se muestra en el siguiente diagrama:

Es decir la histresis es de 0.9V. Este valor indica cuanto debe subir la tensin de entrada al Schmitt trigger a partir de para que sea un alto, o descender a partir de para que sea un bajo. Tambin le hicimos pruebas a la fotocelda para determinar la resistencia en ausencia de luz y con ausencia de luz, dichas pruebas nos arrojaron los siguientes resultados: Fotocelda con luz = 2K Fotocelda sin luz = >100K Con esto definimos que la fotocelda debe ir de tierra a la resistencia R, a diferencia como lo muestra la figura 1.Si observamos la tensin de entrada al Schmitt trigger tenemos que:

Fig. 1. Diagrama parcial del circuito.

Para el diseo del sistema de automatizacin requerido, dividimos el circuito en tres etapas, la primera estaba compuesta de los sensores, que se encargan de tomar las seales analgicas del medio para convertirlas en seales elctricas, la segunda la conformaba el circuito combinacional, que se encargaba de procesar dichas seales, y la ltima estaba constituida de los actuadores, que a partir de las seales procesadas por el circuito combinacional, se encargan de controlar el encendido o el apagado de algn dispositivo elctrico, que para nuestro caso son dos leds y un buzzer. Para propsitos de este diseo decidimos emplear compuertas lgicas de tipo TTL, debido a que los requerimientos del diseo no imponan restricciones respecto al funcionamiento de estas compuertas. En la primera parte los sensores que empleamos fueron dos, una fotocelda o fotorresistencia, que es la que se encarga de recibir la intensidad de luz del medio, esta con una apropiada configuracin puede definir una variable de entrada al circuito combinacional. En la Figura 1 podemos ver que la tensin que llega al circuito combinacional est dada por el divisor de tensin entre la fotocelda y la resistencia R, como la fotocelda varia su resistencia con la intensidad de luz que incide sobre ella entonces la tensin tambin lo har. En la implementacin de la fotocelda se nos pidi una compuerta lgica Schmitt trigger, esto es con el objetivo de digitalizar la seal de entrada, estas compuertas manejan dos valores para la

En ausencia de luz (resistencia alta) la tensin de entrada al Schmitt trigger tiende a 5V, es decir sera un nivel alto de tensin que al salir de esta compuerta sera un nivel bajo de tensin, que es como definimos que fuera esta variable de entrada. Como con luz se requiere que sea un nivel de tensin bajo entonces debemos garantizar que este sea menor que , as en la ecuacin 1 tenemos una sola incgnita R, las dems ya las tenemos, al despejar R tenemos que:

Al reemplazar en la ecuacin (2) se obtiene que R=10.5K. Pero para el montaje implementamos una de 22 K, para asegurarnos de que sea menor a . Si ahora empleamos la ecuacin (1) para el caso donde no hay luz (noche) se obtiene:

Esta tensin siempre ser mayor que , por lo que en esta situacin siempre habr un valor de tensin alto en la salida de la compuerta.

Para los sensores de entrada de objetos, como para el sensor de presencia de vehculo, se pide implementar una barrera infrarroja entre un diodo IR y un fototransistor, en nuestro prototipo decidimos implementar un opto switch que, en un solo paquete podemos contar con ambos dispositivos. A este sistema se le debe aadir dos resistencias para polarizarlo correctamente y obtener resultados deseados, este

3 funciona de la siguiente manera, cuando por el led IR circula cierta corriente definida por una resistencia, l se encender y emitir radiacin infrarroja, no detectable por el ojo humano; al estar perfectamente alineados el rayo incidir directamente en la base del fototransistor, la cual est constituida de un material fotosensible, establecindose de este modo una corriente en el colector, en esta situacin se puede conectar una resistencia para obtener cierta nivel de tensin, pero cuando en la base del transistor no incide radiacin infrarroja, esto es se interrumpe el paso del rayo del diodo al fototransistor, este entrara en estado de corte, pues no hay corriente proveniente de la base, en este caso, en l no circulara corriente alguna, y si la resistencia estaba conectada entre la fuente de alimentacin (5V) y el colector, la cada de tensin en esta ser igual a la de alimentacin. A partir de lo anterior podemos definir que cuando en el sensor de presencia de vehculo se obtiene un nivel de tensin alto (ms de 2V), es decir, hay obstruccin del rayo infrarrojo en la base del fototransistor, es cuando el vehculo est en la casa, igualmente el sensor de entrada de objetos generara un nivel de tensin alto cuando algn objeto al pasar interrumpa la radiacin infrarroja incidente en el fototransistor. switch - y el switch que esta entre la resistencia y tierra, en la figura 3 podemos ver esta explicacin.

Fig. 3. Resistencia Pull-up

De este modo cuando el switch se cierra la cada de tensin entre el switch y la resistencia es de 0V, pero cuando el switch se abre, el nivel de tensin que es tomado de esta configuracin al circuito combinacional es de 4V a 5V aproximadamente, este valor depende de la resistencia, para la seleccin de la resistencia solo se debe tener en cuenta que sea del orden de . Descripcin de la lgica digital del circuito Una vez definidos los parmetros y el funcionamiento de cada uno de los sensores que captan las seales analgicas del medio externo requeridas para el diseo de nuestro proyecto, nos dispondremos a explicar la lgica combinacional de nuestro circuito.

Fig. 2. Diagrama del optosuiche.

En la figura 2, podemos ver cmo est constituido internamente el opto suiche, se observan cuatro terminales, un nodo y un ctodo, para el led IR; y el emisor y el colector para el fototransistor. En el diodo decidimos que circulara una corriente de 20mA, entonces el valor de la resistencia es: 215 Como este valor no se consigue comercialmente, el valor ms cercano es 220 . Para la resistencia de colector empleamos una de 5.1K , este valor se tom luego de diversas pruebas con una corriente en el diodo de 20mA aproximadamente. Lo que buscbamos era que la cada de tensin en la resistencia de colector fuera menor de 0.8V, y con este valor de resistencia obtuvimos 0.5V, esta es la seal de salida de ambos sensores cuando el rayo infrarrojo incide directamente sobre el fototransistor y como en el montaje solo estamos usando tecnologa TTL, se garantiza que efectivamente es un nivel de tensin bajo (0) Y por ltimo implementamos para el interruptor de emergencia un switch con una resistencia pull-up, en donde la tensin de entrada al circuito combinacional se obtiene entre la resistencia - que est entre la fuente de alimentacin y el

En primer lugar, definimos cada una de nuestras variables de entrada y el nivel lgico para cada uno de los casos en que ellas se nos presentan. Para el caso del sensor de luz, como se enuncio anteriormente tomamos como nuestro nivel lgico alto (1), cuando el caso en que es da (las entradas se asignaron as luego de que la seal sala de la compuerta Schmitt trigger). El nivel lgico bajo (0) se da entonces cuando es de noche. Para el switch de resistencia pull-out, tomamos nuestro nivel alto cuando este se encuentra apagado y el bajo cuando se encuentra encendido. Para el sensor de entrada de objeto, nuestro nivel alto es cuando se interrumpe el circuito, es decir, cuando un objeto o persona est entrando al garaje. Y para el sensor de presencia, tomamos como alto la presencia de un objeto dentro del garaje y el bajo la no presencia del objeto. Esto se observa de manera clara en la tabla 1.

4 Variables de entrada A => Estado del da 1 => da 1 => off C => Entrada 1 => Entrando 1 => presencia 0 => No entrando D => Presencia 0 => no presencia 0 => noche B => Interruptor 0 => on El siguiente paso a seguir fue la implementacin de los mapas de karnaugh para encontrar la funcin minimizada para cada una de las salidas de nuestro circuito. El diseo de estos para cada salida y la manera como se agruparon los unos o los ceros se muestran en las figuras 4, 5 y 6.

AB OO O1 11 1O

Tabla 1. Variables de entrada

OO 1 1 O 1

CD O1 1 1 O 1

11 1 1 O 1

1O 1 1 O 1

Las variables de salida se muestran en la tabla 2. Variables de salida S1 => Lmpara principal 1 => Encendida 1 => Encendida S3 => Buzzer 1 => Encendida 0 => Apagada 0 => Apagada 0 => Apagada S2 => Lmpara del garaje

Fig. 4. Mapa de Karnaugh para S1

AB OO O1 11 1O

OO O O O O

CD O1 O O O O

11 O O O O

1O 1 1 O O

Fig. 5. Mapa de Karnaugh para S2

Tabla 2. Variables de salida

Una vez definidas las variables de entrada y salida y a partir de los requerimientos tcnicos, diseamos la tabla de verdad de nuestro circuito combinacional.
A B C D S1 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 S2 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 S3 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1

AB OO O1 11 1O

OO O O O O

CD O1 O O O O

11 1 1 1 1

1O O O O O

Fig. 6. Mapa de Karnaugh para S3

De la figura 4, agrupamos los ceros para el clculo de la funcin minimizada para S1. De la teora estudiada en clase, sabemos que a partir de esto

De manera similar, para S2 y S3, agrupamos los unos y las funciones minimizadas obtenidas fueron

A partir de las funciones obtuvimos el circuito lgico. El esquema de este se muestra en la figura 7.

Tabla 3. Tabla de verdad del circuito combinacional

5 B. Sistema de calificacin para competencia deportiva Hay una competencia deportiva en la que 5 jueces deciden si un participante pasa o fracasa una prueba. Se dise un circuito combinacional cumpliendo con las siguientes consideraciones: El circuito debe tener 5 entradas (J1, J2, J3, J4, J5), cada una corresponde al voto de un juez. El voto de cada juez es una seal digital que toma el valor de 1: pasa la prueba y 0: fracasa. Las reglas de la competencia no permiten que la diferencia en calificaciones sea de solo un voto. Es decir, si la votacin es 2-3 o 3-2, la competencia debe continuar. El sistema debe tener dos salidas: S1 y S2. Si el acumulado de votos es 4-1 o 5-0 a favor del participante, entonces las salidas tomarn los valores S1=1 y S2=1. Si la votacin es 4-1 o 5-0 en contra, las salidas sern S1=0 y S2=0. Si los votos contabilizan 3-2 o 2-3, las salidas sern S1=1 y S2=0. Entradas Voto de los Jueces J1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 J2 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 J3 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 J4 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 J5 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Salidas S1 0 0 0 1 0 1 1 1 0 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 S2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 1 1 1

Fig. 7. Circuito lgico combinacional

Para una mayor simplificacin en cuanto al nmero de integrados utilizados y el ahorro de dinero en la compra de estas, se utiliz la propiedad de la compuerta Nand como compuerta universal para obtener la inversora a partir de sta. El circuito que realmente se implement se muestra en la figura 8. Aqu nombramos cada una de las compuertas de la serie 7400 utilizadas para cada fin.

Fig. 8. Circuito lgico combinacional implementado

Cabe aadir, que como se dijo anteriormente, se utiliz el disparador Schmitt trigger 74LS14 para digitalizar el sensor de luz, pero no se introdujo en el diagrama pues entrada A, que hace referencia a la lectura sensor, en la salida del Schmitt trigger. En las salidas del circuito lgico siempre se utilizaron las compuertas Nand de colector abierto con buffer 74LS38. Esto con el fin de que la corriente que llegara a los relays fuera mayor igual a su corriente de activacin (18 mA), pues las corrientes de sumidero en bajo de estas compuertas son aproximadamente de 23 mA, las cuales son capaces de activar los relays. Una vez activados estos, dependiendo la situacin dada, se cierra cada uno de los circuitos que controlan y los actuadores se accionan.

Tabla. 4. Tabla de verdad segn los requerimientos del problema.

6 Para realizar el Mapa de Karnaugh, se tom independientes las dos salidas: S1 y S2. Para la salida S1, se agruparon los ceros (0) puesto que en la tabla se vea mucho ms fcil agruparlos ya que solamente eran 6 ceros (0). Se hallaron los maxitrminos, con un Producto de Sumas. De igual forma para la salida S2, se agruparon en este caso los unos (1), caso contrario a lo anterior, ya que hay menos unos (1) que ceros (0). Se hallaron los minitrminos, con una Suma de productos. Para cada Mapa de Karnaugh, se obtuvieron 5 grupos. Los grupos estn diferenciados con las lneas de colores y hay un grupo que comparte los dos tablas de Karnaugh diferenciados con los ceros (0) en color rojo para el Producto de Suma y los unos (1) en color rojo para la Suma de Productos. Para la salida S1: POS (Producto de Suma) J1 = 0
J4 J5 J2 J3\

S2 = (J1.J2.J3.J5)+(J2.J3.J4.J5)+(J1.J3.J4.J5)+(J1.J2.J3.J4)+. ..(J1.J2.J4.J5) De los maxitrminos y minitrminos resultantes luego de la implementacin de los mapas de Karnaugh, se dibuj el circuito equivalente mostrado en la figura. Para ello, se utiliz la ayuda del software de simulacin de circuitos llamado Livewire.

00
01

00 01 11 10 0 0 0
0

11 10
J4 J5 J2 J3\

0
J2 = 0

00 01 11 10

00 01 11 10 0

Fig. 9. Mapa de Karnaugh para la salida S1.

S1 = (J1+J2+J3+J5).(J2+J3+J4+J5).(J1+J3+J4+J5).(J1+J2+J3+J4). (J1+J2+J4+J5) Para la salida S2: SOP (Suma de producto)


J4 J5 J2 J3\

J1 = 0 00 01 11 10
Fig. 11. Circuito lgico del diseo requerido.

00
01

11 10
J4 J5 J2 J3\

J1 = 1 00 01 11 10 1 1 1

00 01 11 10

Por otra parte, tambin se realiz en el lenguaje de programacin VHDL del software Quartus II 9.1sp2, la parte lgica combinacional de los votos de los jueces. Se realiz la programacin y posteriormente la simulacin. En las siguientes figuras se muestra la programacin y dicha simulacin.

Fig. 10. Mapa de Karnaugh para la salida S2.

Fig. 14. Montaje final del circuito con vista superior.

Fig. 12. Cdigo en VHDL del diseo.

En la anterior figura se puede observar que nuestro montaje se dividi en tres etapas, en la parte inferior de la figura 13 se pueden observar los sensores y el interruptor de emergencia, que definen nuestra cuatro variables de entrada; en la parte central de la fotografa, se observa las compuertas lgicas empleadas, en total fueron cuatro, que constituyen el circuito combinacional, y por ltimo en la parte superior de la fotografa encontramos los tres relevos, que se encargan de activar o desactivar los leds y el buzzer. El funcionamiento del montaje fue tal cual como lo planeamos, los leds y el buzzer se activaron segn las condiciones predefinidas antes de abordar con el diseos de este sistema de automatizacin, no sobra decir entonces que este prototipo, acondicionndolo adecuadamente segn las exigencias del medio, puede implementarse a gran escala, y en vez de que se activen unos leds y un buzzer, se puede emplear un bombillo de 100 W con alimentacin AC y una alarma de 12 tonos que son usadas por algunos vehculos, lo cual muestra de la gran utilidad de este prototipo.

Fig. 13. Diagramas de tiempos del circuito lgico.

De la grfica de la simulacin, se pudo observar que las salidas corresponden a las entradas propiamente descritas en la Tabla de verdad. A 50 ns las entradas son J1=0, J2=1, J3=0, J4=1 y J5=0 y las salidas son S1=1 y S2=0. Al compararlo con la Tabla de verdad, se puede observar que las salidas S1 y S2 son iguales a la presentada en la Tabla de Verdad. De hecho, todas las salidas posibles, coinciden con la Tabla de Verdad propuesta con las condiciones establecidas.

III. RESULTADOS Y ANALISIS. Para el diseo del sistema de automatizacin domstico el montaje realizado fue el siguiente:

Fig. 15. Montaje final del circuito con vista lateral.

Un aspecto importante a la hora del funcionamiento del circuito es la seleccin de la resistencia que est en serie con la fotocelda, pues esta define que tan sensible puede ser nuestro circuito a condiciones lumnicas, es decir si el usuario

8 desea que las luces se enciendan a una hora especfica, cuando ya hay poca luz incidiendo en la fotocelda, la forma de ajustar la respuesta del sistema a esta entrada es incrementar esta resistencia , entonces si empleamos un potencimetro como mecanismo de graduacin de sensibilidad lumnica, el usuario podra ajustar el sistema a su gusto. Igualmente, para la segunda situacin, se pudo observar, que las salidas del de los diagramas de tiempos corresponden a las entradas propiamente descritas en la Tabla de verdad. A 50 ns las entradas son J1=0, J2=1, J3=0, J4=1 y J5=0 y las salidas son S1=1 y S2=0. Al compararlo con la Tabla de verdad, se puede observar que las salidas S1 y S2 son iguales a la presentada en la Tabla de Verdad. De hecho, todas las salidas posibles, coinciden con la Tabla de Verdad propuesta con las condiciones establecidas. IV. CONCLUSION Para esta prctica, gracias a la implementacin de circuitos lgicos combinacionales, se pudo disear e implementar un sistema de automatizacin domstico, nos dimos cuenta que aplicando herramientas de minimizacin digital (mapas de Karnaugh), se pudo obtener un diseo ptimo, igualmente, constatamos que las consideraciones prcticas juegan un papel importante a la hora del montaje como tal, como fueron las corrientes de sumidero de las compuertas, la digitalizacin de seales por medio de compuertas Schmitt triggers, el numero mximos de compuertas que pueden ser conectadas a una variable (fan out ), etc., cabe destacar que, en el sistema de automatizacin domstico, este tpico no hizo tanto peso, debido a que el nmero de compuertas no fue significativo, pero en diseos ms complejos la situacin ya es un poco diferente. Por ultimo vimos que la simulacin de circuitos digitales por medio de cdigo VHDL con programas especiales, que en este caso fue Quartus, so una gran ayuda, que permiten constatar la funcionalidad del diseo, al menos tericamente hablando, para luego poder ser implementado. BIBLIOGRAFIA.
[1] [2] [3] MANO, M MORRIS. Arquitectura de Computadoras. 3 Edicin. Prentice Hall. FLOYD, T.L. Fundamentos de sistemas digitales. 7 Edicin. PrenticeHall, 2002. WAKERLY, John. Digital Design: Principles and Practices. PrenticeHall.

You might also like